CN108538747A - 环境可控的传送模块和处理系统 - Google Patents
环境可控的传送模块和处理系统 Download PDFInfo
- Publication number
- CN108538747A CN108538747A CN201710979355.3A CN201710979355A CN108538747A CN 108538747 A CN108538747 A CN 108538747A CN 201710979355 A CN201710979355 A CN 201710979355A CN 108538747 A CN108538747 A CN 108538747A
- Authority
- CN
- China
- Prior art keywords
- chamber
- coupled
- locking cavity
- load locking
- slit valve
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000012545 processing Methods 0.000 title claims abstract description 199
- 238000012546 transfer Methods 0.000 claims abstract description 243
- 239000000758 substrate Substances 0.000 claims abstract description 215
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 28
- 239000001301 oxygen Substances 0.000 claims abstract description 28
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 28
- 239000007789 gas Substances 0.000 claims description 96
- 238000000746 purification Methods 0.000 claims description 86
- 230000005540 biological transmission Effects 0.000 claims description 43
- 239000012530 fluid Substances 0.000 claims description 36
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 36
- 230000003028 elevating effect Effects 0.000 claims description 35
- 239000000463 material Substances 0.000 claims description 21
- 238000004891 communication Methods 0.000 claims description 15
- 230000003287 optical effect Effects 0.000 claims description 11
- 239000004411 aluminium Substances 0.000 claims description 7
- 229910052782 aluminium Inorganic materials 0.000 claims description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 7
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 6
- 239000010453 quartz Substances 0.000 claims description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 5
- 239000004425 Makrolon Substances 0.000 claims description 3
- 229920000515 polycarbonate Polymers 0.000 claims description 3
- 230000035945 sensitivity Effects 0.000 claims description 2
- 238000000034 method Methods 0.000 abstract description 19
- 238000001816 cooling Methods 0.000 description 33
- 238000010438 heat treatment Methods 0.000 description 15
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 10
- 238000006392 deoxygenation reaction Methods 0.000 description 7
- 230000008021 deposition Effects 0.000 description 7
- 238000004140 cleaning Methods 0.000 description 6
- 230000008878 coupling Effects 0.000 description 6
- 238000010168 coupling process Methods 0.000 description 6
- 238000005859 coupling reaction Methods 0.000 description 6
- 238000005530 etching Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 238000010586 diagram Methods 0.000 description 5
- 229910052757 nitrogen Inorganic materials 0.000 description 5
- 230000008569 process Effects 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 238000004458 analytical method Methods 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 239000012809 cooling fluid Substances 0.000 description 4
- 230000007613 environmental effect Effects 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 239000007800 oxidant agent Substances 0.000 description 4
- 230000001590 oxidative effect Effects 0.000 description 4
- 230000006978 adaptation Effects 0.000 description 3
- 238000013459 approach Methods 0.000 description 3
- 229910010293 ceramic material Inorganic materials 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 239000007769 metal material Substances 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000003672 processing method Methods 0.000 description 3
- 238000010926 purge Methods 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- 229910000831 Steel Inorganic materials 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 238000003780 insertion Methods 0.000 description 2
- 230000037431 insertion Effects 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 230000002035 prolonged effect Effects 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 238000007789 sealing Methods 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000010959 steel Substances 0.000 description 2
- 239000012780 transparent material Substances 0.000 description 2
- 238000009736 wetting Methods 0.000 description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- 229910000807 Ga alloy Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 238000010009 beating Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000004744 fabric Substances 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 239000013529 heat transfer fluid Substances 0.000 description 1
- JEIPFZHSYJVQDO-UHFFFAOYSA-N iron(III) oxide Inorganic materials O=[Fe]O[Fe]=O JEIPFZHSYJVQDO-UHFFFAOYSA-N 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910001338 liquidmetal Inorganic materials 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000007726 management method Methods 0.000 description 1
- 230000013011 mating Effects 0.000 description 1
- 239000003921 oil Substances 0.000 description 1
- 244000144985 peep Species 0.000 description 1
- 239000010702 perfluoropolyether Substances 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 230000000246 remedial effect Effects 0.000 description 1
- 238000005070 sampling Methods 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67115—Apparatus for thermal treatment mainly by radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67184—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Multi-Process Working Machines And Systems (AREA)
Abstract
本文提供用于处理基板的方法和装置。在一个实施方式中,装置包括耦接至传送腔室的装载锁定腔室。传送腔室耦接至热处理腔室,并且基板在装载锁定腔室、传送腔室和热处理腔室中的各个之间传送。在其他实施方式中,公开具有装载锁定腔室、传送腔室和热处理腔室的处理平台。本文也描述经由传送腔室的抽空测量装载锁定腔室中的氧浓度的方法。
Description
本申请是申请日为2017年7月27日、申请号为201710624476.6、发明名称为“环境可控的传送模块和处理系统”的发明专利申请的分案申请。
背景
技术领域
本公开内容的实施方式一般地涉及基板处理和传送装置。更特定而言,本文描述的实施方式涉及环境可控的传送模块和处理系统。
背景技术
可靠地生产亚半微米和更小的特征为半导体器件的下一代大规模集成(verylarge scale integration;VLSI)和超大规模集成(ultra large scale integration;ULSI)的一个关键技术挑战。然而,随着对电路技术的限度推进,VLSI和ULSI技术的日益缩小的尺寸已经对处理能力提出了更多的要求。
在先进节点器件中,金属和阻挡层材料经常用于接触集成方案中。然而,在接触集成方案中利用的各种材料(例如金属和电介质)的氧化,可导致粘附问题和接触结构材料的脱润湿(de-wetting)。各种材料的氧化也可增大接触电阻。因此,接触结构的不良粘附和增大的接触电阻可导致器件失效的不良器件性能。
因此,本领域中需要在器件制造的各阶段期间用于防止器件结构的氧化的改进装置和方法。
发明内容
在一个实施方式中,基板处理装置包括工厂界面、用于在约常压下生成实质惰性环境的基板传送模块。基板传送模块包括装载锁定腔室、净化气体端口和传送腔室,装载锁定腔室耦接至工厂界面,所述装载锁定腔室具有界定处理容积的腔室主体和与处理容积流体连通的净化气体端口,传送腔室耦接至装载锁定腔室。处理模块也耦接至基板传送模块,其中处理模块包括多个处理腔室。
在另一实施方式中,基板处理装置包括工厂界面,并且工厂界面包括具有第一机械手的第一传送腔室,所述第一机械手设置在第一传送腔室中。装置也包括用于在约常压下生成实质惰性环境的基板传送模块。基板传送模块包括装载锁定腔室和第二传送腔室,装载锁定腔室耦接至第一传送腔室,第二传送腔室具有设置在第二传送腔室中的第二机械手,其中第二传送腔室耦接至装载锁定腔室。装置也包括耦接至基板传送模块的处理模块,其中处理模块包括处理腔室,以及处理腔室耦接至第二传送腔室。
在另一实施方式中,基板处理装置包括工厂界面,并且工厂界面包括第一传送腔室和多个前开式标准舱,第一传送腔室具有设置在第一传送腔室中的第一机械手,多个前开式标准舱耦接至第一传送腔室的。装置也包括用于在约常压下生成实质惰性环境的基板传送模块。基板传送模块包括常压装载锁定腔室和第二传送腔室,常压装载锁定腔室耦接至第一传送腔室,第二传送腔室具有设置在第二传送腔室中的第二机械手,其中第二传送腔室耦接至装载锁定腔室。装置也包括耦接至基板传送模块的处理模块,其中处理模块包括激光热处理腔室,并且激光热处理腔室耦接至第二传送腔室。
在另一实施方式中,基板传送装置包括用于在约常压下生成实质惰性环境的装载锁定腔室。装载锁定腔室包括界定处理容积的腔室主体、设置在处理容积中的基座、与基座相对耦接至腔室主体的盖、穿过盖设置的净化气体端口、和邻近于基座且与净化气体端口相对设置在腔室主体中的排气口。装置也包括用于在约常压下生成实质惰性环境的传送腔室,所述传送腔室耦接至装载锁定腔室。传送腔室包括界定传送容积的腔室主体、设置在传送容积中的机械手、设置在腔室主体中的多个净化气体端口、和与多个净化气体端口相对设置在腔室主体中的排气口。
在另一实施方式中,基板处理装置包括用于在约常压下生成实质惰性环境的装载锁定腔室。装载锁定腔室包括,界定处理容积的腔室主体、设置在处理容积中的基座、设置在基座中的流体导管、沿基座周边形成的多个凹槽、和设置在处理容积中的多个升降杆,每个升降杆邻近于多个凹槽中的一个。装置也包括盖、扩散板、净化气体端口和排气口,盖与基座相对耦接至腔室主体,扩散板在盖的中心区域处耦接至盖,其中盖的底表面的部分自扩散板径向向外逐渐变小地延伸,净化气体端口穿过扩散板而设置,排气口邻近于基座且与净化气体端口相对设置在腔室主体中。
在另一实施方式中,基板传送装置包括用于在约常压下生成实质惰性环境的传送腔室,所述传送腔室耦接至装载锁定腔室。传送腔室包括界定传送容积的腔室主体、耦接至腔室主体的光学透明盖、和设置在传送容积中的机械手,其中机械手的叶片由石英制造。装置也包括,设置在腔室主体中的多个净化气体端口、自净化气体端口延伸的多个扩散器、与多个净化气体端口相对设置在腔室主体中的排气口、耦接至排气口的排气导管、和通过排气导管和排气口与传送容积流体连通的氧传感器。
在另一实施方式中,平台装置包括第一工厂界面、耦接至第一工厂界面的第二工厂界面、和设置在第一工厂界面与第二工厂界面之间的隧道腔室,其中隧道腔室包括传送腔室和多个装载锁定腔室。装置也包括耦接至第一工厂界面的第一传送模块、耦接至第一传送模块的处理模块、耦接至第二工厂界面的中央传送腔室、和耦接至中央传送腔室的多个处理腔室,其中多个处理腔室中的至少一个通过第二传送模块而耦接至中央传送腔室。
在一个实施方式中,平台装置包括第一工厂界面、耦接至第一工厂界面的传送模块、耦接至传送模块的处理模块、第二工厂界面、和耦接至第二工厂界面的中央传送腔室。装置也包括,设置在第一工厂界面与中央传送腔室之间的隧道腔室,其中隧道腔室包括传送腔室和多个装载锁定腔室。装置也包括耦接至中央传送腔室的多个处理腔室。
在一个实施方式中,基板处理方法包括:将基板自第一腔室传送至第二腔室,将第二腔室的环境与第一腔室的环境隔离,自第二腔室的环境去除氧化剂和冷却在第二腔室中的基板。方法也包括:打开在第一腔室与第二腔室之间的狭缝阀,将第一腔室的环境排空和分析第一腔室排出物以确定第二腔室的环境的氧浓度。
在一个实施方式中,基板传送方法包括,将基板自传送腔室传送至装载锁定腔室,关闭在传送腔室与装载锁定腔室之间的狭缝阀以将装载锁定腔室的环境与传送腔室的环境隔离,自装载锁定腔室的环境去除氧化剂,和冷却在装载锁定腔室中的基板。方法也包括,打开在传送腔室与装载锁定腔室之间的狭缝阀,在打开狭缝阀期间将传送腔室的环境排空,和分析传送腔室排出物以确定在冷却基板期间装载锁定腔室的环境的氧浓度。
在一个实施方式中,基板传送方法包括,将基板自处理腔室传送至传送腔室,将基板自传送腔室传送至装载锁定腔室,和关闭在传送腔室与装载锁定腔室之间的狭缝阀以将装载锁定腔室的环境与传送腔室的环境隔离。方法也包括,自装载锁定腔室的环境去除氧化剂,冷却在装载锁定腔室中的基板,打开在传送腔室与装载锁定腔室之间的狭缝阀,在打开狭缝阀期间将传送腔室的环境排空,和分析传送腔室排出物以确定在冷却基板期间的装载锁定腔室的环境的氧浓度。
附图说明
以上简要概述的本公开内容的上述详述特征可以被详细理解的方式、以及本公开内容的更特定描述,可以通过参照实施方式获得,实施方式中的一些实施方式绘示于附图中。然而,应当注意,附图仅绘示了示例性实施方式,因而不应视为对本公开内容的范围的限制,因为本公开可允许其他等同有效的实施方式。
图1图示根据本文描述的实施方式的处理系统的示意平面图。
图2图示根据本文描述的实施方式的基板传送模块的示意透视图。
图3图示根据本文描述的实施方式的传送腔室的示意透视图。
图4图示根据本文描述的实施方式的图3的传送腔室的示意性局部透视图。
图5图示根据本文描述的实施方式的装载锁定腔室的示意横截面图。
图6A图示根据本文描述的实施方式的图5的装载锁定腔室的示意性局部透视图。
图6B图示根据本文描述的实施方式的图6A的装载锁定腔室的升降杆的示意性横截面图。
图6C图示根据本文描述的实施方式的图6A的装载锁定腔室的基板支撑特征的示意性横截面图。
图7图示根据本文描述的实施方式的处理系统的示意平面图。
图8图示根据本文描述的实施方式的处理系统的示意平面图。
图9图示根据本文描述的实施方式的处理平台的示意图。
图10图示根据本文描述的实施方式的处理平台的示意图。
图11图解根据本文描述的实施方式的用于传送基板和测量在装载锁定腔室中的氧浓度的方法的操作。
为了便于理解,已尽可能地使用相同的附图标号来标示附图中共通的相同元件。考虑到,一个实施方式的元件和特征在没有进一步描述下可有益地并入其他实施方式中。
具体实施方式
本文提供用于处理基板的方法和装置。在一个实施方式中,装置包括耦接至传送腔室的环境可控的装载锁定腔室。传送腔室可耦接至热处理腔室,并且在此情况下基板在装载锁定腔室、传送腔室和热处理腔室中的各个腔室之间传送。在其他实施方式中,公开具有环境可控的装载锁定腔室、传送腔室和热处理腔室的处理平台。本文也描述通过分析来自传送腔室的排出物来测量装载锁定腔室中的氧浓度的方法。
图1图示根据本文描述的实施方式的处理系统100的示意平面图。处理系统100包括工厂界面102、基板传送模块104和处理模块106。工厂界面102包括工厂界面(FI)传送腔室110,并且FI传送腔室被构造成与一或多个前开式标准舱(front opening unifiedpods;FOUPs)108连接。在一个实施方式中,FI传送腔室110具有设置在FI传送腔室中的单个机械手,机械手在FUOPs108与基板传送模块104之间传送基板。在另一实施方式中,FI传送腔室110具有设置在FI传送腔室110中的多个机械手(例如,两个机械手)。在此实施方式中,各个机械手将基板自FOUPs108中的一个传送至基板传送模块104的专用部分。
基板传送模块104包括一或多个装载锁定腔室112和一或多个传送腔室114。在所图示的实施方式中,基板传送模块104包括两个装载锁定腔室112和两个传送腔室114,然而,可以设想在处理系统100中利用更大量或更小量的装载锁定腔室112和传送腔室114对。
在装载锁定腔室112的第一侧上将每个装载锁定腔室112耦接至FI传送腔室110,以及在装载锁定腔室112的第二侧上将每个传送腔室114耦接至相应的装载锁定腔室112,装载锁定腔室112的第二侧与装载锁定腔室112的第一侧相对。每个传送腔室114的第一侧耦接至相应的装载锁定腔室112。传送腔室114具有设置在传送腔室114中的机械手,并且传送腔室114与装载锁定腔室112可操作的连通。例如,基板可在传送腔室114与装载锁定腔室112之间传送。
处理模块106包括一或多个处理腔室116,每个处理腔室116在传送腔室114的第二侧处耦接至相应的传送腔室114,传送腔室114的第二侧与传送腔室114的第一侧相对。在所图示的实施方式中,处理模块106包括两个处理腔室116,然而,可以设想在处理模块106中利用更大量的处理腔室。应注意,各腔室可在非直线对齐的构造中耦接,如在图1中所示。因此,可在不一定相对的腔室的位置和侧面处形成各连接。
在一个实施方式中,处理腔室116为热处理腔室,例如,快速热处理腔室。在某些实施方式中,处理腔室116为激光热处理腔室。激光热处理腔室的一个实例为从加利福尼亚州圣克拉拉市的应用材料公司(AppliedMaterials,Inc.,Santa Clara,CA.)获得的ASTRATM热处理工具。在替代性实施方式中,处理腔室116为基于灯的热处理腔室。基于灯的热处理腔室的实例包括PLUS和VULCANTM快速热处理工具,都可从加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials,Inc.,Santa Clara,CA.)获得。也可以设想,根据本文描述的实施方式,有利地实施来自其他厂家的其他工具。除上文所述的实施方式以外,还可以设想,依据所期望的实施方式处理腔室116为蚀刻腔室、沉积腔室或清洁腔室。
在操作中,将待处理的基板通过FOUPs 108传送至工厂界面102。在FI传送腔室110中的机械手自FOUP 108移除基板并将基板传送至装载锁定腔室112。设置在传送腔室114中的机械手取到来自装载锁定腔室112的基板并将基板传送至处理基板的处理腔室116。在处理之后,在传送腔室114中的机械手取到来自处理腔室116的基板并将基板传送至装载锁定腔室112。在FI传送腔室110中的机械手随后取到来自装载锁定腔室112的基板并将基板返回至FOUP 108。
在处理腔室116为热处理腔室的实施方式中,可将基板在装载锁定腔室112中冷却之后传送至工厂界面102。各腔室通过使用腔室之间的密封门(未示出)在适当的时间彼此隔离,这些腔室在合适的时间被打开以用于基板在腔室之间的通过。
图2图示根据本文描述的实施方式的基板传送模块104的示意透视图。所图示的基板传送模块104包括耦接至第一传送腔室204的第一装载锁定腔室202和耦接至第二传送腔室208的第二装载锁定腔室206。第一装载锁定腔室202和第一传送腔室204界定基板传送模块104中的一个,以及第二装载锁定腔室206和第二传送腔室208界定基板传送模块104中的另一个。因此,所图示的实施方式示出一对基板传送模块104。第一和第二装载锁定腔室202、206和第一和第二传送腔室204、208分别类似于关于图1描述的装载锁定腔室112和传送腔室114。
装载锁定腔室112包括界定处理容积(未示出)的腔室主体210,所述处理容积经改变大小以在所述处理容积中容纳基板。可以改变腔室主体210的大小以容纳具有不同直径的基板,除其他尺寸以外,例如200mm直径、300mm直径、或450mm直径。腔室主体210由金属材料形成,例如铝、不锈钢和上述组合。在一个实施方式中,腔室主体210由铝坯料制成。
在主体210中形成的第一狭缝阀212经改变大小以容纳基板穿过第一狭缝阀212通过。第一狭缝阀212被构造成与工厂界面102(图1)配接,以及第一狭缝阀门214耦接至主体210而与第一狭缝阀212邻近。在操作中,第一狭缝阀门214打开和关闭第一狭缝阀212以通过设置在工厂界面102中的机械手能够进入至装载锁定腔室112。装载锁定腔室112也包括第二狭缝阀(未示出),第二狭缝阀与第一狭缝阀212相对设置在腔室主体210中。第二狭缝阀门216耦接至主体210而与第二狭缝阀邻近以打开和关闭第二狭缝阀。邻近于传送腔室114设置第二狭缝阀(关于图5更详细地描述)。净化气体导管218也耦接至腔室主体210并与处理容积流体连通。
在图2的实施方式中直接耦接至装载锁定腔室112的传送腔室114,包括腔室主体220、设置在腔室主体220内的机械手224和盖222。腔室主体220由金属材料形成,例如铝、不锈钢和上述组合。在某些实施方式中,类似于装载锁定腔室112,腔室主体220由铝坯料制造。机械手224被构造成传送基板,例如在装载锁定腔室112与处理腔室116之间的所图示的基板230。因此,机械手所能及的范围(reach)足以延伸进装载锁定腔室112和处理腔室116中以定位和取到基板,以用于传送操作。
盖222可拆卸地耦接至腔室主体220的顶部。在一个实施方式中,盖222由光学透明或主要光学透明材料形成以能够观看传送腔室114内部。在一个实施方式中,盖222由例如聚碳酸酯或类似物的聚合材料形成。尽管未图示,多个通孔在传送腔室114的主体220中形成以使得基板230能穿过主体通过。多个局部定心(local center finding;LCF)传感器226、LCF传感器228也耦接至腔室主体220。LCF传感器与通孔耦接用以观察基板传递进入和离开传送腔室114。
第一LCF传感器226耦接至腔室主体220而与装载锁定腔室112邻近并被构造成当基板230自装载锁定腔室112传送至传送腔室114时检测基板230的位置。第二LCF传感器228与第一LCF传感器226相对耦接至腔室主体220而与处理腔室116邻近。类似于第一LCF传感器226,第二LCF传感器被构造成当基板230自传送腔室114传送至处理腔室116时检测基板230的位置。LCF传感器226、LCF传感器228中的各个LCF传感器也分别在自传送腔室114传送至装载锁定腔室112期间和自处理腔室116至传送腔室114期间检测基板的位置。
图3图示根据本文描述的实施方式的传送腔室114的示意透视图。腔室主体220包括支撑LCF传感器226、LCF传感器228和盖222的顶表面302。盖222具有附接至盖222的多个把手304以使得盖222能够自腔室主体220的高效拆卸。通过利用盖222的光学透明材料,应设想在腔室主体220中形成的其他观察孔是不必要的,从而简化腔室主体制造工艺。此外,如果需要进行维护,则可轻易地拆卸可通过螺纹紧固件或类似物耦接至腔室主体220的盖222,从而减少停机时间并提高工作效率。可通过适宜的紧固和密封系统将盖222耦接至腔室主体220。在一个实施方式中,可通过多个螺钉316将盖222固定至腔室主体220,可旋开所述盖222以拆卸盖222。可将密封构件(未示出)设置在盖222与腔室主体220之间以当传送腔室114在工作压力下时提供真空密封。
处理腔室端口适配器306在腔室主体220的表面上耦接至腔室主体220,腔室主体220耦接至处理腔室116(未示出)。在一个实施方式中,端口适配器306通过例如螺栓或类似的多个紧固件耦接至腔室主体220。安装板308耦接至端口适配器306以使得传送腔室114能够牢固耦接至处理腔室116。如果需要使用具有不同类型的处理腔室的基板传送模块104,则安装板308可拆卸地耦接至端口适配器306并可用不同适配板替换。
狭缝阀310为形成于并延伸穿过腔室主体220、端口适配器306和安装板308中的各个的开口。类似于本文描述的其他狭缝阀,狭缝阀310经改变大小以容纳基板230的通过。根据所期望的实施方式,狭缝阀310通过狭缝阀门312打开和关闭,所述狭缝阀门312耦接至腔室主体220或者端口适配器306。
图4图示根据本文描述的实施方式的图3的传送腔室114的示意局部透视图。盖222被拆卸并部分被切除以更明显地图示传送腔室114的内部多个方面。腔室主体220包括第一底板402和第二底板420。在一个实施方式中,第一底板402为腔室主体220的部分。在替代的实施方式中,第一底板402为可拆卸地耦接至腔室主体220的插入件。第二底板420也耦接至腔室主体220。在一个实施方式中,第二底板420由与第一底板402和腔室主体220相同的材料形成。第二底板420也可为紧固至腔室主体220的插入件。第二底板420为环形形状,其中机械手224延伸穿过开口。致动器(未示出)可为转动致动器或z-θ致动器,位于腔室主体220外面的致动器穿过在第二底板420中的开口耦接至机械手224。第一底板402也为环形形状并包围第二底板420。
排气口404邻近于第二狭缝阀门216在底板402中形成。排气口404可相对于第二狭缝阀门216居中(即,经定位使得排气口404的中心位于狭缝阀门216平分线上,并垂直于狭缝阀门216)或可偏离第二狭缝阀门216的中心。排气口404延伸穿过底板并在腔室主体220内的传送腔室114的容积与排气装置(未示出)之间提供流体连通。
多个净化气体端口406、净化气体端口408邻近于狭缝阀门312在第一底板402中形成。第一净化气体端口406设置在第一底板402中,所述第一底板402在第一方向上与排气口404的方位角成约90°与约180°之间。第二净化气体端口408(用虚线示出)设置在第一底板402中,所述第一底板402在与第一方向相对的第二方向上与排气口404的方位角成约90°与约180°之间。更一般地,净化气体端口406、净化气体端口408与排气口相对设置成穿过第一底板402。第一净化气体端口406和第二净化气体端口408与排气口404的方位位移在相反方向中可为相同的,或者可为不同的。
可在排气口404对面设置可选的排气口414在第一底板402中。如果期望将更大容积的流体流自传送腔室114排出,则可利用可选的排气口414。在替代实施方式中,可在可选的排气口414的位置处设置排气口404在第一底板402中,以及可以将净化气体端口406、净化气体端口408设置成类似于所示出的取向,邻近于第二狭缝阀门216而不是邻近于狭缝阀门312。换句话说,传送腔室114可具有一个或两个排气口404(和414),排气口可位于狭缝阀门216或狭缝阀门312或两者附近,以及净化气体端口406和净化气体端口408可位于狭缝阀门216或狭缝阀门312附近。另外,应注意,传送腔室114可具有净化气体端口406的一个、两个、三个或四个,可位于狭缝阀门216或狭缝阀门312或两者附近。
多个扩散器410、扩散器412分别耦接至第一净化气体端口406和第二净化气体端口408中的第一底板402。扩散器410、扩散器412在第一底板402以上的平面上自净化气体端口406、净化气体端口408延伸。净化气体端口406、净化气体端口408中的各个端口流体耦接至净化气源(未示出),以及净化气体端口406、净化气体端口408使得净化气体能够引进传送腔室114中。净化气体的适宜的实例包括惰性气体,诸如氮气、氦气和氩气或类似物。
在操作中,可自常压至处于约2托与约5托之间的低压对传送腔室114抽气。随后,可将净化气体引入至传送腔室114。净化气体穿过净化气体端口406、净化气体端口408输送,其中净化气体由扩散器410、扩散器412在整个传送腔室114中偏转。净化气体可穿过净化气体端口406、净化气体端口408以在约10标准升/分种(standard liters per minute;slm)与约200slm之间,例如在约50slm与约90slm之间的速率输送。应设想,当根据本文描述的实施方式净化时,传送腔室114内的环境可达到小于约百万分之(parts per million;ppm)0.1的氧浓度。
一般而言,传送腔室114可维持在约常压下。在一个实施方式中,可利用净化气体以自传送腔室114移除非惰性的或不需要的气体。在另一实施方式中,可利用净化气体以使传送腔室114增压至略微大于常压。在此实施方式中,可将传送腔室114内的环境维持在处于约1磅/每英寸(poundper square inch;psi)与约10psi之间,例如大于常压约5psi的压力下。通过将传送腔室环境维持在略微正压力下,据信,确保腔室中的任何气漏流向腔室外部,从而阻止环境气体侵入腔室内,可以实现传送腔室114内惰性和清洁环境的维持。以这种方式,可获得实质惰性的环境。在一个实施方式中,实质惰性的环境为实质无氧化剂,例如氧气、空气、CO2、水蒸汽或类似物。
机械手224围绕中心轴旋转并具有使得基板在X和Y方向中传送的臂416。臂416耦接至叶片418,叶片418在传送期间支撑基板230。可由诸如石英之类在的材料制造叶片418。通过利用石英,叶片能够支撑在处理腔室116中处理之后的基板230,此时基板230可具有大于约500℃的温度。在一个实施方式中,耦接至叶片418的臂416的部分可由合金材料(诸如60)制造,以最小化由于热膨胀的叶片418上的应力。
除以上所述的实施方式之外,可主动冷却传送腔室114,以促进在处理腔室116中处理之后的基板230的冷却。在此实施方式中,流体可流过形成于主体220中的通道或通过经由净化气体端口406、净化气体端口408将冷却的净化气体引入传送腔室114中而流动。据信,通过冷却传送腔室114,基板230在装载锁定腔室112后处理中冷却的时间可减少。
图5图示根据本文描述的实施方式的装载锁定腔室112的示意横截面图。装载锁定腔室112包括界定内容积502的腔室主体210。基板支撑件508设置在内容积502内且多个流体导管510设置在基板支撑件508内。流体导管510可在螺旋形路径、环绕路径、蛇行路径或其他迂曲路径中横穿基座。流体导管510设置在基板支撑件508的基板支撑表面509附近以提供流体导管510邻近基板而与基板支撑表面509接触。这种邻近提升在基板与穿过流体导管510的流体循环之间的热传送效率。在一个实施方式中,每个流体导管510的外径与基板支撑表面之间的距离为约0.1mm至约5mm,例如约1mm。
流体导管510也流动地耦接至冷却流体源512。适宜的冷却流体包括水、水基乙二醇混合物、全氟聚醚(例如,流体)、油基传热流体、液态金属(例如镓或镓合金)或其他类似流体。在一个实施方式中,基板支撑件508可维持在约10℃与约30℃之间,例如在基板230冷却期间的约20℃的温度下。视情况,如果需要加热基板230,也可在内容积502中设置加热器516。加热器516可为陶瓷加热器或类似物,或者可为设置在基板支撑件508内或以其他方式热接触(例如,邻近于)基板支撑件508的电阻式加热器。在图5的实施方式中,示出可选的加热器516邻近于凹槽511中的基板支撑件508,凹槽511围绕基板支撑件508。凹槽511通向内容积502使得基板支撑件508具有基座形状。或者,基板支撑件508可为接触装载锁定112的侧壁513的板,以及可由基板支撑件508将可选的加热器516和凹槽511与内容积502分离。
盖506耦接至腔室主体210而与基板支撑件508相对。可由与选择用于腔室主体210的材料类似或相同的金属材料制造盖506。扩散板504可耦接至并设置在盖506的中央区域。扩散板504与内容积502和净化气体导管218流体连通。净化气体导管218耦接至净化气源514,以及净化气体导管延伸穿过在内容积502附近的扩散板504。
盖506的底表面534自腔室主体210径向向内地延伸至扩散板504。盖506的底表面534的部分536自扩散板504逐渐变细地径向向外地延伸。据信,盖底表面534的形状,与穿过盖506和扩散板504输送净化气体相结合,提供净化气体在整个内容积502中的改善分布。
在操作中,对于大部分基板冷却操作,将装载锁定腔室112维持在实质常压下。然而,将内容积502中的环境的组成控制为实质惰性的环境。为确保实质惰性的环境(即,环境实质不含氧化剂或还原剂,例如,小于1ppm的O2浓度),将装载锁定腔室112自约常压排空至在约2托与约5托之间的低压。随后,使用例如氮气、氦气或氩气或类似的惰性气体净化内容积502。在一个实施方式中,在净化内容积502期间净化气体的流率在约2slm与约100slm之间,例如,约50slm。由于净化,压力被提升至约常压或略微大于常压,例如在大于常压约1psi与约10psi,例如,约5psi。
第二狭缝阀518与第一狭缝阀212相对设置在腔室主体210中。沿同一平面设置第一狭缝阀212和第二狭缝阀518。第二狭缝阀518邻近于传送腔室114设置并通过第二狭缝阀门216打开和关闭。如前所述,传送腔室114的排气口404设置在第二狭缝阀门518附近。
在装载锁定腔室112中基板传送和基板冷却期间,通常希望将内容积502维持在实质无氧的环境中。当打开第二狭缝阀门216时,可通过分析自排气口404获得的传送腔室114的排出物,来检测装载锁定内容积502的环境。排气口404邻近于第二狭缝阀门216允许当打开第二狭缝阀门216时来自装载锁定腔室112的气体穿过第二狭缝阀518流进排气口404中。
传送腔室114包括耦接至排气口404并与排气口404流体连通的排气导管520。排气导管520与排气出口532流体连通。泵530耦接至在排气出口532与排气口404之间的排气导管520,和止回阀528,止回阀528设置在泵530与排气口404之间的排气导管520中。在传送腔室114的泵送期间,气体流过排气口至排气出口532。
氧传感器522经由第一导管524和第二导管526与排气导管520流体连通。氧传感器522具有设置在氧传感器522中的泵,和可经由第一导管524牵引来自排气导管520的废气用于抽样。或者,氧传感器522可依赖跨止回阀528的压差(differential pressure)以将气体流过氧传感器522,因此消除在氧传感器522中对单独泵的需要。第一导管524耦接至在排气口404与止回阀528之间的排气导管520。第二导管526在止回阀528与泵530之间自氧传感器522延伸至排气导管520。
在操作中,泵530自传送腔室环境汲取气体至排气出口532,当打开第二狭缝阀518时,其中也含有来自装载锁定腔室环境的流体。在传送腔室114的排空期间,氧传感器522汲取排出流体的样本容积、分析排出物中的氧和将排出物返回至排气导管520。可根据本文描述的实施方式实施氧传感器的适宜实例包括可从Exton PA的Neutronics公司获得的3100型O2分析器。也可以设想,根据本文描述的实施方式利用来自其他厂家的能够检测小于约1ppm的氧浓度的其他O2分析器。
图11图解了根据本文描述的实施方式的用于传送基板和测量在装载锁定腔室的氧浓度的方法1100的操作。在操作1110处,将基板230自处理腔室116传送至传送腔室114。在操作1120处,将基板230传送至装载锁定腔室112。
在操作1130处,通过关闭第二狭缝阀518将装载锁定腔室环境与传送腔室环境隔离。通过在操作1140处净化装载锁定环境而自装载锁定腔室112去除氧。在操作1150处,在缺氧、氧化剂不足和/或实质惰性的环境中冷却基板230。据信,通过使基板能够在实质惰性的和无氧环境中传送和冷却基板,可改进后续接触结构形成工艺。
在操作1160处,打开在装载锁定腔室112与传送腔室114之间的第二狭缝阀518。在操作1170处,在打开第二狭缝阀518期间将传送腔室环境排空。在操作1180处,分析传送腔室排出物以确定在基板冷却期间的装载锁定腔室环境的氧浓度。也可以设想,利用氧传感器分析传送腔室114的内容积502的氧浓度。
因此,确定基板230是否在实质惰性的环境中冷却是可能的。如果氧传感器522确定氧浓度太大,例如大于约1ppm,可生成数据以指示基板230曾暴露于氧,在这时,处理系统100的操作员可确定要实施什么补救措施(若有的话)。
图6A图示根据本文描述的实施方式的图5的装载锁定腔室的示意部分透视图,出于清楚将盖506移除。腔室主体210包括至少部分地围绕基板支撑件508的侧壁628。在一个实施方式中,侧壁628可由用于形成腔室主体210的相同材料制造。在另一实施方式中,侧壁628可为可与腔室主体210分开的工艺配件。用以制造侧壁628的适宜材料的实例包括铝、不锈钢、和上述组合,或在工艺配件的情况中的各种陶瓷材料。
排气口626在侧壁628中形成,以及排气口626与内容积502流体连通。排气口626也与泵或排气装置(未示出)流体连通。在操作中,装载锁定腔室112可经由排气口626抽气至约2托与约5托之间的压力以去除可能存在于内容积502中的全部或实质全部氧气。将例如氮气或类似的净化气体输送至内容积502,并且压力上升至约常压或略大于常压。在一个实施方式中,净化气体将内容积502内的压力提升至在常压以上约1psi与约10psi之间。据信,利用轻微地正压力减少或消除在基板冷却期间氧气进入装载锁定腔室112的概率。
装载锁定腔室112也包括多个升降杆602。将多个升降杆602围绕基板支撑件508的周边间隔开。在所图示的实施方式中,示出三个升降杆602,然而,也可设想利用更大量的升降杆。基板支撑件508具有形成在基板支撑件508中的凹槽604,凹槽经改变大小以容纳升降杆602,所述升降杆602朝向基板支撑件508的中心横向地延伸。因此凹槽604自基板支撑件的边缘向基板支撑件中心径向地延伸。类似于升降杆602,将凹槽604围绕基板支撑件508的周边间隔开。在一个实施方式中,凹槽604和升降杆602设置在围绕基板支撑件508的周边的对应位置处。在另一实施方式中,凹槽604具有半圆形形状,然而可以预想利用其他形状以容纳升降杆602。
在一个实施方式中,升降杆602和凹槽604邻近于腔室主体210设置在侧面上,所述侧面垂直于具有狭缝阀门214、狭缝阀门216的侧面,狭缝阀门214、狭缝阀门216耦接至所述侧面。在一个实施方式中,升降杆602中的一个设置在其他两个升降杆602对面。相对于基板支撑件508的升降杆602的位置和基板传送路径使得基板230通过升降杆602和基板支撑件508支撑而不改变基板230的传送路径。
图6B图示根据本文描述的实施方式的图6A的装载锁定腔室112的一个升降杆602的示意横截面图。每个升降杆602包括轴606、耦接至轴606并自轴606延伸的第一延伸部分608、和耦接至轴606并自轴606延伸的第二延伸部分610。第一延伸部分608和第二延伸部分610中的至少一个在轴606的第一端与轴606的第二端之间的位置处附接至轴606。第一延伸部分608可附接至轴606的第一端与第二端之间,而第二延伸部分610附接在轴606的第二端。
第一延伸部分608和第二延伸部分610中的各个自轴606横向地延伸,例如与轴606成90°角度。延伸部分608、延伸部分610中的各个可以与轴606成90°角度延伸,或成类似能够支撑基板的另一角度延伸。例如,第二延伸部分610可与轴606形成90°角度,而第一延伸部分608远离轴606和第二延伸部分610横向地延伸。另外,示出第一延伸部分608和第二延伸部分610中的各个为远离轴606直线延伸的直构件,但延伸部分608、延伸部分610的一或多个可为非直线的。例如,第一延伸部分608和第二延伸部分610中的一或多个可为倾斜构件,所述倾斜构件具有与轴606成角度的第一部分和与第一部分成角度的第二部分。
轴606自基板支撑件508的边缘径向向外的设置,以及第一延伸部分608和第二延伸部分610自轴606径向向内地延伸。在一个实施方式中,第一延伸部分608和第二延伸部分610自基板支撑件508外边缘径向向内地延伸。
第一延伸部分608和第二延伸部分610以距离620相间隔,这适于容纳在第一延伸部分上的基板230的定位和支撑。在一个实施方式中,距离620在约10毫米(mm)与约30mm之间,例如约20mm。第一延伸部分608包括顶表面612,顶表面612具有设置在其中的第一支撑球616。类似于第一延伸部分608,第二延伸部分610具有顶表面614,顶表面614具有设置在顶表面614中的第二支撑球618。第一支撑球616和第二支撑球618分别耦接至顶表面612、顶表面614,并在顶表面612、顶表面614上面延伸。当基板230由升降杆602支撑时,基板230接触支撑球616、支撑球618并搁置在支撑球616、支撑球618上。用于制造支撑球616、支撑球618的适宜材料的实例包括各种陶瓷材料,诸如氮化硅或碳化硅。尽管本文描述的支撑球616、支撑球618为球形,但应预想,支撑球616、支撑球618可为椭圆形垫、圆角矩形、自顶表面612、顶表面614的凸出物,或类似者。
回头参看图6A,基板支撑件508也包括设置在基板支撑件508的顶表面中的多个接触球622。将接触球622相间隔以使得基板230在装载锁定腔室112中的冷却工艺期间被均匀支撑。类似于支撑球616、支撑球618,接触球622由诸如氮化硅或碳化硅之类的一或多种陶瓷材料制造。
在操作中,将升降杆602提升至装载/卸载位置,此处第一延伸部分608设置在基板支撑件508的顶表面之上。当自工厂界面102传送基板时,尚未处理的基板置于第二延伸部分610上。处理腔室116处理过的基板通过传送腔室114的机械手224置于第一延伸部分608上。将升降杆602自装载/卸载位置缩回至处理位置,此处第一延伸部分608设置在凹槽604中,以及基板由接触球622支撑以冷却处理过的基板。
在处理基板充分地冷却之后,在将基板放置在升降杆602期间,升降杆602自处理位置延伸至装载/卸载位置。来自工厂界面102的机械手取到第一延伸部分608支撑的经处理的基板。第二延伸部分610支撑的未处理基板由机械手224取到并穿过传送腔室114传送至处理腔室116。因此,装载锁定腔室112提供在没有或实质没有氧的环境中传送和冷却基板的提升的效率。
图6C图示根据本文描述的实施方式的图6A的基板支撑件508和接触球622的示意横截面图。例如通过压配或类似方式耦接至基座的接触球622在基板支撑件508的顶表面之上延伸距离624。在一个实施方式中,距离624在约0.01英寸与约0.03英寸之间。应设想,可改变距离624以影响基板230的冷却速率。例如,更小距离624可提供基板230的更快冷却速率,而更大距离624可提供更慢的冷却速率。
图7图示根据本文描述的实施方式的处理系统700的示意平面图。处理系统700包括工厂界面702、基板传送模块704和处理模块706。工厂界面702包括多个FOUPs 708和FI传送腔室710。基板传送模块704包括多个装载锁定腔室712和传送腔室714。装载锁定腔室712耦接至FI传送腔室710,以及传送腔室714耦接至装载锁定腔室712。在一个实施方式中,传送腔室714为具有多个(诸如2个)传送机械手的单个腔室716,所述多个传送机械手设置在单个腔室716中。在一个实施方式中,装载锁定腔室712和传送腔室714分别类似于装载锁定腔室112和传送腔室114。
处理模块706包括例如热处理腔室的多个处理腔室718。在一个实施方式中,处理腔室718为诸如激光热处理腔室的快速热处理腔室。在其他实施方式中,处理腔室718可依据所期望的实施方式为沉积腔室、蚀刻腔室、清洁腔室或类似腔室。通过利用具有单个腔室716的传送腔室714,基板可在传送腔室714中的机械手之间传送以提高基板传送效率。
图8图示根据本文描述的实施方式的处理系统800的示意平面图。处理系统800包括工厂界面802、基板传送模块804和处理模块806。工厂界面802包括多个FOUPs 808和FI传送腔室810。基板传送模块804包括装载锁定腔室812和多个传送腔室814。在一个实施方式中,装载锁定腔室812和多个传送腔室814分别类似于装载锁定腔室112和传送腔室114。
装载锁定腔室812设置在传送腔室814之间,并且各个传送腔室814的机械手可进入装载锁定腔室812。装载锁定腔室812耦接至工厂界面802并取到来自FI传送腔室810的基板。传送腔室814取到来自中央设置装载锁定腔室812的基板并将基板传送至处理模块806。
类似于处理模块706,处理模块806包括诸如热处理腔室的多个处理腔室818。在一个实施方式中,处理腔室818为诸如激光热处理腔室的快速热处理腔室。在其他实施方式中,处理腔室818可依据所期望的实施方式为沉积腔室、蚀刻腔室、清洁腔室或类似腔室。
图9示出根据本文描述的实施方式的处理平台900的示意图。设想平台900为本质上的模块并能够被重新布置以最佳地适配处理能力。同时可设想,将各种腔室和部件自平台900拆卸或添加至平台900以能够在单个平台上大量应用特定工艺。
平台900包括第一工厂界面902,第一工厂界面902具有耦接至第一工厂界面902的多个FOUPs 936。多个基板传送模块914耦接至第一工厂界面902。基板传送模块914包括装载锁定腔室916和传送腔室918。在一个实施方式中,装载锁定腔室916类似于装载锁定腔室112。在另一实施方式中,传送腔室918类似于传送腔室114。平台900也包括处理模块920。处理模块920包括第一处理腔室922和第二处理腔室924。在一个实施方式中,第一处理腔室922和第二处理腔室924类似于处理腔室116。
平台900进一步包括第二工厂界面904,第二工厂界面904具有耦接至第二工厂界面904的多个FOUPs 934。中央传送腔室926通过多个装载锁定腔室938耦接至第二工厂界面904。多个处理腔室928、处理腔室930、处理腔室932耦接至中央传送腔室926并设置在中央传送腔室926周围。在一个实施方式中,处理腔室928直接地耦接至中央传送腔室926。处理腔室930和处理腔室932由单独的基板传送模块914耦接至中央传送腔室。
可通过利用基板传送模块914来改进处理腔室相对于传送腔室和工厂界面的布置。可通过利用基板传送模块914获得基板环境管理改善和高效的基板传送以便于提高平台灵活性。
平台900也包括隧道腔室906。隧道腔室906设置在第一工厂界面902与第二工厂界面904之间。隧道腔室906包括第一装载锁定腔室908、传送腔室912和第二装载锁定腔室910。第一装载锁定腔室908设置在第一工厂界面902与隧道腔室906的传送腔室912之间。在一个实施方式中,装载锁定腔室908、装载锁定腔室910类似于装载锁定腔室112。类似地,传送腔室912类似于传送腔室114。第二装载锁定腔室910设置在第二工厂界面904与隧道腔室906的传送腔室912之间。由此,隧道腔室906使得基板能够在第一工厂界面902与第二工厂界面904之间传送。
通过将工厂界面902、工厂界面904与隧道腔室906耦接,在处理模块920中处理之后基板不必返回至FOUPs 936。相反地,基板可穿过隧道腔室906传送至处理腔室928、处理腔室930、处理腔室932的一或多个以用于后续处理操作。在一个示例中,处理腔室928、处理腔室930、处理腔室932可为沉积腔室、蚀刻腔室、清洁腔室或具有各种其他处理能力的其他腔室类型中的任一种。因此,可对热处理基板进行后续处理操作而不在多个平台传送期间将基板暴露至氧。
图10示出根据本文描述的实施方式的处理平台1000的示意图。设想平台1000为本质上模块化的并能够被重新布置以很好地适配处理能力。同时可设想,将各种腔室和部件自平台1000拆卸或添加至平台1000以能够在单个平台上实现大范围的应用特定工艺。
平台1000包括第一工厂界面1002,第一工厂界面1002具有耦接至第一工厂界面1002的多个FOUPs 1036。多个基板传送模块1014耦接至第一工厂界面1002。基板传送模块1014包括装载锁定腔室1016和传送腔室1018。在一个实施方式中,装载锁定腔室1016类似于装载锁定腔室112。在另一实施方式中,传送腔室1018类似于传送腔室114。平台0100也包括处理模块1020。处理模块1020包括第一处理腔室1022和第二处理腔室1024。在一个实施方式中,第一处理腔室1022和第二处理腔室1024类似于处理腔室116。
平台1000进一步包括第二工厂界面904,第二工厂界面904具有耦接至第二工厂界面904的多个FOUPs 1034。中央传送腔室1026通过多个装载锁定腔室1032耦接至第二工厂界面1004。多个处理腔室1028、处理腔室1030耦接至中央传送腔室1026并设置在中央传送腔室1026周围。在一个实施方式中,处理腔室1028和处理腔室1030直接耦接至中央传送腔室1026。
平台1000也包括隧道腔室1006。隧道腔室1006设置在第一工厂界面902与中央传送腔室1026之间。隧道腔室1006包括第一装载锁定腔室1008、传送腔室1012和第二装载锁定腔室1010。第一装载锁定腔室1008设置在第一工厂界面1002与隧道腔室1006的传送腔室1012之间。在一个实施方式中,装载锁定腔室1008、装载锁定腔室1010类似于装载锁定腔室112。类似地,传送腔室1012类似于传送腔室114。
第二装载锁定腔室1010设置在中央传送腔室1026与隧道腔室1006的传送腔室1012之间。由此,隧道腔室1006使得基板能够在第一工厂界面902与中央传送腔室1026之间传送。利用平台1000的布置,也可获得与使用平台900所获得的类似的效率。
在一个实施方式中,基板处理装置包括工厂界面、用于在约常压下生成实质惰性的环境的基板传送模块。基板传送模块包括装载锁定腔室和传送腔室,装载锁定腔室耦接至工厂界面,所述装载锁定腔室具有界定处理容积的腔室主体和与处理容积流体连通的净化气体端口,传送腔室耦接至装载锁定腔室。处理模块也耦接至基板传送模块,其中处理模块包括多个处理腔室。
在一个实施方式中,工厂界面进一步包括耦接至工厂界面的多个前开式标准舱。
在一个实施方式中,基板传送模块包括一对装载锁定腔室。
在一个实施方式中,基板传送模块包括一对传送腔室。
在一个实施方式中,装载锁定腔室包括与处理容积流体连通的排气口。
在一个实施方式中,装载锁定腔室包括设置在处理容积中的基座,其中基座具有形成在基座中的多个冷却流体导管。
在一个实施方式中,装载锁定腔室具有形成于腔室主体中而与工厂界面邻近的第一狭缝阀,和耦接至腔室而与第一狭缝阀邻近的第一狭缝阀门。
在一个实施方式中,装载锁定腔室具有形成于腔室中而与传送腔室邻近的第二狭缝阀,和耦接至腔室而与第二狭缝阀邻近的第二狭缝阀门。
在一个实施方式中,各个处理腔室为快速热处理腔室。
在一个实施方式中,各个快速热处理腔室为激光热处理腔室。
在一个实施方式中,各个激光热处理腔室在约常压下工作。
在一个实施方式中,基板处理装置包括工厂界面,并且工厂界面包括第一传送腔室,第一传送腔室具有设置在第一传送腔室中的第一机械手。装置也包括用于在约常压下生成实质惰性的环境的基板传送模块。基板传送模块包括装载锁定腔室和第二传送腔室,装载锁定腔室耦接至第一传送腔室,第二传送腔室具有设置在第二传送腔室中的第二机械手,其中第二传送腔室耦接至装载锁定腔室。装置也包括耦接至基板传送模块的处理模块,其中处理模块包括处理腔室,并且处理腔室耦接至第二传送腔室。
在一个实施方式中,处理腔室为激光热处理腔室。
在一个实施方式中,处理腔室为沉积腔室。
在一个实施方式中,处理腔室为蚀刻腔室。
在一个实施方式中,装载锁定腔室包括,界定处理容积的腔室主体,与处理容积流体连通的净化气体端口和与处理容积流体连通的排气口。
在一个实施方式中,装载锁定腔室包括设置在处理容积中的基座,并且基座具有形成在基座中的多个冷却流体导管。
在一个实施方式中,基板处理装置包括工厂界面,并且工厂界面包括第一传送腔室和多个前开式标准舱,第一传送腔室具有设置在第一传送腔室中的第一机械手,多个前开式标准舱耦接至第一传送腔室。装置也包括用于在约常压下生成实质惰性的环境的基板传送模块。基板传送模块包括常压装料锁腔室和第二传送腔室,常压装料锁腔室耦接至第一传送腔室,第二传送腔室具有设置在第二传送腔室中的第二机械手,其中第二传送腔室耦接至装载锁定腔室。装置也包括耦接至基板传送模块的处理模块,其中处理模块包括激光热处理腔室,并且激光热处理腔室耦接至第二传送腔室。
在一个实施方式中,第二传送腔室包括腔室主体、设置在腔室主体中的排气口和耦接至腔室主体的排气导管,所述排气导管自排气口延伸。
在一个实施方式中,氧传感器与排气导管流体连通。
在一个实施方式中,基板传送装置包括用于在约常压下生成实质惰性的环境的装载锁定腔室。装载锁定腔室包括界定处理容积的腔室主体、设置在处理容积中的基座、与基座相对耦接至腔室主体的盖、穿过盖设置的净化气体端口、和邻近于基座且与净化气体端口相对设置在腔室主体中的排气口。装置也包括用于在约常压下生成实质惰性的环境的传送腔室,所述传送腔室耦接至装载锁定腔室。传送腔室包括界定传送容积的腔室主体、设置在传送容积中的机械手、设置在腔室主体中的多个净化气体端口、和与多个净化气体端口相对设置在腔室主体中的排气口。
在一个实施方式中,装载锁定腔室进一步包括在装载锁定腔室主体中形成的第一狭缝阀和与第一狭缝阀相对在装载锁定腔室主体中形成的第二狭缝阀。
在一个实施方式中,装载锁定腔室进一步包括耦接至装料锁腔室主体而与第一狭缝阀邻近的第一狭缝阀门,和耦接至装料锁腔室主体而与第二狭缝阀邻近的第二狭缝阀门。
在一个实施方式中,装载锁定腔室进一步包括围绕基座设置的多个升降杆,其中多个凹槽与升降杆邻近形成于基座中。
在一个实施方式中,多个升降杆中的每个包括,轴、耦接至轴并自轴延伸的第一延伸部分、耦接至轴并自轴延伸的第二延伸部分,其中第二延伸部分邻近于第一延伸部分设置并与第一延伸部分相间隔。
在一个实施方式中,第一延伸部分与第二延伸部分相隔约10mm与约30mm之间的距离。
在一个实施方式中,支撑球耦接至第一延伸部分和第二延伸部分中的各个。
在一个实施方式中,支撑球由氮化硅材料制造。
在一个实施方式中,基座具有形成在基座中的多个流体导管。
在一个实施方式中,基座的顶表面具有设置在所述顶表面中的多个氮化硅材料接触球。
在一个实施方式中,传送腔室主体包括耦接至腔室主体的光学透明盖。
在一个实施方式中,光学透明盖由聚碳酸酯材料制造。
在一个实施方式中,多个净化气体端口中的每个具有自净化气体端口延伸的扩散器。
在一个实施方式中,传送腔室排气口设置在邻近于一区域的传送腔室中,在所述区域中装载锁定腔室耦接至传送腔室。
在一个实施方式中,基板处理装置包括用于在约常压下生成实质惰性的环境的装载锁定腔室。装载锁定腔室包括,界定处理容积的腔室主体、设置在处理容积中的基座、设置在基座中的流体导管、沿基座周边形成的多个凹槽、和设置在处理容积中的多个升降杆,每个升降杆邻近于多个凹槽中的一个。装置也包括盖、扩散板、净化气体端口和排气口,盖与基座相对耦接至腔室主体、扩散板在盖的中心区域处耦接至盖,其中盖的底表面的部分自扩散板逐渐变小地径向向外延伸,净化气体端口穿过扩散板设置,排气口邻近于基座且与净化气体端口相对设置在腔室主体中。
在一个实施方式中,腔室主体由铝坯料制造。
在一个实施方式中,装置进一步包括,设置在腔室主体的侧壁中的第一狭缝阀、邻近于第一狭缝阀而耦接至腔室主体的第一狭缝阀门、与第一狭缝阀相对设置在腔室主体的侧壁中的第二狭缝阀、和邻近于第二狭缝阀而耦接至腔室主体的第二狭缝阀门。
在一个实施方式中,基板传送装置包括用于在约常压下生成实质惰性的环境的传送腔室,所述传送腔室耦接至装载锁定腔室。传送腔室包括界定传送容积的腔室主体、耦接至腔室主体的光学透明盖、和设置在传送容积中的机械手,其中机械手的叶片由石英制造。装置也包括设置在腔室主体中的多个净化气体端口、自净化气体端口延伸的多个扩散器、与多个净化气体端口相对设置在腔室主体中的排气口、耦接至排气口的排气导管、和通过排气导管和排气口与传送容积流体连通的氧传感器。
在一个实施方式中,氧传感器具有小于约百万分之一氧的氧浓度灵敏度。
在一个实施方式中,氧传感器通过第一导管和第二导管而耦接至排气导管。
在一个实施方式中,平台装置包括第一工厂界面、耦接至第一工厂界面的第二工厂界面、和设置在第一工厂界面与第二工厂界面之间的隧道腔室,其中隧道腔室包括传送腔室和多个装载锁定腔室。装置也包括耦接至第一工厂界面的第一传送模块、耦接至第一传送模块的处理模块、耦接至第二工厂界面的中央传送腔室、和耦接至中央传送腔室的多个处理腔室,其中多个处理腔室中的至少一个由第二传送模块而耦接至中央传送腔室。
在一个实施方式中,第一传送模块和第二传送模块包括装载锁定腔室和传送腔室。
在一个实施方式中,装载锁定腔室和传送腔室在约常压下生成实质惰性的环境。
在一个实施方式中,装载锁定腔室耦接在第一工厂界面与传送腔室之间。
在一个实施方式中,传送腔室耦接在装载锁定腔室与处理模块之间。
在一个实施方式中,处理模块包括激光热处理腔室。
在一个实施方式中,处理模块包括基于灯的热处理腔室。
在一个实施方式中,多个处理腔室的第一处理腔室包括沉积腔室。
在一个实施方式中,多个处理腔室的第二处理腔室包括蚀刻腔室。
在一个实施方式中,多个处理腔室的第三处理腔室包括清洁腔室。
在一个实施方式中,平台装置包括第一工厂界面、耦接至第一工厂界面的传送模块、耦接至传送模块的处理模块、第二工厂界面、和耦接至第二工厂界面的中央传送腔室。装置也包括设置在第一工厂界面与中央传送腔室之间的隧道腔室,其中隧道腔室包括传送腔室和多个装载锁定腔室。装置也包括耦接至中央传送腔室的多个处理腔室。
在一个实施方式中,传送模块包括装载锁定腔室和传送腔室。
在一个实施方式中,传送模块在约常压下生成实质惰性的环境。
在一个实施方式中,装载锁定腔室耦接在第一工厂界面与传送腔室之间。
在一个实施方式中,传送腔室耦接在装载锁定腔室与处理模块之间。
在一个实施方式中,处理模块包括激光热处理腔室。
在一个实施方式中,处理模块包括基于灯的热处理腔室。
在一个实施方式中,多个处理腔室的第一处理腔室为沉积腔室。
在一个实施方式中,多个处理腔室的第二处理腔室为蚀刻腔室。
在一个实施方式中,隧道腔室传送腔室设置在多个隧道腔室与装载锁定腔室之间。
在一个实施方式中,基板处理方法包括,将基板自第一腔室传送至第二腔室,将第二腔室的环境与第一腔室的环境隔离,自第二腔室的环境去除氧,和冷却在第二腔室中的基板。方法也包括,打开在第一腔室与第二腔室之间的狭缝阀,将第一腔室的环境排空,和分析第一腔室排出物以确定第二腔室的环境的氧浓度。
在一个实施方式中,第一腔室的环境在基板传送期间被维持在约常压下。
在一个实施方式中,第二腔室的环境在基板传送期间被维持在约常压下。
在一个实施方式中,第一腔室的环境在基板传送期间被维持在真空下。
在一个实施方式中,第二腔室的环境在基板传送期间被维持在真空下。
在一个实施方式中,自第二腔室的环境去除氧包括使用惰性气体净化第二腔室的环境。
在一个实施方式中,惰性气体为氮气。
在一个实施方式中,第二腔室的环境在冷却基板期间被维持在常压以上。
在一个实施方式中,在冷却基板期间第二腔室的环境的压力在大于常压约1psi与约10psi之间。
在一个实施方式中,基板处理方法包括,将基板自传送腔室传送至装载锁定腔室,关闭在传送腔室与装载锁定腔室之间的狭缝阀以将装载锁定腔室的环境与传送腔室的环境隔离,自装载锁定腔室的环境去除氧,和冷却在装载锁定腔室中的基板。方法也包括,打开在传送腔室与装载锁定腔室之间的狭缝阀,在打开狭缝阀期间将传送腔室的环境排空,和分析传送腔室排出物以确定在冷却基板期间装载锁定腔室的环境的氧浓度。
在一个实施方式中,传送腔室的环境在基板传送期间被维持在常压下。
在一个实施方式中,装载锁定腔室的环境在基板传送期间被维持在常压下。
在一个实施方式中,传送腔室的环境在基板传送期间被维持在真空下。
在一个实施方式中,装载锁定腔室的环境在基板传送期间被维持在真空下。
在一个实施方式中,自装载锁定腔室的环境去除氧包括使用氮气净化装载锁定腔室的环境。
在一个实施方式中,在冷却基板和净化期间装载锁定腔室的环境的压力在大于常压约1psi与约10psi之间。
在一个实施方式中,在冷却基板期间装载锁定腔室的环境的氧浓度小于约1ppm。
在一个实施方式中,基板传送方法包括:将基板自处理腔室传送至传送腔室,将基板自传送腔室传送至装载锁定腔室,和关闭在传送腔室与装载锁定腔室之间的狭缝阀以将装载锁定腔室的环境与传送腔室的环境隔离。方法也包括,自装载锁定腔室的环境去除氧,冷却在装载锁定腔室中的基板,打开在传送腔室与装载锁定腔室之间的狭缝阀,在打开狭缝阀期间将传送腔室的环境排空,和分析传送腔室排出物以确定在冷却基板期间的装载锁定腔室的环境的氧浓度。
在一个实施方式中,处理腔室为激光热处理腔室。
在一个实施方式中,处理腔室、传送腔室和装载锁定腔室中的各个的环境在基板传送期间被维持在约常压下。
尽管前述针对本公开内容的实施方式,但在不脱离本公开内容的基本范围的情况下,可设计本公开内容的其他的和进一步的实施方式,并且本发明的范围是由随附的权利要求书来确定。
Claims (20)
1.一种基板传送装置,包括:
装载锁定腔室,所述装载锁定腔室用于在约常压下生成实质惰性环境,所述装载锁定腔室包括:
腔室主体,所述腔室主体界定处理容积;
基板支撑件,所述基板支撑件设置在所述处理容积中,所述基板支撑件具有设置在所述基板支撑件中的多个流体导管;
盖,所述盖与基座相对而耦接至所述腔室主体;
净化气体端口,所述净化气体端口穿过所述盖设置;和
排气口,所述排气口邻近于所述基座且与所述净化气体端口相对而设置在所述腔室主体中;
传送腔室,所述传送腔室用于在约常压下生成实质惰性环境,所述传送腔室耦接至所述装载锁定腔室,所述传送腔室包括:
腔室主体,所述腔室主体界定传送容积;
机械手,所述机械手设置在所述传送容积中;
多个净化气体端口,所述多个净化气体端口设置在所述腔室主体中;
以及
排气口,所述排气口与所述多个净化气体端口相对设置在所述腔室主体中。
2.根据权利要求1所述的装置,其中所述装载锁定腔室进一步包括:
第一狭缝阀,所述第一狭缝阀耦接至所述装载锁定腔室;以及
第二狭缝阀,所述第二狭缝阀形成于所述装载锁定腔室中而与所述第一狭缝阀相对。
3.根据权利要求2所述的装置,其中所述装载锁定腔室进一步包括:
第一狭缝阀门,所述第一狭缝阀门耦接至所述装载锁定腔室而与所述第一狭缝阀邻近;以及
第二狭缝阀门,所述第二狭缝阀门耦接至所述装载锁定腔室而与所述第二狭缝阀邻近。
4.根据权利要求1所述的装置,其中所述装载锁定腔室进一步包括:
多个升降杆,所述多个升降杆围绕所述基座设置,其中多个凹槽形成于所述基座中而邻近于所述升降杆。
5.根据权利要求4所述的装置,其中所述多个升降杆中的每个包括轴、耦接至所述轴并自所述轴延伸的第一延伸部分、耦接至所述轴并自所述轴延伸的第二延伸部分,其中第二延伸部分与所述第一延伸部分邻近且与所述第一延伸部分相间隔。
6.根据权利要求5所述的装置,其中所述第一延伸部分与所述第二延伸部分相间隔10mm与30mm之间的距离。
7.根据权利要求5所述的装置,其中支撑球耦接至所述第一延伸部分与所述第二延伸部分中的各个。
8.根据权利要求7所述的装置,其中所述支撑球由氮化硅材料制造。
9.根据权利要求1所述的装置,其中所述基座具有形成于所述基座中的多个流体导管。
10.根据权利要求1所述的装置,其中所述基座的顶表面具有设置在所述顶表面中的多个氮化硅接触球。
11.根据权利要求1所述的装置,其中所述传送腔室进一步包括:
光学透明盖,所述光学透明盖耦接至所述腔室主体。
12.根据权利要求11所述的装置,其中所述光学透明盖由聚碳酸酯材料制造。
13.根据权利要求1所述的装置,其中所述多个净化气体端口中的每个具有自所述净化气体端口延伸的扩散器。
14.根据权利要求1所述的装置,其中所述传送腔室排气口设置于所述传送腔室主体中而与所述装载锁定腔室耦接至所述传送腔室的区域处邻近。
15.一种基板处理装置,包括:
装载锁定腔室,所述装载锁定腔室用于在约常压下生成实质惰性环境,所述装载锁定腔室包括:
腔室主体,所述腔室主体界定处理容积;
基板支撑件,所述基板支撑件设置在所述处理容积中,所述基板支撑件具有设置在所述基板支撑件中的多个流体导管;
流体导管,所述流体导管设置在基座中;
多个凹槽,所述多个凹槽沿基座周边形成;
多个升降杆,所述多个升降杆设置在处理容积中,所述多个升降杆的每个升降杆邻近于所述多个凹槽中的一个凹槽;
盖,所述盖与所述基座相对而耦接至所述腔室主体;
扩散板,所述扩散板在所述盖的中心区域处耦接至所述盖,其中所述盖的底表面的部分自所述扩散板逐渐变小地径向向外延伸;
净化气体端口,所述净化气体端口穿过所述盖设置;以及
排气口,所述排气口邻近于所述基座且与所述净化气体端口相对而设置在所述腔室主体中。
16.根据权利要求15所述的装置,其中所述腔室主体由铝坯料制造。
17.根据权利要求15所述的装置,进一步包括:
第一狭缝阀,所述第一狭缝阀设置在所述腔室主体的侧壁中;
第一狭缝阀门,所述第一狭缝阀门邻近于所述第一狭缝阀而耦接至所述腔室主体;
第二狭缝阀,所述第二狭缝阀设置在所述腔室主体的侧壁中而与所述第一狭缝阀相对;以及
第二狭缝阀门,所述第二狭缝阀门邻近于所述第二狭缝阀而耦接至所述腔室主体。
18.一种基板传送装置,包括:
传送腔室,所述传送腔室用于在约常压下生成实质惰性环境,所述传送腔室耦接至所述装载锁定腔室,所述传送腔室包括:
腔室主体,所述腔室主体界定传送容积;
光学透明盖,所述光学透明盖耦接至所述腔室主体;
机械手,所述机械手设置在所述传送容积中,其中所述机械手的叶片由石英制造;
多个净化气体端口,所述多个净化气体端口设置在所述腔室主体中;
多个扩散器,所述多个扩散器自所述净化气体端口延伸;
排气口,所述排气口与所述多个净化气体端口相对而设置在所述腔室主体中;
排气导管,所述排气导管耦接至所述排气口;以及
氧传感器,所述氧传感器通过所述排气导管和所述排气口与所述传送容积流体连通。
19.根据权利要求18所述的装置,其中所述氧传感器具有小于百万分之一氧的氧浓度灵敏度。
20.根据权利要求18所述的装置,其中所述氧传感器通过第一导管和第二导管而耦接至所述排气导管。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201710979355.3A CN108538747B (zh) | 2017-03-03 | 2017-07-27 | 环境可控的传送模块和处理系统 |
Applications Claiming Priority (10)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762466539P | 2017-03-03 | 2017-03-03 | |
US201762466533P | 2017-03-03 | 2017-03-03 | |
US201762466535P | 2017-03-03 | 2017-03-03 | |
US201762466527P | 2017-03-03 | 2017-03-03 | |
US62/466,539 | 2017-03-03 | ||
US62/466,527 | 2017-03-03 | ||
US62/466,533 | 2017-03-03 | ||
US62/466,535 | 2017-03-03 | ||
CN201710624476.6A CN108538746A (zh) | 2017-03-03 | 2017-07-27 | 环境可控的传送模块和处理系统 |
CN201710979355.3A CN108538747B (zh) | 2017-03-03 | 2017-07-27 | 环境可控的传送模块和处理系统 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710624476.6A Division CN108538746A (zh) | 2017-03-03 | 2017-07-27 | 环境可控的传送模块和处理系统 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN108538747A true CN108538747A (zh) | 2018-09-14 |
CN108538747B CN108538747B (zh) | 2024-01-30 |
Family
ID=62359521
Family Applications (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710624476.6A Pending CN108538746A (zh) | 2017-03-03 | 2017-07-27 | 环境可控的传送模块和处理系统 |
CN201710979355.3A Active CN108538747B (zh) | 2017-03-03 | 2017-07-27 | 环境可控的传送模块和处理系统 |
CN201820553227.2U Active CN208923035U (zh) | 2017-03-03 | 2017-07-27 | 基板传送装置和基板处理装置 |
CN201720922591.7U Active CN207353216U (zh) | 2017-03-03 | 2017-07-27 | 基板处理装置 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710624476.6A Pending CN108538746A (zh) | 2017-03-03 | 2017-07-27 | 环境可控的传送模块和处理系统 |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201820553227.2U Active CN208923035U (zh) | 2017-03-03 | 2017-07-27 | 基板传送装置和基板处理装置 |
CN201720922591.7U Active CN207353216U (zh) | 2017-03-03 | 2017-07-27 | 基板处理装置 |
Country Status (5)
Country | Link |
---|---|
US (2) | US10361104B2 (zh) |
JP (2) | JP7158133B2 (zh) |
KR (2) | KR20180101139A (zh) |
CN (4) | CN108538746A (zh) |
TW (3) | TWM561909U (zh) |
Families Citing this family (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP7158133B2 (ja) | 2017-03-03 | 2022-10-21 | アプライド マテリアルズ インコーポレイテッド | 雰囲気が制御された移送モジュール及び処理システム |
US20200126826A1 (en) * | 2018-10-18 | 2020-04-23 | Applied Materials, Inc. | Load lock body portions, load lock apparatus, and methods for manufacturing the same |
US11581204B2 (en) * | 2020-10-20 | 2023-02-14 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device manufacturing system and method for manufacturing semiconductor device |
KR102625679B1 (ko) * | 2021-11-05 | 2024-01-17 | 프리시스 주식회사 | 로드락모듈 및 이를 포함하는 기판처리시스템 |
KR102625678B1 (ko) * | 2021-11-05 | 2024-01-17 | 프리시스 주식회사 | 로드락모듈 및 이를 포함하는 기판처리시스템 |
US20240213056A1 (en) * | 2022-12-23 | 2024-06-27 | Applied Materials, Inc. | Reconfigurable mainframe with replaceable interface plate having replaceable chamber ports |
WO2024190541A1 (ja) * | 2023-03-14 | 2024-09-19 | 東京エレクトロン株式会社 | 基板支持アセンブリ及びプラズマ処理装置 |
Citations (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040020601A1 (en) * | 2000-02-10 | 2004-02-05 | Applied Materials, Inc. | Process and an integrated tool for low k dielectric deposition including a pecvd capping module |
CN1609711A (zh) * | 2003-10-21 | 2005-04-27 | 应用材料有限公司 | 控制蚀刻工序的精确度和再现性的方法 |
US20050095088A1 (en) * | 2003-10-20 | 2005-05-05 | Applied Materials, Inc. | Load lock chamber for large area substrate processing system |
US20060169760A1 (en) * | 2005-02-01 | 2006-08-03 | Lackey Robert W | Multiple component mailbox having postal and newspaper compartments |
KR100702844B1 (ko) * | 2005-11-14 | 2007-04-03 | 삼성전자주식회사 | 로드락 챔버 및 그를 이용한 반도체 제조설비 |
US20070196011A1 (en) * | 2004-11-22 | 2007-08-23 | Cox Damon K | Integrated vacuum metrology for cluster tool |
US20080001113A1 (en) * | 2006-06-28 | 2008-01-03 | Sam Hyungsam Kim | Valve door with ball coupling |
WO2008005773A2 (en) * | 2006-07-03 | 2008-01-10 | Applied Materials, Inc. | Cluster tool for advanced front-end processing |
JP2009038073A (ja) * | 2007-07-31 | 2009-02-19 | Tdk Corp | 密閉容器の蓋開閉システム及び当該システムを用いた基板処理方法 |
KR20110046578A (ko) * | 2008-08-29 | 2011-05-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 확장된 온도의 고온계를 위한 방법 및 장치 |
US20110311339A1 (en) * | 2010-06-17 | 2011-12-22 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and method of manufacturing semiconductor device |
CN103229277A (zh) * | 2010-11-30 | 2013-07-31 | 应用材料公司 | 用于在uv腔室中调节晶圆处理轮廓的方法及装置 |
KR20140133534A (ko) * | 2012-02-10 | 2014-11-19 | 브룩스 오토메이션 인코퍼레이티드 | 기판 프로세싱 장치 |
CN104392947A (zh) * | 2008-08-27 | 2015-03-04 | 应用材料公司 | 用于大面积基板处理系统的加载锁定腔室 |
CN105580107A (zh) * | 2013-09-30 | 2016-05-11 | 应用材料公司 | 传送腔室气体净化装置、电子设备处理系统及净化方法 |
US20160169766A1 (en) * | 2014-12-11 | 2016-06-16 | Tokyo Electron Limited | Leakage determining method, substrate processing apparatus and storage medium |
US20160315001A1 (en) * | 2009-02-09 | 2016-10-27 | Tokyo Electron Limited | Transfer chamber and method for preventing adhesion of particle |
CN208923035U (zh) * | 2017-03-03 | 2019-05-31 | 应用材料公司 | 基板传送装置和基板处理装置 |
Family Cites Families (65)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5303671A (en) * | 1992-02-07 | 1994-04-19 | Tokyo Electron Limited | System for continuously washing and film-forming a semiconductor wafer |
US5902088A (en) * | 1996-11-18 | 1999-05-11 | Applied Materials, Inc. | Single loadlock chamber with wafer cooling function |
TW484170B (en) * | 1999-11-30 | 2002-04-21 | Applied Materials Inc | Integrated modular processing platform |
US20010041121A1 (en) * | 2000-03-06 | 2001-11-15 | Grunes Howard E. | Single chamber vacuum processing tool |
US6918731B2 (en) * | 2001-07-02 | 2005-07-19 | Brooks Automation, Incorporated | Fast swap dual substrate transport for load lock |
JP2003059999A (ja) | 2001-08-14 | 2003-02-28 | Tokyo Electron Ltd | 処理システム |
US6841006B2 (en) | 2001-08-23 | 2005-01-11 | Applied Materials, Inc. | Atmospheric substrate processing apparatus for depositing multiple layers on a substrate |
WO2003021642A2 (en) * | 2001-08-31 | 2003-03-13 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
US20030045098A1 (en) * | 2001-08-31 | 2003-03-06 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
US6672864B2 (en) | 2001-08-31 | 2004-01-06 | Applied Materials, Inc. | Method and apparatus for processing substrates in a system having high and low pressure areas |
JP2003100838A (ja) | 2001-09-25 | 2003-04-04 | Sony Corp | 基板処理装置および基板処理方法 |
US20030113188A1 (en) * | 2001-12-17 | 2003-06-19 | Applied Materials, Inc. | Mechanism for providing a continuous supply of wafers and cassettes to semiconductor fabrication tool |
US20030131458A1 (en) * | 2002-01-15 | 2003-07-17 | Applied Materials, Inc. | Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing |
JP2004018215A (ja) * | 2002-06-18 | 2004-01-22 | Tokyo Electron Ltd | フラット・パネル・ディスプレイ用熱処理装置及び熱処理方法 |
US6948619B2 (en) * | 2002-07-05 | 2005-09-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Reticle pod and reticle with cut areas |
WO2004008491A2 (en) | 2002-07-15 | 2004-01-22 | Aviza Technology, Inc. | Thermal processing system and configurable vertical chamber |
US7223323B2 (en) * | 2002-07-24 | 2007-05-29 | Applied Materials, Inc. | Multi-chemistry plating system |
US6897131B2 (en) | 2002-09-20 | 2005-05-24 | Applied Materials, Inc. | Advances in spike anneal processes for ultra shallow junctions |
US7792350B2 (en) * | 2003-11-10 | 2010-09-07 | Brooks Automation, Inc. | Wafer center finding |
WO2005048313A2 (en) * | 2003-11-10 | 2005-05-26 | Blueshift Technologies, Inc. | Methods and systems for handling workpieces in a vacuum-based semiconductor handling system |
JP2005243775A (ja) * | 2004-02-25 | 2005-09-08 | Dainippon Screen Mfg Co Ltd | 基板処理装置および雰囲気置換方法 |
US7709814B2 (en) * | 2004-06-18 | 2010-05-04 | Axcelis Technologies, Inc. | Apparatus and process for treating dielectric materials |
WO2006055984A2 (en) | 2004-11-22 | 2006-05-26 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
KR101233059B1 (ko) * | 2005-06-22 | 2013-02-13 | 액셀리스 테크놀로지스, 인크. | 유전 물질을 처리하는 장치 및 프로세스 |
JP2007188953A (ja) | 2006-01-11 | 2007-07-26 | Toshiba Matsushita Display Technology Co Ltd | 多結晶シリコン層の製造方法 |
JP4961895B2 (ja) * | 2006-08-25 | 2012-06-27 | 東京エレクトロン株式会社 | ウェハ搬送装置、ウェハ搬送方法及び記憶媒体 |
US7943005B2 (en) * | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080202686A1 (en) * | 2007-02-27 | 2008-08-28 | Smith John M | Self-contained process modules for magnetic media processing tool |
US20080202417A1 (en) * | 2007-02-27 | 2008-08-28 | Smith John M | Self-contained process modules for vacuum processing tool |
KR20150038360A (ko) * | 2007-05-18 | 2015-04-08 | 브룩스 오토메이션 인코퍼레이티드 | 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템 |
JP2009152649A (ja) * | 2009-04-07 | 2009-07-09 | Hitachi Kokusai Electric Inc | ウェーハの搬送方法 |
US20110245957A1 (en) * | 2010-04-06 | 2011-10-06 | Applied Materials, Inc. | Advanced platform for processing crystalline silicon solar cells |
US20110269314A1 (en) * | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Process chambers having shared resources and methods of use thereof |
US20110304078A1 (en) * | 2010-06-14 | 2011-12-15 | Applied Materials, Inc. | Methods for removing byproducts from load lock chambers |
JP2012119626A (ja) | 2010-12-03 | 2012-06-21 | Tokyo Electron Ltd | ロードロック装置 |
US20120148760A1 (en) * | 2010-12-08 | 2012-06-14 | Glen Eric Egami | Induction Heating for Substrate Processing |
WO2012133441A1 (ja) * | 2011-03-28 | 2012-10-04 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び基板処理方法 |
WO2013072760A2 (en) * | 2011-10-26 | 2013-05-23 | Brooks Automation, Inc. | Semiconductor wafer handling and transport |
KR200470806Y1 (ko) | 2012-08-03 | 2014-01-15 | (주)쎄미시스코 | 진공 챔버와 접속하는 배기 라인에 산소 센서를 포함하는 기판 처리 장치 |
TWM476362U (en) * | 2012-09-07 | 2014-04-11 | Applied Materials Inc | Load lock chamber with slit valve doors |
US9293317B2 (en) * | 2012-09-12 | 2016-03-22 | Lam Research Corporation | Method and system related to semiconductor processing equipment |
JP6059537B2 (ja) | 2013-01-09 | 2017-01-11 | 株式会社Screenホールディングス | 熱処理装置 |
WO2014150260A1 (en) * | 2013-03-15 | 2014-09-25 | Applied Materials, Inc | Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations |
US20140271097A1 (en) * | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
CN105453246A (zh) * | 2013-08-12 | 2016-03-30 | 应用材料公司 | 具有工厂接口环境控制的基板处理系统、装置和方法 |
JP2016537805A (ja) * | 2013-09-26 | 2016-12-01 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板処理のための混合プラットフォームの装置、システム、及び方法 |
JP2016004834A (ja) * | 2014-06-13 | 2016-01-12 | 東京エレクトロン株式会社 | 真空処理装置 |
US20150376792A1 (en) | 2014-06-30 | 2015-12-31 | Lam Research Corporation | Atmospheric plasma apparatus for semiconductor processing |
CN107004624B (zh) * | 2014-11-25 | 2020-06-16 | 应用材料公司 | 具有基板载体和净化腔室环境控制的基板处理系统、设备和方法 |
TW201639063A (zh) * | 2015-01-22 | 2016-11-01 | 應用材料股份有限公司 | 批量加熱和冷卻腔室或負載鎖定裝置 |
US20160314997A1 (en) * | 2015-04-22 | 2016-10-27 | Applied Materials, Inc. | Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods |
JP5947435B1 (ja) | 2015-08-27 | 2016-07-06 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体 |
US10403515B2 (en) * | 2015-09-24 | 2019-09-03 | Applied Materials, Inc. | Loadlock integrated bevel etcher system |
US10062599B2 (en) * | 2015-10-22 | 2018-08-28 | Lam Research Corporation | Automated replacement of consumable parts using interfacing chambers |
KR102413271B1 (ko) * | 2015-11-02 | 2022-06-28 | 삼성전자주식회사 | 기판 이송 장치 |
CN108292589B (zh) * | 2015-11-23 | 2023-05-16 | 应用材料公司 | 在处理工具中的板载计量(obm)设计与影响 |
WO2017136093A1 (en) * | 2016-02-05 | 2017-08-10 | Applied Materials, Inc. | Integrated layer etch system with multiple type chambers |
JP6240695B2 (ja) * | 2016-03-02 | 2017-11-29 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US10249521B2 (en) * | 2016-03-17 | 2019-04-02 | Lam Research Ag | Wet-dry integrated wafer processing system |
WO2017184301A1 (en) * | 2016-04-22 | 2017-10-26 | Applied Materials, Inc. | Method for pecvd overlay improvement |
US10159169B2 (en) * | 2016-10-27 | 2018-12-18 | Applied Materials, Inc. | Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods |
US20180138074A1 (en) * | 2016-11-11 | 2018-05-17 | Samsung Electronics Co., Ltd. | Carrier ring and chemical vapor deposition apparatus including the same |
US10704147B2 (en) * | 2016-12-03 | 2020-07-07 | Applied Materials, Inc. | Process kit design for in-chamber heater and wafer rotating mechanism |
US20190043744A1 (en) * | 2017-08-01 | 2019-02-07 | Applied Materials, Inc. | Active monitoring system for substrate breakage prevention |
US10755955B2 (en) * | 2018-02-12 | 2020-08-25 | Applied Materials, Inc. | Substrate transfer mechanism to reduce back-side substrate contact |
-
2017
- 2017-07-19 JP JP2017139566A patent/JP7158133B2/ja active Active
- 2017-07-24 KR KR1020170093611A patent/KR20180101139A/ko not_active Application Discontinuation
- 2017-07-26 US US15/660,784 patent/US10361104B2/en active Active
- 2017-07-27 CN CN201710624476.6A patent/CN108538746A/zh active Pending
- 2017-07-27 TW TW106211035U patent/TWM561909U/zh unknown
- 2017-07-27 CN CN201710979355.3A patent/CN108538747B/zh active Active
- 2017-07-27 CN CN201820553227.2U patent/CN208923035U/zh active Active
- 2017-07-27 TW TW110126546A patent/TWI801939B/zh active
- 2017-07-27 CN CN201720922591.7U patent/CN207353216U/zh active Active
- 2017-07-27 TW TW106125236A patent/TWI736654B/zh active
-
2019
- 2019-06-04 US US16/431,239 patent/US10818525B2/en active Active
-
2022
- 2022-07-05 JP JP2022108601A patent/JP7492554B2/ja active Active
-
2023
- 2023-01-06 KR KR1020230002090A patent/KR102616427B1/ko active IP Right Grant
Patent Citations (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040020601A1 (en) * | 2000-02-10 | 2004-02-05 | Applied Materials, Inc. | Process and an integrated tool for low k dielectric deposition including a pecvd capping module |
US20050095088A1 (en) * | 2003-10-20 | 2005-05-05 | Applied Materials, Inc. | Load lock chamber for large area substrate processing system |
CN1609711A (zh) * | 2003-10-21 | 2005-04-27 | 应用材料有限公司 | 控制蚀刻工序的精确度和再现性的方法 |
US20070196011A1 (en) * | 2004-11-22 | 2007-08-23 | Cox Damon K | Integrated vacuum metrology for cluster tool |
US20060169760A1 (en) * | 2005-02-01 | 2006-08-03 | Lackey Robert W | Multiple component mailbox having postal and newspaper compartments |
KR100702844B1 (ko) * | 2005-11-14 | 2007-04-03 | 삼성전자주식회사 | 로드락 챔버 및 그를 이용한 반도체 제조설비 |
US20080001113A1 (en) * | 2006-06-28 | 2008-01-03 | Sam Hyungsam Kim | Valve door with ball coupling |
KR20090035578A (ko) * | 2006-07-03 | 2009-04-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 향상된 전단부 처리를 위한 클러스터 기기 |
WO2008005773A2 (en) * | 2006-07-03 | 2008-01-10 | Applied Materials, Inc. | Cluster tool for advanced front-end processing |
JP2009038073A (ja) * | 2007-07-31 | 2009-02-19 | Tdk Corp | 密閉容器の蓋開閉システム及び当該システムを用いた基板処理方法 |
CN104392947A (zh) * | 2008-08-27 | 2015-03-04 | 应用材料公司 | 用于大面积基板处理系统的加载锁定腔室 |
KR20110046578A (ko) * | 2008-08-29 | 2011-05-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 확장된 온도의 고온계를 위한 방법 및 장치 |
US20160315001A1 (en) * | 2009-02-09 | 2016-10-27 | Tokyo Electron Limited | Transfer chamber and method for preventing adhesion of particle |
US20110311339A1 (en) * | 2010-06-17 | 2011-12-22 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and method of manufacturing semiconductor device |
CN103229277A (zh) * | 2010-11-30 | 2013-07-31 | 应用材料公司 | 用于在uv腔室中调节晶圆处理轮廓的方法及装置 |
KR20140133534A (ko) * | 2012-02-10 | 2014-11-19 | 브룩스 오토메이션 인코퍼레이티드 | 기판 프로세싱 장치 |
CN105580107A (zh) * | 2013-09-30 | 2016-05-11 | 应用材料公司 | 传送腔室气体净化装置、电子设备处理系统及净化方法 |
US20160169766A1 (en) * | 2014-12-11 | 2016-06-16 | Tokyo Electron Limited | Leakage determining method, substrate processing apparatus and storage medium |
CN208923035U (zh) * | 2017-03-03 | 2019-05-31 | 应用材料公司 | 基板传送装置和基板处理装置 |
Non-Patent Citations (2)
Title |
---|
王路威: "半导体激光器的发展及其应用" * |
王路威: "半导体激光器的发展及其应用", 成都大学学报(自然科学版), no. 03 * |
Also Published As
Publication number | Publication date |
---|---|
CN108538746A (zh) | 2018-09-14 |
TWI801939B (zh) | 2023-05-11 |
TWM561909U (zh) | 2018-06-11 |
CN207353216U (zh) | 2018-05-11 |
US20190295872A1 (en) | 2019-09-26 |
JP7492554B2 (ja) | 2024-05-29 |
JP2018148194A (ja) | 2018-09-20 |
TW201834121A (zh) | 2018-09-16 |
KR102616427B1 (ko) | 2023-12-27 |
US20180254207A1 (en) | 2018-09-06 |
KR20180101139A (ko) | 2018-09-12 |
CN108538747B (zh) | 2024-01-30 |
JP7158133B2 (ja) | 2022-10-21 |
US10361104B2 (en) | 2019-07-23 |
US10818525B2 (en) | 2020-10-27 |
JP2022153414A (ja) | 2022-10-12 |
CN208923035U (zh) | 2019-05-31 |
KR20230010791A (ko) | 2023-01-19 |
TW202143371A (zh) | 2021-11-16 |
TWI736654B (zh) | 2021-08-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN208923035U (zh) | 基板传送装置和基板处理装置 | |
KR101456894B1 (ko) | 챔버로 가스를 방사상으로 전달하기 위한 장치 및 그 이용 방법들 | |
FI95421B (fi) | Puolijohteen, kuten piikiekon, prosessoinnissa käytettävä laitteisto ja menetelmä | |
US6841200B2 (en) | Dual wafer load lock | |
US20160314997A1 (en) | Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods | |
US20050241771A1 (en) | Substrate carrier for processing substrates | |
JP2013033965A (ja) | 基板処理装置、基板処理設備、及び基板処理方法 | |
JP2007035874A (ja) | 真空処理システム | |
US20080170929A1 (en) | High temperature robot end effector | |
KR20230113644A (ko) | 공유 가스 전달 아키텍처를 위한 가스 전달 시스템 | |
KR20140016421A (ko) | 기판처리장치, 기판처리설비 및 기판처리방법 | |
CN116034461A (zh) | 多处理半导体处理系统 | |
KR20100108364A (ko) | 이송 모듈 상의 하나의 단일한 면을 사용하는 엇갈린 이중 처리 챔버 | |
TWI392041B (zh) | 基板上之污染物的監測方法與系統 | |
KR20230087601A (ko) | 더 높은 처리량 및 더 빠른 전이 시간을 위한 반도체 프로세싱 챔버 아키텍처 | |
KR20230039732A (ko) | 반도체 처리 시스템들의 분배 컴포넌트들 | |
JP2004119627A (ja) | 半導体製造装置 | |
US12043896B2 (en) | Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure | |
JP2011222656A (ja) | 基板処理装置 | |
KR102241600B1 (ko) | 로드락 챔버 및 이를 구비하는 기판 처리 시스템 | |
KR20070114439A (ko) | 반도체 제조설비의 디퓨저 | |
TW202410260A (zh) | 高傳導性分流管道架構 | |
JP2005243768A (ja) | 基板処理装置 | |
JPH04215429A (ja) | 半導体製造装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |