KR20230010791A - 주변 제어된 이송 모듈 및 프로세스 시스템 - Google Patents

주변 제어된 이송 모듈 및 프로세스 시스템 Download PDF

Info

Publication number
KR20230010791A
KR20230010791A KR1020230002090A KR20230002090A KR20230010791A KR 20230010791 A KR20230010791 A KR 20230010791A KR 1020230002090 A KR1020230002090 A KR 1020230002090A KR 20230002090 A KR20230002090 A KR 20230002090A KR 20230010791 A KR20230010791 A KR 20230010791A
Authority
KR
South Korea
Prior art keywords
chamber
load lock
transfer
substrate
coupled
Prior art date
Application number
KR1020230002090A
Other languages
English (en)
Other versions
KR102616427B1 (ko
Inventor
쉐이 아사프
앤드류 컨스탄트
제이콥 뉴먼
찰스 칼슨
윌리엄 타일러 위버
스티븐 히커슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230010791A publication Critical patent/KR20230010791A/ko
Application granted granted Critical
Publication of KR102616427B1 publication Critical patent/KR102616427B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Multi-Process Working Machines And Systems (AREA)

Abstract

본 명세서에서는 기판을 처리하기 위한 방법 및 장치가 제공된다. 일 구현에서, 장치는 이송 챔버에 결합된 로드 락 챔버를 포함한다. 이송 챔버는 열 프로세스 챔버에 결합되고, 기판은 로드 락 챔버, 이송 챔버, 및 열 프로세스 챔버 각각의 사이에서 이송된다. 다른 구현들에서, 로드 락 챔버, 이송 챔버, 및 열 프로세스 챔버를 갖는 프로세스 플랫폼이 개시된다. 이송 챔버를 비우는 것을 통해 로드 락 챔버 내의 산소 농도를 측정하는 방법이 또한 본 명세서에 설명된다.

Description

주변 제어된 이송 모듈 및 프로세스 시스템{AMBIENT CONTROLLED TRANSFER MODULE AND PROCESS SYSTEM}
본 개시내용의 구현들은 일반적으로 기판 프로세스 및 이송 장치에 관한 것이다. 더 구체적으로, 본 명세서에 설명된 구현들은 주변 제어된(ambient controlled) 이송 모듈 및 프로세스 시스템에 관한 것이다.
1/2 미크론 이하 및 더 작은 피쳐들을 신뢰가능하게 생성하는 것은 반도체 디바이스들의 차세대 VLSI(very large scale integration) 및 ULSI(ultra large-scale integration)를 위한 핵심적인 기술 과제들 중 하나이다. 그러나, 회로 기술의 한계들이 확장됨에 따라, VLSI 및 ULSI 기술의 치수 축소는 처리 능력에 대한 추가의 요구들을 발생시켰다.
진보된 노드 디바이스들에서, 접촉 집적 방식들(contact integration schemes)에서 금속들 및 배리어 층 재료들이 종종 이용된다. 그러나, 접촉 집적 방식들에서 이용되는 다양한 재료들, 예컨대 금속 및 유전체들의 산화는 접촉 구조물 재료들의 부착 문제들(adhesion issues) 및 디웨팅(de-wetting)을 야기할 수 있다. 다양한 재료들의 산화는 또한 접촉 저항을 증가시킬 수 있다. 결과적으로, 접촉 구조물의 불량한 부착과 증가된 접촉 저항은 디바이스 고장의 불량한 디바이스 성능을 야기할 수 있다.
따라서, 본 기술분야에서는, 디바이스 제조의 다양한 단계들 동안 디바이스 구조물들의 산화를 방지하기 위한 개선된 장치 및 방법들이 필요하다.
일 구현에서, 기판 처리 장치는 팩토리 인터페이스, 및 대략 대기압(about atmospheric pressure)에서 실질적으로 불활성인 환경(substantially inert environment)을 생성하기 위한 기판 이송 모듈을 포함한다. 기판 이송 모듈은 팩토리 인터페이스에 결합된 로드 락 챔버 - 로드 락 챔버는 프로세스 용적을 정의하는 챔버 바디, 및 프로세스 용적과 유체 연통하는 퍼지 가스 포트를 가짐 -, 및 로드 락 챔버에 결합된 이송 챔버를 포함한다. 프로세스 모듈은 또한 기판 이송 모듈에 결합되고, 프로세스 모듈은 복수의 프로세스 챔버를 포함한다.
다른 구현에서, 기판 처리 장치는 팩토리 인터페이스를 포함하고, 팩토리 인터페이스는 내부에 배치된 제1 로봇을 갖는 제1 이송 챔버를 포함한다. 장치는 또한 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 기판 이송 모듈을 포함한다. 기판 이송 모듈은 제1 이송 챔버에 결합된 로드 락 챔버, 및 내부에 배치된 제2 로봇을 갖는 제2 이송 챔버를 포함하고, 제2 이송 챔버는 로드 락 챔버에 결합된다. 장치는 또한 기판 이송 모듈에 결합된 프로세스 모듈을 포함하고, 프로세스 모듈은 프로세스 챔버를 포함하고, 프로세스 챔버는 제2 이송 챔버에 결합된다.
다른 구현에서, 기판 처리 장치는 팩토리 인터페이스를 포함하고, 팩토리 인터페이스는 내부에 배치된 제1 로봇을 갖는 제1 이송 챔버, 및 제1 이송 챔버에 결합된 복수의 전방 개구형 통합 포드(front opening unified pods)를 포함한다. 장치는 또한 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 기판 이송 모듈을 포함한다. 기판 이송 모듈은 제1 이송 챔버에 결합된 대기 로드 락 챔버(atmospheric load lock chamber), 및 내부에 배치된 제2 로봇을 갖는 제2 이송 챔버를 포함하고, 제2 이송 챔버는 로드 락 챔버에 결합된다. 장치는 또한 기판 이송 모듈에 결합된 프로세스 모듈을 포함하고, 프로세스 모듈은 레이저 열 프로세스 챔버를 포함하고, 레이저 열 프로세스 챔버는 제2 이송 챔버에 결합된다.
다른 구현에서, 기판 이송 장치는 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 로드 락 챔버를 포함한다. 로드 락 챔버는 프로세스 용적을 정의하는 챔버 바디, 프로세스 용적 내에 배치된 페디스털, 페디스털에 대향하여 챔버 바디에 결합되는 리드, 리드를 통해 배치된 퍼지 가스 포트, 및 챔버 바디 내에서 페디스털에 인접하고 퍼지 가스 포트에 대향하여 배치된 배기 포트를 포함한다. 장치는 또한 로드 락 챔버에 결합되어 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 이송 챔버를 포함한다. 이송 챔버는 이송 용적을 정의하는 챔버 바디, 이송 용적 내에 배치된 로봇, 챔버 바디 내에 배치된 복수의 퍼지 가스 포트, 및 챔버 바디 내에서 복수의 퍼지 가스 포트에 대향하여 배치된 배기 포트를 포함한다.
다른 구현에서, 기판 처리 장치는 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 로드 락 챔버를 포함한다. 로드 락 챔버는 프로세스 용적을 정의하는 챔버 바디, 프로세스 용적 내에 배치된 페디스털, 페디스털 내에 배치된 유체 도관, 페디스털의 둘레를 따라 형성된 복수의 리세스, 및 프로세스 용적 내에 배치된 복수의 리프트 핀을 포함하고, 각각의 리프트 핀은 복수의 리세스 중 하나의 리세스 또는 리세스들에 인접하여 배치된다. 장치는 또한 페디스털에 대향하여 챔버 바디에 결합된 리드, 리드의 중심 영역에서 리드에 결합된 확산기 평판 - 리드의 최하부면의 일부분은 확산기 평판으로부터 반경방향으로 바깥쪽으로 연장되면서 테이퍼링됨 -, 확산기 평판을 통해 배치된 퍼지 가스 포트, 및 챔버 바디 내에서 페디스털에 인접하고 퍼지 가스 포트에 대향하여 배치된 배기 포트를 포함한다.
다른 구현에서, 기판 이송 장치는 로드 락 챔버에 결합되어 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 이송 챔버를 포함한다. 이송 챔버는 이송 용적을 정의하는 챔버 바디, 챔버 바디에 결합된 광학적으로 투명한 리드, 및 이송 용적 내에 배치된 로봇을 포함하고, 로봇의 블레이드는 석영으로 제조된다. 장치는 또한 챔버 바디 내에 배치된 복수의 퍼지 가스 포트, 퍼지 가스 포트들로부터 연장되는 복수의 확산기, 챔버 바디 내에서 복수의 퍼지 가스 포트에 대향하여 배치된 배기 포트, 배기 포트에 결합된 배기 도관, 및 배기 도관 및 배기 포트를 통해 이송 용적과 유체 연통하는 산소 센서를 포함한다.
다른 구현에서, 플랫폼 장치는 제1 팩토리 인터페이스, 제1 팩토리 인터페이스에 결합된 제2 팩토리 인터페이스, 및 제1 팩토리 인터페이스와 제2 팩토리 인터페이스 사이에 배치된 터널 챔버를 포함하고, 터널 챔버는 이송 챔버 및 복수의 로드 락 챔버를 포함한다. 장치는 또한 제1 팩토리 인터페이스에 결합된 제1 이송 모듈, 제1 이송 모듈에 결합된 프로세스 모듈, 제2 팩토리 인터페이스에 결합된 중심 이송 챔버, 및 중심 이송 챔버에 결합된 복수의 프로세스 챔버를 포함하고, 복수의 프로세스 챔버 중 적어도 하나는 제2 이송 모듈에 의해 중심 이송 챔버에 결합된다.
일 구현에서, 플랫폼 장치는 제1 팩토리 인터페이스, 제1 팩토리 인터페이스에 결합된 이송 모듈, 이송 모듈에 결합된 프로세스 모듈, 제2 팩토리 인터페이스, 및 제2 팩토리 인터페이스에 결합된 중심 이송 챔버를 포함한다. 장치는 또한 제1 팩토리 인터페이스와 중심 이송 챔버 사이에 배치된 터널 챔버를 포함하고, 터널 챔버는 이송 챔버 및 복수의 로드 락 챔버를 포함한다. 장치는 또한 중심 이송 챔버에 결합된 복수의 프로세스 챔버를 포함한다.
일 구현에서, 기판 프로세스 방법은 기판을 제1 챔버로부터 제2 챔버로 이송하는 단계, 제2 챔버의 환경을 제1 챔버의 환경으로부터 격리하는 단계, 제2 챔버의 환경으로부터 산화제들을 제거하는 단계, 및 제2 챔버 내에서 기판을 냉각하는 단계를 포함한다. 방법은 또한 제1 챔버와 제2 챔버 사이의 슬릿 밸브를 개방하는 단계, 제1 챔버의 환경을 비우는(evacuating) 단계, 및 제2 챔버의 환경의 산소 농도를 결정하기 위해 제1 챔버 배기물(exhaust)을 분석하는 단계를 포함한다.
일 구현에서, 기판 프로세스 방법은 기판을 이송 챔버로부터 로드 락 챔버로 이송하는 단계, 이송 챔버와 로드 락 챔버 사이의 슬릿 밸브를 폐쇄하여, 로드 락 챔버의 환경을 이송 챔버의 환경으로부터 격리하는 단계, 로드 락 챔버의 환경으로부터 산화제들을 제거하는 단계, 및 로드 락 챔버 내에서 기판을 냉각하는 단계를 포함한다. 방법은 또한 이송 챔버와 로드 락 챔버 사이의 슬릿 밸브를 개방하는 단계, 슬릿 밸브의 개방 동안 이송 챔버의 환경을 비우는 단계, 및 기판의 냉각 동안 로드 락 챔버의 환경의 산소 농도를 결정하기 위해 이송 챔버 배기물을 분석하는 단계를 포함한다.
일 구현에서, 기판 이송 방법은 기판을 프로세스 챔버로부터 이송 챔버로 이송하는 단계, 기판을 이송 챔버로부터 로드 락 챔버로 이송하는 단계, 및 이송 챔버와 로드 락 챔버 사이의 슬릿 밸브를 폐쇄하여 로드 락 챔버의 환경을 이송 챔버의 환경으로부터 격리하는 단계를 포함한다. 방법은 또한 로드 락 챔버의 환경으로부터 산화제들을 제거하는 단계, 로드 락 챔버 내에서 기판을 냉각하는 단계, 이송 챔버와 로드 락 챔버 사이의 슬릿 밸브를 개방하는 단계, 슬릿 밸브의 개방 동안 이송 챔버의 환경을 비우는 단계, 및 기판의 냉각 동안 로드 락 챔버의 환경의 산소 농도를 결정하기 위해 이송 챔버 배기물을 분석하는 단계를 포함한다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명은 구현들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 첨부 도면들은 예시적인 구현들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 되며, 동등한 효과의 다른 실시예들을 허용할 수 있다는 점에 주목해야 한다.
도 1은 본 명세서에 설명된 구현들에 따른 프로세스 시스템의 개략적 평면도를 도시한다.
도 2는 본 명세서에 설명되는 구현들에 따른 기판 이송 모듈의 개략적 사시도를 도시한다.
도 3은 본 명세서에 설명되는 구현들에 따른 이송 챔버의 개략적 사시도를 도시한다.
도 4는 본 명세서에 설명된 구현들에 따른 도 3의 이송 챔버의 개략적 부분 사시도를 도시한다.
도 5는 본 명세서에 설명되는 구현들에 따른 로드 락 챔버의 개략적인 단면도를 도시한다.
도 6의 (a)는 본 명세서에 설명되는 구현들에 따른 도 5의 로드 락 챔버의 개략적 부분 사시도를 도시한다.
도 6의 (b)는 본 명세서에 설명되는 구현들에 따른 도 6의 (a)의 로드 락 챔버의 리프트 핀의 개략적 단면도를 도시한다.
도 6의 (c)는 본 명세서에 설명된 구현들에 따른 도 6의 (a)의 로드 락 챔버의 기판 지지 피쳐의 개략적 단면도를 도시한다.
도 7은 본 명세서에 설명된 구현들에 따른 프로세스 시스템의 개략적 평면도를 도시한다.
도 8은 본 명세서에 설명된 구현들에 따른 프로세스 시스템의 개략적 평면도를 도시한다.
도 9는 본 명세서에 설명된 구현들에 따른 프로세스 플랫폼의 개략적 도면을 도시한다.
도 10은 본 명세서에 설명된 구현들에 따른 프로세스 플랫폼의 개략적 도면을 도시한다.
도 11은 본 명세서에 설명되는 구현들에 따라 기판을 이송하고 로드 락 챔버 내의 산소 농도를 측정하기 위한 방법의 동작들을 도시한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 구현의 구성요소들 및 특징들은 더 이상의 언급 없이도 다른 구현들에 유리하게 포함될 수 있을 것으로 생각된다.
본 명세서에서는 기판을 처리하기 위한 방법 및 장치가 제공된다. 일 구현에서, 장치는 이송 챔버에 결합된, 주변 제어된 로드 락 챔버를 포함한다. 이송 챔버는 열 프로세스 챔버에 결합될 수 있고, 그러한 경우들에서, 기판은 로드 락 챔버, 이송 챔버, 및 열 프로세스 챔버 각각의 사이에서 이송된다. 다른 구현들에서, 주변 제어된 로드 락 챔버, 이송 챔버, 및 열 프로세스 챔버를 갖는 프로세스 플랫폼이 개시된다. 이송 챔버로부터의 배기 가스를 분석함으로써 로드 락 챔버 내의 산소 농도를 측정하는 방법이 또한 본 명세서에 설명된다.
도 1은 본 명세서에 설명된 구현들에 따른 프로세스 시스템(100)의 개략적 평면도를 도시한다. 프로세스 시스템(100)은 팩토리 인터페이스(102), 기판 이송 모듈(104), 및 프로세스 모듈(106)을 포함한다. 팩토리 인터페이스(102)는 팩토리 인터페이스(FI) 이송 챔버(110)를 포함하고, FI 이송 챔버는 하나 이상의 전방 개구형 통합 포트(front opening unified pod)(FOUP)(108)와 인터페이스하도록 구성된다. 일 구현에서, FI 이송 챔버(110)는 내부에 배치된 단일 로봇을 갖고, 단일 로봇은 FUOP들(108)과 기판 이송 모듈(104) 사이에서 기판들을 이송한다. 다른 구현에서, FI 이송 챔버(110)는 내부에 배치된 복수의 로봇, 예를 들어 2개의 로봇을 갖는다. 이러한 구현에서, 로봇들 각각은 기판들을 FOUP들(108) 중 하나로부터 기판 이송 모듈(104)의 전용 부분(dedicated portion)으로 이송한다.
기판 이송 모듈(104)은 하나 이상의 로드 락 챔버(112) 및 하나 이상의 이송 챔버(114)를 포함한다. 도시된 구현에서, 기판 이송 모듈(104)은 2개의 로드 락 챔버(112) 및 2개의 이송 챔버(114)를 포함하지만, 더 많거나 더 적은 수의 로드 락 챔버(112) 및 이송 챔버(114)의 쌍이 프로세스 시스템(100) 내에서 이용될 수 있음이 예상된다.
각각의 로드 락 챔버(112)는 로드 락 챔버(112)의 제1 면 상에서 FI 이송 챔버(110)에 결합되고, 각각의 이송 챔버(114)는 로드 락 챔버(112)의 제1 면에 대향하는 로드 락 챔버(112)의 제2 면 상에서 각각의 로드 락 챔버(112)에 결합된다. 각각의 이송 챔버(114)의 제1 면은 각각의 로드 락 챔버(112)에 결합된다. 이송 챔버(114)는 내부에 배치된 로봇을 갖고, 이송 챔버(114)는 로드 락 챔버(112)와 동작상 소통(operable communication)한다. 예를 들어, 기판은 이송 챔버(114)와 로드 락 챔버(112) 사이에서 이송될 수 있다.
프로세스 모듈(106)은 하나 이상의 프로세스 챔버(116)를 포함하고, 프로세스 챔버들 각각은 이송 챔버(114)의 제1 면에 대향하는 이송 챔버(114)의 제2 면에서 각각의 이송 챔버(114)에 결합된다. 도시된 구현에서, 프로세스 모듈(106)은 2개의 프로세스 챔버(116)를 포함하지만, 프로세스 모듈(106) 내에서 더 많은 개수의 프로세스 챔버가 이용될 수 있음이 예상된다. 다양한 챔버들은 도 1에 도시된 바와 같이 선형으로 정렬되지 않은 구성으로 결합될 수 있음에 유의해야 한다. 따라서, 반드시 대향하지는 않는 챔버들의 면들 및 위치들에서 다양한 결합들이 이루어질 수 있다.
일 구현에서, 프로세스 챔버(116)는 열 처리 챔버, 예를 들어 급속 열 처리 챔버이다. 일부 구현들에서, 프로세스 챔버(116)는 레이저 열 프로세스 챔버이다. 레이저 열 프로세스 챔버의 일례는 캘리포니아 주 산타클라라의 Applied Materials, Inc.로부터 입수가능한 ASTRA™ 열 처리 도구이다. 대안적인 구현들에서, 프로세스 챔버(116)는 램프 기반 열 프로세스 챔버이다. 램프 기반 열 프로세스 챔버의 예들은 RADIANCE®, RADIANCE® PLUS, 및 VULCAN™ 급속 열 처리 도구들을 포함하며, 이들 모두는 캘리포니아 주 산타클라라의 Applied Materials, Inc.로부터 입수가능하다. 다른 제조사들로부터의 다른 도구들도 본 명세서에 설명된 구현들에 따라 유리하게 구현될 수 있음이 예상된다. 위에서 설명된 구현들에 더하여, 프로세스 챔버(116)는 원하는 구현에 따라 에칭 챔버, 퇴적 챔버, 또는 세정 챔버일 수도 있음이 예상된다.
동작 시에, 처리될 기판은 FOUP들(108)을 통해 팩토리 인터페이스(102)에 전달된다. FI 이송 챔버(110) 내의 로봇은 기판을 FOUP(108)로부터 제거하고, 기판을 로드 락 챔버(112)로 이송한다. 이송 챔버(114) 내에 배치된 로봇은 로드 락 챔버(112)로부터 기판을 회수하고, 기판을 프로세스 챔버(116)로 이송하며, 거기에서 기판이 처리된다. 처리 후에, 이송 챔버(114) 내의 로봇은 기판을 프로세스 챔버(116)로부터 회수하고, 기판을 로드 락 챔버(112)로 이송한다. 다음으로, FI 이송 챔버(110) 내의 로봇은 로드 락 챔버(112)로부터 기판을 회수하고, 기판을 FOUP(108)에 반환한다.
프로세스 챔버(116)가 열 처리 챔버인 구현들에서, 기판은 팩토리 인터페이스(102)에의 이송 전에 로드 락 챔버(112) 내에서 냉각될 수 있다. 다양한 챔버들은 챔버들 사이의 밀봉 도어들(도시되지 않음)의 이용에 의해 적절한 시간들에 서로 격리되며, 그러한 밀봉 도어들은 챔버들 사이에서의 기판들의 통행을 위해 적절한 시간들에 개방된다.
도 2는 본 명세서에 설명되는 구현들에 따른 기판 이송 모듈(104)의 개략적 사시도를 도시한다. 도시된 기판 이송 모듈(104)은 제1 이송 챔버(204)에 결합되는 제1 로드 락 챔버(202), 및 제2 이송 챔버(208)에 결합되는 제2 로드 락 챔버(206)를 포함한다. 제1 로드 락 챔버(202) 및 제1 이송 챔버(204)는 기판 이송 모듈들(104) 중 하나를 정의하고, 제2 로드 락 챔버(206) 및 제2 이송 챔버(208)는 기판 이송 모듈들(104) 중 다른 하나를 정의한다. 따라서, 도시된 구현은 기판 이송 모듈들(104)의 쌍을 보여준다. 제1 및 제2 로드 락 챔버(202, 206), 및 제1 및 제2 이송 챔버(204, 208)는 도 1과 관련하여 설명된 로드 락 챔버(112) 및 이송 챔버(114)와 각각 유사하다.
로드 락 챔버들(112)은 내부에 기판을 수용하도록 크기가 정해진 프로세스 용적(도시되지 않음)을 정의하는 챔버 바디(210)를 포함한다. 챔버 바디(210)는 다른 크기들 중에서도 특히, 다양한 직경들, 예컨대 200mm 직경, 300mm 직경, 또는 450mm 직경을 갖는 기판들을 수용하도록 크기가 정해질 수 있다. 챔버 바디(210)는 알루미늄, 스테인레스 스틸, 및 그들의 조합과 같은 금속 재료로 형성된다. 일 구현에서, 챔버 바디(210)는 알루미늄 빌릿(aluminum billet)으로부터 머시닝된다.
바디(210) 내에 형성된 제1 슬릿 밸브(212)는 제1 슬릿 밸브를 통한 기판의 통행을 수용하도록 크기가 정해진다. 제1 슬릿 밸브(212)는 팩토리 인터페이스(102)(도 1)와 짝을 이루도록 구성되고, 제1 슬릿 밸브 도어(214)는 제1 슬릿 밸브(212)에 인접하여 바디(210)에 결합된다. 동작 시에, 제1 슬릿 밸브 도어(214)는 제1 슬릿 밸브(212)를 개방 및 폐쇄하여, 팩토리 인터페이스(102) 내에 배치된 로봇에 의한 로드 락 챔버(112)에의 접근을 가능하게 한다. 로드 락 챔버(112)는 또한 제1 슬릿 밸브(212)에 대향하여 챔버 바디(210) 내에 배치된 제2 슬릿 밸브(도시되지 않음)를 포함한다. 제2 슬릿 밸브 도어(216)는 제2 슬릿 밸브를 개방 및 폐쇄하기 위해 제2 슬릿 밸브에 인접하여 바디(210)에 결합된다. 제2 슬릿 밸브(도 5에 관련하여 더 상세하게 설명됨)는 이송 챔버(114)에 인접하여 배치된다. 퍼지 가스 도관(218)이 또한 챔버 바디(210)에 결합되고, 프로세스 용적과 유체 연통한다.
도 2의 구현에서 로드 락 챔버(112)에 직접 결합되는 이송 챔버(114)는 챔버 바디(220), 챔버 바디(220) 내에 배치된 로봇(224), 및 리드(222)를 포함한다. 챔버 바디(220)는 알루미늄, 스테인레스 스틸, 및 그들의 조합과 같은 금속 재료로 형성된다. 특정 구현들에서, 로드 락 챔버(112)와 마찬가지로, 챔버 바디(220)는 알루미늄 빌릿으로 제조된다. 로봇(224)은 도시된 기판(230)과 같은 기판들을 로드 락 챔버(112)와 프로세스 챔버(116) 사이에서 이송하도록 구성된다. 결과로서, 로봇의 도달범위(reach)는 이송 동작들을 위해 기판들을 위치지정하고 회수하기 위해, 로드 락 챔버(112) 및 프로세스 챔버(116) 내로 연장되기에 충분하다.
리드(222)는 챔버 바디(220)의 최상부에 제거가능하게 결합된다. 일 구현에서, 리드(222)는 광학적으로 투명한 또는 대개(predominantly) 광학적으로 투명한 재료로 형성되어, 이송 챔버(114) 내부를 보는 것을 가능하게 한다. 일 구현에서, 리드(222)는 폴리카보네이트 또는 그와 유사한 것과 같은 폴리머 재료로 형성된다. 도시되지는 않았지만, 복수의 애퍼처가 이송 챔버(114)의 바디(220) 내에 형성되어, 애퍼처를 통한 기판(230)의 통행을 가능하게 한다. 복수의 LCF(local center finding) 센서들(226, 228)이 또한 챔버 바디(220)에 결합된다. LCF 센서들은 이송 챔버(114)의 기판 통행을 보기 위해 애퍼처들과 광학적으로 결합된다.
제1 LCF 센서(226)는 로드 락 챔버(112)에 인접하여 챔버 바디(220)에 결합되고, 기판(230)이 로드 락 챔버(112)로부터 이송 챔버(114)로 이동함에 따라 기판(230)의 위치를 검출하도록 구성된다. 제2 LCF 센서(228)는 제1 LCF 센서(226)에 대향하고 프로세스 챔버(116)에 인접하여 챔버 바디(220)에 결합된다. 제1 LCF 센서(226)와 마찬가지로, 제2 LCF 센서는 기판(230)이 이송 챔버(114)로부터 프로세스 챔버(116)로 이동함에 따라 기판(230)의 위치를 검출하도록 구성된다. LCF 센서들(226, 228) 각각은 또한 이송 챔버(114)로부터 로드 락 챔버(112)로의 이송, 및 프로세스 챔버(116)로부터 이송 챔버(114)로의 이송 동안 기판의 위치를 각각 검출한다.
도 3은 본 명세서에 설명되는 구현들에 따른 이송 챔버(114)의 개략적 사시도를 도시한다. 챔버 바디(220)는 LCF 센서들(226, 228) 및 리드(222)를 지지하는 최상부면(302)을 포함한다. 리드(222)는 챔버 바디(220)로부터의 리드(222)의 효율적인 제거를 가능하게 하기 위해, 리드에 부착된 복수의 핸들(304)을 갖는다. 리드(222)를 위해 광학적으로 투명한 재료를 이용함으로써, 챔버 바디(220) 내에 형성된 다른 뷰 포트들은 불필요하게 되고, 그에 의해 챔버 바디 제조 프로세스를 단순하게 할 것으로 예상된다. 더욱이, 스레디드 파스너들(threaded fasteners) 또는 그와 유사한 것에 의해 챔버 바디(220)에 결합될 수 있는 리드(222)는 유지보수가 수행되어야 하는 경우에 쉽게 제거가능하고, 그에 의해 다운타임을 감소시키고 동작 효율을 개선한다. 리드(222)는 적절한 체결(fastening) 및 밀봉 시스템에 의해 챔버 바디(220)에 결합될 수 있다. 일 구현에서, 리드(222)는 복수의 스크류(316)에 의해 챔버 바디(220)에 체결될 수 있고, 그러한 복수의 스크류는 리드(222)를 체결 해제하기 위해 언스크류될 수 있다. 이송 챔버(114)가 동작 압력에 있을 때 진공 밀봉을 제공하기 위해, 리드(222)와 챔버 바디(220) 사이에 밀봉 부재(도시되지 않음)가 배치될 수 있다.
프로세스 챔버 포트 어댑터(306)는 프로세스 챔버(116)(도시되지 않음)에 결합하는 챔버 바디(220)의 표면 상에서 챔버 바디(220)에 결합된다. 일 구현에서, 포트 어댑터(306)는 볼트 또는 그와 유사한 것과 같은 복수의 파스너에 의해 챔버 바디(220)에 결합된다. 프로세스 챔버(116)에 대한 이송 챔버(114)의 단단한 결합을 가능하게 하기 위해, 장착 평판(mounting plate)(308)이 포트 어댑터(306)에 결합된다. 장착 평판(308)은 포트 어댑터(306)에 제거가능하게 결합되고, 기판 이송 모듈(104)을 상이한 유형들의 프로세스 챔버들과 함께 이용하는 것이 바람직한 경우에 상이한 어댑터 평판으로 대체될 수 있다.
슬릿 밸브(310)는 챔버 바디(220), 포트 어댑터(306), 및 장착 평판(308) 각각의 내부에 형성되고 각각을 통과하여 연장되는 개구이다. 본 명세서에 설명된 다른 슬릿 밸브들과 마찬가지로, 슬릿 밸브(310)는 슬릿 밸브를 통한 기판(230)의 통행을 수용하도록 크기가 정해진다. 슬릿 밸브(310)는 원하는 구현에 따라, 포트 어댑터(306) 또는 챔버 바디(220) 중 어느 하나에 결합되는 슬릿 밸브 도어(312)에 의해 개방 및 폐쇄된다.
도 4는 본 명세서에 설명된 구현들에 따른 도 3의 이송 챔버(114)의 개략적 부분 사시도를 도시한다. 리드(222)는 제거되었고, 이송 챔버(114)의 내부 양태들을 더 분명하게 도시하기 위해 일부분이 잘라내어졌다. 챔버 바디(220)는 제1 베이스 평판(402) 및 제2 베이스 평판(420)을 포함한다. 일 구현에서, 제1 베이스 평판(402)은 챔버 바디(220)의 일부이다. 대안적인 구현에서, 제1 베이스 평판(402)은 챔버 바디(220)에 제거가능하게 결합된 인서트(insert)이다. 제2 베이스 평판(420)이 또한 챔버 바디(220)에 결합된다. 일 구현에서, 제2 베이스 평판(420)은 제1 베이스 평판(402) 및 챔버 바디(220)와 동일한 재료로 형성된다. 제2 베이스 평판(420)은 또한 챔버 바디(220)에 체결된 인서트일 수 있다. 제2 베이스 평판(420)은 개구를 갖는 고리 형상이고, 그 개구를 통해 로봇(224)이 연장된다. 챔버 바디(220) 외부에 위치된 회전 액추에이터 또는 z-θ 액추에이터일 수 있는 액추에이터(도시되지 않음)는 제2 베이스 평판(420) 내의 개구를 통해 로봇(224)에 결합한다. 제1 베이스 평판(402)은 또한 토로이드 형상이고, 제2 베이스 평판(420)을 둘러싼다.
배기 포트(404)는 제2 슬릿 밸브 도어(216)에 인접하여 베이스 평판(402) 내에 형성된다. 배기 포트(404)는 제2 슬릿 밸브 도어(216)에 대해 중심에 놓일 수 있거나[즉, 배기 포트(404)의 중심이 슬릿 밸브 도어(216)를 이등분하거나 슬릿 밸브 도어에 수직한 라인에 놓임], 또는 제2 슬릿 밸브 도어(216)의 중심으로부터 오프셋될 수 있다. 배기 포트(404)는 베이스 평판을 통해 연장되고, 챔버 바디(220) 내부의 이송 챔버(114)의 용적과 배기구(도시되지 않음) 사이의 유체 연통을 제공한다.
복수의 퍼지 가스 포트(406, 408)는 제1 베이스 평판(402) 내에서 슬릿 밸브 도어(312)에 인접하여 형성된다. 제1 퍼지 가스 포트(406)는 제1 베이스 평판(402) 내에서 배기 포트(404)로부터 제1 방향으로 약 90° 내지 약 180° 방위각에서 배치된다. 제2 퍼지 가스 포트(408)(팬텀으로 도시됨)는 제1 베이스 평판(402) 내에서 배기 포트(404)로부터 제1 방향에 대향하는 제2 방향으로 약 90° 내지 약 180° 방위각에서 배치된다. 더 일반적으로, 퍼지 가스 포트들(406, 408)은 배기 포트에 대향하여 제1 베이스 평판(402)을 통해 배치된다. 배기 포트(404)로부터 제1 및 제2 퍼지 가스 포트(406 및 408)의 방위각 변위(azimuthal displacement)는 대향하는 방향들에서 동일할 수 있거나, 상이할 수 있다.
선택적인 배기 포트(414)는 제1 베이스 평판(402) 내에서 배기 포트(404)에 대향하여 배치될 수 있다. 선택적인 배기 포트(414)는 이송 챔버(114)로부터 더 큰 용적의 유체 유동이 배기되는 것이 바람직한 경우에 이용될 수 있다. 대안적인 구현에서, 배기 포트(404)는 제1 베이스 평판(402) 내에서 선택적인 배기 포트(414)의 위치에 배치될 수 있고, 퍼지 가스 포트들(406, 408)은 도시된 것과 유사한 배향으로, 그러나 슬릿 밸브 도어(312)에 인접하는 대신에 제2 슬릿 밸브 도어(216)에 인접하여 배치될 수 있다. 즉, 이송 챔버(114)는 슬릿 밸브 도어들(216 또는 312, 또는 둘 다)에 인접하여 위치될 수 있는 1개 또는 2개의 배기 포트(404)(및 414)를 가질 수 있고, 퍼지 가스 포트들(406 및 408)은 슬릿 밸브 도어들(216 또는 312)에 인접하여 위치될 수 있다. 추가로, 이송 챔버(114)는 슬릿 밸브 도어들(216 또는 312, 또는 둘 다)에 인접하여 위치될 수 있는 1개, 2개, 3개 또는 4개의 퍼지 가스 포트(406)를 가질 수 있다는 점에 유의해야 한다.
복수의 확산기(410, 412)는 각각 제1 퍼지 가스 포트(406) 및 제2 퍼지 가스 포트(408) 내에서 제1 베이스 평판(402)에 결합된다. 확산기들(410, 412)은 제1 베이스 평판(402) 위의 평면에서 퍼지 가스 포트들(406, 408)로부터 연장된다. 퍼지 가스 포트들(406, 408) 각각은 퍼지 가스 소스(도시되지 않음)에 유체 결합되고, 퍼지 가스 포트들(406, 408)은 이송 챔버(114) 내로의 퍼지 가스의 도입을 가능하게 한다. 퍼지 가스들의 적절한 예들은 질소, 헬륨, 및 아르곤, 또는 그와 유사한 것과 같은 불활성 가스들을 포함한다.
동작 시에, 이송 챔버(114)는 대기압으로부터, 약 2 Torr 내지 약 5 Torr의 감소된 압력으로 배기될 수 있다. 후속하여, 퍼지 가스가 이송 챔버(114)에 도입될 수 있다. 퍼지 가스는 퍼지 가스 포트들(406, 408)을 통해 이동하고, 거기에서 퍼지 가스는 확산기들(410, 412)에 의해 이송 챔버(114) 전반으로 편향된다. 퍼지 가스는 퍼지 가스 포트들(406, 408)을 통해 약 10 slm(standard liters per minute) 내지 약 200 slm, 예컨대 약 50 slm 내지 약 90 slm의 레이트로 전달될 수 있다. 이송 챔버(114) 내의 환경이 본 명세서에 설명된 구현들에 따라 퍼징될 때, 약 0.1 ppm(parts per million) 미만의 산소 농도가 달성될 수 있음이 예상된다.
일반적으로, 이송 챔버(114)는 대략 대기압에서 유지될 수 있다. 일 구현에서, 퍼지 가스는 이송 챔버(114)로부터 비-불활성 또는 바람직하지 않은 가스들을 제거하기 위해 이용될 수 있다. 다른 구현에서, 퍼지 가스들은 이송 챔버(114)를 대기압보다 약간 더 높은 압력으로 가압하기 위해 이용될 수 있다. 이러한 구현에서, 이송 챔버(114) 내의 환경은 약 1 psi(pound per square inch) 내지 약 10 psi, 예컨대 대기압보다 약 5 psi 높은 압력으로 유지된다. 이송 챔버 환경을 약간 포지티브인 압력으로 유지함으로써, 챔버 내의 임의의 가스 누설이 챔버의 외부를 향해 유동할 것을 보장하고, 그에 의해 환경 가스들이 챔버 내에 침입하는 것을 방지하는 것에 의해, 이송 챔버(114) 내의 불활성 및 청정 환경의 유지가 달성될 수 있다. 이러한 방식으로, 실질적으로 불활성인 환경이 달성될 수 있다. 일 구현에서, 실질적으로 불활성인 환경은 산소, 공기, CO2, 수증기, 및 그와 유사한 것과 같은 산화제를 실질적으로 갖지 않는다.
로봇(224)은 중심 축에 대해 회전하고, X 및 Y 방향으로의 기판 이송을 가능하게 하는 암(416)을 갖는다. 암(416)은 이송 동안 기판(230)을 지지하는 블레이드(418)에 결합된다. 블레이드(418)는 석영과 같은 재료로 제조될 수 있다. 석영을 이용함으로써, 블레이드는 프로세스 챔버(116) 내에서의 처리 후에 기판(230)이 약 500℃ 초과의 온도를 가질 수 있을 때 기판(230)을 지지할 수 있다. 일 구현에서, 블레이드(418)에 결합되는 암(416)의 부분은 열 팽창으로 인한 블레이드(418)의 스트레스를 최소화하기 위해 Nitronic® 60과 같은 합금 재료로 제조될 수 있다.
위에서 설명된 구현들에 더하여, 이송 챔버(114)는 프로세스 챔버(116) 내에서의 처리 후에 기판(230)의 냉각을 용이하게 하기 위해 능동적으로 냉각될 수 있다. 이러한 구현에서, 유체는 바디(220) 내에 형성된 채널들을 통해, 또는 냉각된 퍼지 가스를 퍼지 가스 포트들(406, 408)을 통해 이송 챔버(114) 내에 도입함으로써 유동될 수 있다. 이송 챔버(114)를 냉각함으로써, 처리 후에 로드 락 챔버(112) 내에서 기판(230)이 냉각되는 시간의 양이 감소될 수 있다고 여겨진다.
도 5는 본 명세서에 설명되는 구현들에 따른 로드 락 챔버(112)의 개략적인 단면도를 도시한다. 로드 락 챔버(112)는 내부 용적(502)을 정의하는 챔버 바디(210)를 포함한다. 기판 지지체(508)는 내부 용적(502) 내에 배치되고, 복수의 유체 도관(510)이 기판 지지체(508) 내에 배치된다. 유체 도관들(510)은 나선 경로(spiral path), 우회하는 경로(circuitous path), 사행 경로(serpentine path), 또는 다른 비틀린 경로(tortured path)로 페디스털을 가로지를 수 있다. 유체 도관들(510)은 기판 지지 표면(509)과 접촉하는 기판에 유체 도관들(510)이 근접하게 하기 위해 기판 지지체(508)의 기판 지지 표면(509)에 인접하여 배치된다. 그러한 근접성은 기판과 유체 도관들(510)을 통해 순환하는 유체 사이의 열 전달 효율을 증진시킨다. 일 구현에서, 각각의 유체 도관(510)의 외측 직경과 기판 지지 표면 사이의 거리는 약 0.1mm 내지 약 5mm, 예를 들어 약 1mm이다.
유체 도관들(510)은 또한 냉각 유체 소스(512)에 유체 결합된다. 적합한 냉각 유체들은 물, 수성 에틸렌 글리콜 혼합물, 퍼플루오르폴리에테르(perfluoropolyether)(예를 들어, Galden® 유체), 유성 열 전달 유체, 액체 금속(예를 들어, 갈륨 또는 갈륨 합금) 또는 다른 유사한 유체들을 포함한다. 일 구현에서, 기판 지지체(508)는 기판(230)의 냉각 동안 약 10℃ 내지 약 30℃, 예컨대 약 20℃의 온도로 유지될 수 있다. 선택적으로, 기판(230)의 가열이 바람직한 경우, 가열기(516)가 또한 내부 용적(502) 내에 제공될 수 있다. 가열기(516)는 세라믹 가열기 또는 그와 유사한 것일 수 있고, 또는 기판 지지체(508) 내에 배치되거나 기판 지지체와 다르게 열 접촉하는(예를 들어, 인접하는) 저항성 가열기일 수 있다. 도 5의 구현에서, 선택적인 가열기(516)는 기판 지지체(508)를 둘러싸는 리세스(511) 내에서 기판 지지체(508)에 인접하여 도시되어 있다. 기판 지지체(508)가 페디스털 형상을 갖도록, 리세스(511)는 내부 용적(502)에 개방된다. 대안적으로, 기판 지지체(508)는 로드 락 챔버(112)의 측벽(513)에 접촉하는 평판일 수 있고, 선택적인 가열기(516) 및 리세스(511)는 기판 지지체(508)에 의해 내부 용적(502)으로부터 분리될 수 있다.
리드(506)는 기판 지지체(508)에 대향하여 챔버 바디(210)에 결합된다. 리드(506)는 챔버 바디(210)를 위해 선택된 재료들과 유사하거나 그러한 재료들과 동일한 금속 재료로 제조될 수 있다. 확산기 평판(504)은 리드(506)의 중심 영역에 결합되고 리드의 중심 영역에 배치될 수 있다. 확산기 평판(504)은 내부 용적(502) 및 퍼지 가스 도관(218)과 유체 연통한다. 퍼지 가스 도관(218)은 퍼지 가스 소스(514)에 결합되고, 퍼지 가스 도관은 내부 용적(502)에 인접하여 확산기 평판(504)을 통해 연장된다.
리드(506)의 최하부면(534)은 챔버 바디(210)로부터 확산기 평판(504)까지 반경방향으로 안쪽으로 연장된다. 리드(506)의 최하부면(534)의 일부분(536)은 확산기 평판(504)으로부터 반경방향으로 바깥쪽으로 연장되면서 테이퍼링된다. 리드 최하부면(534)의 토포그래피는 리드(506) 및 확산기 평판(504)을 통해 퍼지 가스를 전달하는 것과 결합하여, 내부 용적(502) 전반에서의 퍼지 가스의 개선된 분산을 제공하는 것으로 여겨진다.
동작 시에, 로드 락 챔버(112)는 기판 냉각 동작들의 상당한 부분 동안 대략 대기압으로 유지된다. 그러나, 내부 용적(502) 내의 환경의 조성은 실질적으로 불활성인 환경이도록 제어된다. 실질적으로 불활성인 환경(즉, 산화제 또는 환원제를 실질적으로 갖지 않는, 예를 들어 1 ppm 미만의 O2 농도를 갖는 환경)을 보장하기 위해, 로드 락 챔버(112)는 대략 대기압으로부터 약 2 Torr 내지 약 5 Torr의 감소된 압력으로 배기된다. 후속하여, 내부 용적(502)은 질소, 헬륨 또는 아르곤, 또는 그와 유사한 것과 같은 불활성 가스로 퍼징된다. 일 구현에서, 내부 용적(502)의 퍼징 동안의 퍼지 가스의 유량은 약 2 slm 내지 약 100 slm, 예를 들어 약 50 slm이다. 퍼징의 결과로서, 압력은 대략 대기압으로, 또는 대기압보다 약간 높은 압력으로, 예컨대 약 1 psi 내지 약 10 psi, 예를 들어 대기압보다 약 5 psi 높은 압력으로 상승된다.
제2 슬릿 밸브(518)는 챔버 바디(210) 내에서 제1 슬릿 밸브(212)에 대향하여 배치된다. 제1 슬릿 밸브(212) 및 제2 슬릿 밸브(518)는 동일 평면을 따라 배치된다. 제2 슬릿 밸브(518)는 이송 챔버(114)에 인접하여 배치되고, 제2 슬릿 밸브 도어(216)에 의해 개방 및 폐쇄된다. 앞에서 설명된 바와 같이, 이송 챔버(114)의 배기 포트(404)는 제2 슬릿 밸브 도어(518)에 인접하여 배치된다.
로드 락 챔버(112) 내에서의 기판 이송 및 기판 냉각 동안, 실질적으로 산소를 갖지 않는 환경 내에서 내부 용적(502)을 유지하는 것이 종종 바람직하다. 제2 슬릿 밸브 도어(216)가 개방될 때, 로드 락 내부 용적(502)의 환경은 배기 포트(404)로부터 획득되는 이송 챔버(114)의 배기물을 분석함으로써 검출될 수 있다. 배기 포트(404)를 제2 슬릿 밸브 도어(216)에 근접하게 하면, 제2 슬릿 밸브 도어(216)가 개방될 때, 로드 락 챔버(112)로부터의 가스들이 제2 슬릿 밸브(518)를 통해 배기 포트(404) 내로 유동되는 것이 허용된다.
이송 챔버(114)는 배기 포트(404)에 결합되고 배기 포트와 유체 연통하는 배기 도관(520)을 포함한다. 배기 도관(520)은 배기 유출구(532)와 유체 연통한다. 펌프(530)는 배기 유출구(532)와 배기 포트(404) 사이에서 배기 도관(520)에 결합되고, 체크 밸브(528)는 펌프(530)와 배기 포트(404) 사이에서 배기 도관(520) 내에 배치된다. 이송 챔버(114)의 펌핑 동안, 가스들은 배기 포트를 통해 배기 유출구(532)로 유동된다.
산소 센서(522)는 제1 도관(524) 및 제2 도관(526)을 통해 배기 도관(520)과 유체 연통한다. 산소 센서(522)는 내부에 배치된 펌프를 갖고, 제1 도관(524)을 통한 샘플링을 위해 배기 도관(520)으로부터 배기 가스를 추출할 수 있다. 대안적으로, 산소 센서(522)는 산소 센서(522)를 통해 가스를 유동시키기 위해 체크 밸브(528)에 걸친 차동 압력에 의존할 수 있고, 그에 따라 산소 센서(522) 내에서의 별도의 펌프가 필요하지 않게 할 수 있다. 제1 도관(524)은 배기 포트(404)와 체크 밸브(528) 사이의 배기 도관(520)에 결합된다. 제2 도관(526)은 체크 밸브(528)와 펌프(530) 사이에서 산소 센서(522)로부터 배기 도관(520)까지 연장된다.
동작 시에, 펌프(530)는 제2 슬릿 밸브(518)가 개방될 때 로드 락 챔버 환경으로부터의 유체들도 포함하는 이송 챔버 환경으로부터의 가스들을 배기 유출구(532)로 인출(draw)한다. 이송 챔버(114)를 비우는 동안, 산소 센서(522)는 배기 유체의 샘플링 용적을 인출하고, 산소에 관하여 배기물을 분석하고, 배기물을 배기 도관(520)에 반환한다. 본 명세서에 설명되는 구현들에 따라 구현될 수 있는 산소 센서의 적절한 예들은 펜실베니아주 Exton의 Neutronics, Inc.로부터 입수가능한 Model 3100 O2 분석기를 포함한다. 약 1 ppm 미만의 산소 농도를 검출할 수 있는 다른 제조사들로부터의 다른 O2 분석기도 본 명세서에 설명된 구현들에 따라 이용될 수 있음이 예상된다.
도 11은 본 명세서에 설명되는 구현들에 따라 기판을 이송하고 로드 락 챔버 내의 산소 농도를 측정하기 위한 방법(1100)의 동작들을 도시한다. 동작(1110)에서, 기판(230)은 프로세스 챔버(116)로부터 이송 챔버(114)로 이송된다. 동작(1120)에서, 기판(230)은 로드 락 챔버(112)로 이송된다.
동작(1130)에서, 로드 락 챔버 환경은 제2 슬릿 밸브(518)를 폐쇄함으로써 이송 챔버 환경으로부터 격리된다. 동작(1140)에서 로드 락 환경을 퍼징함으로써, 산소가 로드 락 챔버(112)로부터 제거된다. 동작(1150)에서, 기판(230)은 산소 결핍(oxygen deficient), 산화제 결핍(oxidant deficient), 및/또는 실질적으로 불활성인 환경에서 냉각된다. 기판이 실질적으로 불활성이며 산화제가 없는 환경에서 이송 및 냉각되는 것을 허용함으로써, 후속하는 접촉 구조물 형성 프로세스들이 개선될 수 있을 것으로 여겨진다.
동작(1160)에서, 로드 락 챔버(112)와 이송 챔버(114) 사이에서 제2 슬릿 밸브(518)가 개방된다. 동작(1170)에서, 이송 챔버 환경은 제2 슬릿 밸브(518)의 개방 동안 배기된다. 동작(1180)에서, 기판 냉각 동안 로드 락 챔버 환경의 산소 농도를 결정하기 위해 이송 챔버 배기물이 분석된다. 이송 챔버(114)의 내부 용적(502)은 산소 센서들을 이용하여 산소 농도에 관하여 분석될 수 있음이 또한 예상된다.
따라서, 기판(230)이 실질적으로 불활성인 환경에서 냉각되었는지를 결정하는 것이 가능하다. 산소 센서(522)가 산소 농도가 지나치게 높다고, 예를 들어 약 1 ppm 초과라고 결정하는 경우, 기판(230)이 산소에 노출되었음을 나타내는 데이터가 생성될 수 있고, 그 때, 프로세스 시스템(100)의 운영자는 구제 조치가 있다면 어떠한 구제 조치들이 구현되어야 하는지를 결정할 수 있다.
도 6의 (a)는 본 명세서에 설명되는 구현들에 따른 도 5의 로드 락 챔버의 개략적 부분 사시도를 도시하며, 명확성을 위해 리드(506)는 제거되었다. 챔버 바디(210)는 기판 지지체(508)를 적어도 부분적으로 둘러싸는 측벽들(628)을 포함한다. 일 구현에서, 측벽들(628)은 챔버 바디(210)를 형성하기 위해 이용되는 동일 재료들로 제조될 수 있다. 다른 구현에서, 측벽들(628)은 챔버 바디(210)로부터 분리가능한 프로세스 키트일 수 있다. 측벽들(628)을 제조하기 위해 이용되는 적절한 재료들의 예는 알루미늄, 스테인레스 스틸, 및 그들의 조합, 또는 프로세스 키트의 경우에서의 다양한 세라믹 재료들을 포함한다.
배기 포트(626)는 측벽들(628) 내에 형성되고, 배기 포트(626)는 내부 용적(502)과 유체 연통한다. 배기 포트(626)는 또한 펌프 또는 배기구(도시되지 않음)와 유체 연통한다. 동작 시에, 로드 락 챔버(112)는 내부 용적(502) 내에 존재할 수 있는 산소의 전부 또는 실질적으로 전부를 제거하기 위해 배기 포트(626)를 통해 약 2 Torr 내지 약 5 Torr의 압력으로 펌핑 다운될 수 있다. 질소 또는 그와 유사한 것과 같은 퍼지 가스가 내부 용적(502) 내로 전달되고, 압력은 대략 대기압으로, 또는 대기압보다 약간 높게 상승된다. 일 구현에서, 퍼지 가스는 내부 용적(502) 내의 압력을 대기압보다 약 1 psi 내지 약 10 psi 높게 상승시킨다. 약간 포지티브인 압력을 이용하면, 기판 냉각 동안 산소가 로드 락 챔버(112) 내로 진입할 확률이 감소되거나 제거된다고 여겨진다.
로드 락 챔버(112)는 또한 복수의 리프트 핀(602)을 포함한다. 복수의 리프트 핀(602)은 기판 지지체(508)의 둘레 주위에 이격된다. 도시된 구현에서, 3개의 리프트 핀(602)이 도시되지만, 더 많은 수의 리프트 핀이 또한 이용될 수 있음이 예상된다. 기판 지지체(508)는 기판 지지체(508)의 중심을 향해 횡방향으로 연장되는 리프트 핀들(602)을 수용하도록 크기가 정해진, 내부에 형성된 리세스들(604)을 갖는다. 그러므로, 리세스들(604)은 기판 지지체의 에지로부터 기판 지지체의 중심을 향해 반경방향으로 연장된다. 리프트 핀들(602)과 마찬가지로, 리세스들(604)은 기판 지지체(508)의 둘레 주위에 이격된다. 일 구현에서, 리세스들(604) 및 리프트 핀들(602)은 기판 지지체(508)의 둘레 주위의 대응하는 위치들에 배치된다. 다른 구현에서, 리세스들(604)은 반원 형상을 갖지만, 리프트 핀들(602)을 수용하기 위해 다른 형상들이 이용될 수 있음이 예상된다.
일 구현에서, 리프트 핀들(602) 및 리세스들(604)은 챔버 바디에 결합된 슬릿 밸브 도어들(214, 216)을 갖는 면들에 수직한 면들 상에서 챔버 바디(210)에 인접하여 배치된다. 일 구현에서, 리프트 핀들(602) 중 하나는 다른 2개의 리프트 핀(602)에 대향하여 배치된다. 기판 지지체(508) 및 기판 이송 경로에 대한 리프트 핀들(602)의 위치는, 기판(230)의 이송 경로를 변경시키지 않고서 기판(230)이 리프트 핀들(602) 및 기판 지지체(508)에 의해 지지되는 것을 가능하게 한다.
도 6의 (b)는 본 명세서에 설명되는 구현들에 따른 도 6의 (a)의 로드 락 챔버(112)의 리프트 핀들(602) 중 하나의 개략적 단면도를 도시한다. 각각의 리프트 핀(602)은 샤프트(606), 샤프트(606)에 결합되고 샤프트로부터 연장되는 제1 연장부(608), 및 샤프트(606)에 결합되고 샤프트로부터 연장되는 제2 연장부(610)를 포함한다. 제1 연장부(608) 및 제2 연장부(610) 중 적어도 하나는 샤프트(606)의 제1 단부와 샤프트(606)의 제2 단부 사이의 위치에서 샤프트(606)에 부착된다. 제1 연장부(608)는 샤프트(606)의 제1 단부와 제2 단부 사이에 부착될 수 있는 한편, 제2 연장부(610)는 샤프트(606)의 제2 단부에 부착된다.
제1 및 제2 연장부들(608, 610) 각각은 샤프트(606)로부터 횡방향으로, 예를 들어 90° 각도로 연장된다. 연장부들(608, 610) 각각은 샤프트(606)로부터 90° 각도로, 또는 마찬가지로 기판을 지지하는 것을 가능하게 하는 다른 각도로 연장될 수 있다. 예를 들어, 제2 연장부(610)는 샤프트(606)와 90° 각도를 형성할 수 있는 한편, 제1 연장부(608)는 샤프트(606)로부터, 그리고 제2 연장부(610)로부터 횡방향으로 멀어지게 연장된다. 추가로, 제1 및 제2 연장부들(608, 610) 각각은 샤프트(606)로부터 멀어지는 방향으로 선형 연장되는 직선 부재들로서 도시되지만, 연장부들(608, 610) 중 하나 이상은 선형이 아닐 수 있다. 예를 들어, 제1 및 제2 연장부들(608, 610) 중 하나 이상은 샤프트(606)와 각도를 형성하는 제1 부분, 및 제1 부분과 각도를 형성하는 제2 부분을 갖는 기울어진 부재들일 수 있다.
샤프트(606)는 기판 지지체(508)의 에지로부터 반경방향으로 바깥쪽으로 배치되고, 제1 및 제2 연장부들(608, 610)은 샤프트(606)로부터 반경방향으로 안쪽으로 연장된다. 일 구현에서, 제1 및 제2 연장부들(608, 610)은 기판 지지체(508)의 외측 에지에서 반경방향으로 안쪽으로 연장된다.
제1 연장부(608) 및 제2 연장부(610)는 제1 연장부 상에서의 기판(230)의 위치지정 및 지지를 수용하기에 적합한 거리(620)만큼 이격된다. 일 구현에서, 거리(620)는 약 10 밀리미터(mm) 내지 약 30 mm, 예컨대 약 20 mm이다. 제1 연장부(608)는 내부에 배치된 제1 지지 볼(support ball)(616)을 갖는 최상부면(612)을 포함한다. 제1 연장부(608)와 마찬가지로, 제2 연장부(610)는 내부에 배치된 제2 지지 볼(618)을 갖는 최상부면(614)을 갖는다. 제1 및 제2 지지 볼(616, 618)은 최상부면들(612, 614)에 각각 결합되고, 최상부면들(612, 614) 위로 연장된다. 기판(230)이 리프트 핀들(602)에 의해 지지될 때, 기판(230)은 지지 볼들(616, 618)에 접촉하고 지지 볼들 위에 놓인다. 지지 볼들(616, 618)을 제조하기 위한 적절한 재료들의 예들은 실리콘 질화물 또는 실리콘 탄화물과 같은 다양한 세라믹 재료들을 포함한다. 지지 볼들(616, 618)은 본 명세서에서 구 형상인 것으로 설명되지만, 지지 볼들(616, 618)은 타원형 패드들, 둥글려진 직사각형들(rounded rectangles), 최상부면들(612, 614)로부터의 돌출부들, 또는 그와 유사한 것일 수 있음이 예상된다.
도 6의 (a)를 다시 참조하면, 기판 지지체(508)는 또한 기판 지지체(508)의 최상부면에 배치된 복수의 접촉 볼(622)을 포함한다. 접촉 볼들(622)은 기판(230)이 로드 락 챔버(112) 내에서의 냉각 프로세스들 동안 균일하게 지지되도록 이격된다. 지지 볼들(616, 618)과 마찬가지로, 접촉 볼들(622)은 실리콘 질화물 또는 실리콘 탄화물과 같은 하나 이상의 세라믹 재료로 제조된다.
동작 시에, 리프트 핀들(602)은 로딩/언로딩 위치로 상승되고, 거기에서 제1 연장부(608)는 기판 지지체(508)의 최상부면 위에 배치된다. 처리되지 않은 기판이 팩토리 인터페이스(102)로부터 이송될 때 그 기판은 제2 연장부(610) 상에 배치된다. 프로세스 챔버(116)에 의해 처리된 기판은 이송 챔버(114)의 로봇(224)에 의해 제1 연장부(608) 상에 배치된다. 리프트 핀들(602)은 로딩/언로딩 위치로부터 프로세스 위치로 수축되고, 거기에서 제1 연장부(608)는 리세스(604) 내에 배치되고, 기판은 처리된 기판을 냉각하기 위해 접촉 볼들(622)에 의해 지지된다.
처리된 기판이 충분히 냉각된 후, 기판들이 리프트 핀들(602) 상에 배치되어 있는 동안, 리프트 핀들(602)은 프로세스 위치로부터 로딩/언로딩 위치로 연장된다. 팩토리 인터페이스(102)로부터의 로봇은 제1 연장부(608)에 의해 지지되는 처리된 기판을 회수한다. 제2 연장부(610)에 의해 지지되는 처리되지 않은 기판은 로봇(224)에 의해 회수되고 이송 챔버(114)를 통해 프로세스 챔버(116)로 이송된다. 따라서, 로드 락 챔버(112)는 산소를 전혀 갖지 않거나 실질적으로 갖지 않는 환경 내에서 기판들을 이송 및 냉각하는 것의 효율을 개선한다.
도 6의 (c)는 본 명세서에 설명된 구현들에 따른 도 6의 (a)의 기판 지지체(508) 및 접촉 볼들(622)의 개략적 단면도를 도시한다. 예를 들어 프레스 피팅(press fitting) 또는 그와 유사한 것에 의해 페디스털에 결합되는 접촉 볼들(622)은 기판 지지체(508)의 최상부면보다 거리(624)만큼 위로 연장된다. 일 구현에서, 거리(624)는 약 0.01 인치 내지 약 0.03 인치이다. 거리(624)는 기판(230)의 냉각 속도에 영향을 주도록 조절될 수 있다. 예를 들어, 더 작은 거리(624)는 기판(230)의 더 빠른 냉각 속도를 제공할 수 있는 한편, 더 큰 거리(624)는 더 느린 냉각 속도를 제공할 수 있다.
도 7은 본 명세서에 설명된 구현들에 따른 프로세스 시스템(700)의 개략적 평면도를 도시한다. 프로세스 시스템(700)은 팩토리 인터페이스(702), 기판 이송 모듈(704), 및 프로세스 모듈(706)을 포함한다. 팩토리 인터페이스(702)는 복수의 FOUP(708) 및 FI 이송 챔버(710)를 포함한다. 기판 이송 모듈(704)은 복수의 로드 락 챔버(712) 및 이송 챔버(714)를 포함한다. 로드 락 챔버들(712)은 FI 이송 챔버(710)에 결합되고, 이송 챔버(714)는 로드 락 챔버들(712)에 결합된다. 일 구현에서, 이송 챔버(714)는 내부에 배치된 복수의, 예를 들어 2개의 이송 로봇을 갖는 단일 챔버(716)이다. 일 구현에서, 로드 락 챔버들(712) 및 이송 챔버(714)는 각각 로드 락 챔버(112) 및 이송 챔버(114)와 유사하다.
프로세스 모듈(706)은 열 처리 챔버들과 같은 복수의 프로세스 챔버(718)를 포함한다. 일 구현에서, 프로세스 챔버들(718)은 레이저 열 프로세스 챔버들과 같은 급속 열 프로세스 챔버이다. 다른 구현들에서, 프로세스 챔버들(718)은 원하는 구현에 따라 퇴적 챔버들, 에칭 챔버들, 세정 챔버들, 및 그와 유사한 것일 수 있다. 단일 챔버(716)를 갖는 이송 챔버(714)를 이용함으로써, 기판들이 이송 챔버(714) 내의 로봇들 사이에서 이송되어, 기판 이송 효율을 개선할 수 있다.
도 8은 본 명세서에 설명된 구현들에 따른 프로세스 시스템(800)의 개략적 평면도를 도시한다. 프로세스 시스템(800)은 팩토리 인터페이스(802), 기판 이송 모듈(804), 및 프로세스 모듈(806)을 포함한다. 팩토리 인터페이스(802)는 복수의 FOUP(808) 및 FI 이송 챔버(810)를 포함한다. 기판 이송 모듈(804)은 로드 락 챔버(812) 및 복수의 이송 챔버(814)를 포함한다. 일 구현에서, 로드 락 챔버(812) 및 복수의 이송 챔버(814)는 각각 로드 락 챔버(112) 및 이송 챔버(114)와 유사하다.
로드 락 챔버(812)는 이송 챔버들(814) 사이에 배치되고, 이송 챔버들(814) 각각의 로봇들은 로드 락 챔버(812)에 접근할 수 있다. 로드 락 챔버(812)는 팩토리 인터페이스(802)에 결합되고, FI 이송 챔버(810)로부터의 기판들을 수용한다. 이송 챔버들(814)은 중심 배치된 로드 락 챔버(812)로부터 기판들을 회수하고, 기판들을 프로세스 모듈(806)로 이송한다.
프로세스 모듈(706)과 마찬가지로, 프로세스 모듈(806)은 열 처리 챔버들과 같은 복수의 프로세스 챔버(818)를 포함한다. 일 구현에서, 프로세스 챔버들(818)은 레이저 열 프로세스 챔버들과 같은 급속 열 프로세스 챔버이다. 다른 구현들에서, 프로세스 챔버들(818)은 원하는 구현에 따라 퇴적 챔버들, 에칭 챔버들, 세정 챔버들, 및 그와 유사한 것일 수 있다.
도 9는 본 명세서에 설명된 구현들에 따른 프로세스 플랫폼(900)의 개략적 도면을 도시한다. 플랫폼(900)은 본질적으로 모듈형(modular)이고 프로세스 능력들에 가장 잘 맞도록 재배열될 수 있음이 예상된다. 또한, 다양한 챔버들 및 컴포넌트들은 단일 플랫폼 상에서의 광범위한 응용 특정 프로세스들을 가능하게 하기 위해 플랫폼(900)으로부터 제거되거나 플랫폼에 추가될 수 있다.
플랫폼(900)은 복수의 FOUP(936)가 결합되어 있는 제1 팩토리 인터페이스(902)를 포함한다. 복수의 기판 이송 모듈(914)은 제1 팩토리 인터페이스(902)에 결합된다. 기판 이송 모듈들(914)은 로드 락 챔버(916) 및 이송 챔버(918)를 포함한다. 일 구현에서, 로드 락 챔버(916)는 로드 락 챔버(112)와 유사하다. 다른 구현에서, 이송 챔버(918)는 이송 챔버(114)와 유사하다. 플랫폼(900)은 또한 프로세스 모듈(920)을 포함한다. 프로세스 모듈(920)은 제1 프로세스 챔버(922) 및 제2 프로세스 챔버(924)를 포함한다. 일 구현에서, 제1 프로세스 챔버(922) 및 제2 프로세스 챔버(924)는 프로세스 챔버들(116)과 유사하다.
플랫폼(900)은 복수의 FOUP(934)가 결합되어 있는 제2 팩토리 인터페이스(904)를 더 포함한다. 중심 이송 챔버(926)는 복수의 로드 락 챔버(938)에 의해 제2 팩토리 인터페이스(904)에 결합된다. 복수의 프로세스 챔버(928, 930, 932)는 중심 이송 챔버(926)에 결합되고 중심 이송 챔버 주위에 배치된다. 일 구현에서, 프로세스 챔버(928)는 중심 이송 챔버(926)에 직접 결합된다. 프로세스 챔버들(930 및 932)은 개별 기판 이송 모듈들(914)에 의해 중심 이송 챔버에 결합된다.
이송 챔버들 및 팩토리 인터페이스들에 대한 프로세스 챔버들의 배열은 기판 이송 모듈(914)의 이용에 의해 개선될 수 있다. 기판 이송 모듈(914)을 이용함으로써, 개선된 기판 환경 관리 및 효율적인 기판 이송이 달성되어, 개선된 플랫폼 유연성을 용이하게 할 수 있다.
플랫폼(900)은 또한 터널 챔버(906)를 포함한다. 터널 챔버(906)는 제1 팩토리 인터페이스(902)와 제2 팩토리 인터페이스(904) 사이에 배치된다. 터널 챔버(906)는 제1 로드 락 챔버(908), 이송 챔버(912), 및 제2 로드 락 챔버(910)를 포함한다. 제1 로드 락 챔버(908)는 터널 챔버(906)의 이송 챔버(912)와 제1 팩토리 인터페이스(902) 사이에 배치된다. 일 구현에서, 로드 락 챔버들(908, 910)은 로드 락 챔버들(112)과 유사하다. 마찬가지로, 이송 챔버(912)는 이송 챔버(114)와 유사하다. 제2 로드 락 챔버(910)는 터널 챔버(906)의 이송 챔버(912)와 제2 팩토리 인터페이스(904) 사이에 배치된다. 따라서, 터널 챔버(906)는 제1 팩토리 인터페이스(902)와 제2 팩토리 인터페이스(904) 사이의 기판 이송을 가능하게 한다.
팩토리 인터페이스들(902, 904)을 터널 챔버(906)와 결합함으로써, 기판들은 프로세스 모듈(920) 내에서의 처리 후에 FOUP들(936)에 반환될 필요가 없다. 오히려, 기판은 후속하는 처리 동작들을 위해 터널 챔버(906)를 통해 프로세스 챔버들(928, 930, 932) 중 하나 이상으로 이송될 수 있다. 일례에서, 프로세스 챔버들(928, 930, 932)은 퇴적 챔버, 에칭 챔버, 세정 챔버, 또는 다양한 다른 프로세스 능력들을 갖는 다른 챔버 유형 중 임의의 것일 수 있다. 따라서, 복수의 플랫폼 사이에서의 이송 동안 기판을 산소에 노출시키지 않고서, 열 처리된 기판 상에 후속 처리 동작들이 수행될 수 있다.
도 10은 본 명세서에 설명된 구현들에 따른 프로세스 플랫폼(1000)의 개략적 도면을 도시한다. 플랫폼(1000)은 본질적으로 모듈형이고 프로세스 능력들에 가장 잘 맞도록 재배열될 수 있음이 예상된다. 또한, 다양한 챔버들 및 컴포넌트들은 단일 플랫폼 상에서의 광범위한 응용 특정 프로세스들을 가능하게 하기 위해 플랫폼(1000)으로부터 제거되거나 플랫폼에 추가될 수 있다.
플랫폼(1000)은 복수의 FOUP(1036)가 결합되어 있는 제1 팩토리 인터페이스(1002)를 포함한다. 복수의 기판 이송 모듈(1014)은 제1 팩토리 인터페이스(1002)에 결합된다. 기판 이송 모듈들(1014)은 로드 락 챔버(1016) 및 이송 챔버(1018)를 포함한다. 일 구현에서, 로드 락 챔버(1016)는 로드 락 챔버(112)와 유사하다. 다른 구현에서, 이송 챔버(1018)는 이송 챔버(114)와 유사하다. 플랫폼(1000)은 또한 프로세스 모듈(1020)을 포함한다. 프로세스 모듈(1020)은 제1 프로세스 챔버(1022) 및 제2 프로세스 챔버(1024)를 포함한다. 일 구현에서, 제1 프로세스 챔버(1022) 및 제2 프로세스 챔버(1024)는 프로세스 챔버들(116)과 유사하다.
플랫폼(1000)은 복수의 FOUP(1034)가 결합되어 있는 제2 팩토리 인터페이스(1004)를 더 포함한다. 중심 이송 챔버(1026)는 복수의 로드 락 챔버(1032)에 의해 제2 팩토리 인터페이스(1004)에 결합된다. 복수의 프로세스 챔버(1028, 1030)는 중심 이송 챔버(1026)에 결합되고 중심 이송 챔버 주위에 배치된다. 일 구현에서, 프로세스 챔버(1028) 및 프로세스 챔버(1030)는 중심 이송 챔버(1026)에 직접 결합된다.
플랫폼(1000)은 또한 터널 챔버(1006)를 포함한다. 터널 챔버(1006)는 제1 팩토리 인터페이스(1002)와 중심 이송 챔버(1026) 사이에 배치된다. 터널 챔버(1006)는 제1 로드 락 챔버(1008), 이송 챔버(1012), 및 제2 로드 락 챔버(1010)를 포함한다. 제1 로드 락 챔버(1008)는 터널 챔버(1006)의 이송 챔버(1012)와 제1 팩토리 인터페이스(1002) 사이에 배치된다. 일 구현에서, 로드 락 챔버들(1008, 1010)은 로드 락 챔버들(112)과 유사하다. 마찬가지로, 이송 챔버(1012)는 이송 챔버(114)와 유사하다.
제2 로드 락 챔버(1010)는 터널 챔버(1006)의 이송 챔버(1012)와 중심 이송 챔버(1026) 사이에 배치된다. 따라서, 터널 챔버(1006)는 제1 팩토리 인터페이스(1002)와 중심 이송 챔버(1026) 사이의 기판 이송을 가능하게 한다. 플랫폼(1000)의 배열을 이용하면, 플랫폼(900)을 이용하여 달성된 것들과 유사한 효율들이 또한 달성될 수 있다.
일 구현에서, 기판 처리 장치는 팩토리 인터페이스, 및 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 기판 이송 모듈을 포함한다. 기판 이송 모듈은 팩토리 인터페이스에 결합된 로드 락 챔버 - 로드 락 챔버는 프로세스 용적을 정의하는 챔버 바디, 및 프로세스 용적과 유체 연통하는 퍼지 가스 포트를 가짐 -, 및 로드 락 챔버에 결합된 이송 챔버를 포함한다. 프로세스 모듈은 또한 기판 이송 모듈에 결합되고, 프로세스 모듈은 복수의 프로세스 챔버를 포함한다.
일 구현에서, 팩토리 인터페이스는 팩토리 인터페이스에 결합된 복수의 전방 개구형 통합 포드를 더 포함한다.
일 구현에서, 기판 이송 모듈은 로드 락 챔버들의 쌍을 포함한다.
일 구현에서, 기판 이송 모듈은 이송 챔버들의 쌍을 포함한다.
일 구현에서, 로드 락 챔버는 프로세스 용적과 유체 연통하는 배기 포트를 포함한다.
일 구현에서, 로드 락 챔버는 프로세스 용적 내에 배치된 페디스털을 포함하고, 페디스털은 내부에 형성된 복수의 냉각 유체 도관을 갖는다.
일 구현에서, 로드 락 챔버는 챔버 바디 내에서 팩토리 인터페이스에 인접하여 형성된 제1 슬릿 밸브, 및 제1 슬릿 밸브에 인접하여 챔버에 결합된 제1 슬릿 밸브 도어를 갖는다.
일 구현에서, 로드 락 챔버는 챔버 내에서 이송 챔버에 인접하여 형성된 제2 슬릿 밸브, 및 제2 슬릿 밸브에 인접하여 챔버에 결합되는 제2 슬릿 밸브 도어를 갖는다.
일 구현에서, 프로세스 챔버들 각각은 급속 열 프로세스 챔버이다.
일 구현에서, 급속 열 프로세스 챔버들 각각은 레이저 열 프로세스 챔버이다.
일 구현에서, 레이저 열 프로세스 챔버들 각각은 대략 대기압에서 작동된다.
일 구현에서, 기판 처리 장치는 팩토리 인터페이스를 포함하고, 팩토리 인터페이스는 내부에 배치된 제1 로봇을 갖는 제1 이송 챔버를 포함한다. 장치는 또한 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 기판 이송 모듈을 포함한다. 기판 이송 모듈은 제1 이송 챔버에 결합된 로드 락 챔버, 및 내부에 배치된 제2 로봇을 갖는 제2 이송 챔버를 포함하고, 제2 이송 챔버는 로드 락 챔버에 결합된다. 장치는 또한 기판 이송 모듈에 결합된 프로세스 모듈을 포함하고, 프로세스 모듈은 프로세스 챔버를 포함하고, 프로세스 챔버는 제2 이송 챔버에 결합된다.
일 구현에서, 프로세스 챔버는 레이저 열 프로세스 챔버이다.
일 구현에서, 프로세스 챔버는 퇴적 챔버이다.
일 구현에서, 프로세스 챔버는 에칭 챔버이다.
일 구현에서, 로드 락 챔버는 프로세스 용적을 정의하는 챔버 바디, 프로세스 용적과 유체 연통하는 퍼지 가스 포트, 및 프로세스 용적과 유체 연통하는 배기 포트를 포함한다.
일 구현에서, 로드 락 챔버는 프로세스 용적 내에 배치된 페디스털을 더 포함하고, 페디스털은 내부에 형성된 복수의 냉각 유체 도관을 갖는다.
일 구현에서, 기판 처리 장치는 팩토리 인터페이스를 포함하고, 팩토리 인터페이스는 내부에 배치된 제1 로봇을 갖는 제1 이송 챔버, 및 제1 이송 챔버에 결합된 복수의 전방 개구형 통합 포드를 포함한다. 장치는 또한 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 기판 이송 모듈을 포함한다. 기판 이송 모듈은 제1 이송 챔버에 결합된 대기 로드 락 챔버(atmospheric load lock chamber), 및 내부에 배치된 제2 로봇을 갖는 제2 이송 챔버를 포함하고, 제2 이송 챔버는 로드 락 챔버에 결합된다. 장치는 또한 기판 이송 모듈에 결합된 프로세스 모듈을 포함하고, 프로세스 모듈은 레이저 열 프로세스 챔버를 포함하고, 레이저 열 프로세스 챔버는 제2 이송 챔버에 결합된다.
일 구현에서, 제2 이송 챔버는 챔버 바디, 챔버 바디 내에 배치된 배기 포트, 및 배기 포트로부터 연장되고 챔버 바디에 결합된 배기 도관을 포함한다.
일 구현에서, 산소 센서는 배기 도관과 유체 연통한다.
일 구현에서, 기판 이송 장치는 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 로드 락 챔버를 포함한다. 로드 락 챔버는 프로세스 용적을 정의하는 챔버, 프로세스 용적 내에 배치된 페디스털, 페디스털에 대향하여 챔버 바디에 결합되는 리드, 리드를 통해 배치된 퍼지 가스 포트, 및 챔버 바디 내에서 페디스털에 인접하고 퍼지 가스 포트에 대향하여 배치된 배기 포트를 포함한다. 장치는 또한 로드 락 챔버에 결합되어 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 이송 챔버를 포함한다. 이송 챔버는 이송 용적을 정의하는 챔버 바디, 이송 용적 내에 배치된 로봇, 챔버 바디 내에 배치된 복수의 퍼지 가스 포트, 및 챔버 바디 내에서 복수의 퍼지 가스 포트에 대향하여 배치된 배기 포트를 포함한다.
일 구현에서, 로드 락 챔버는 로드 락 챔버 바디 내에 형성된 제1 슬릿 밸브, 및 로드 락 챔버 바디 내에서 제1 슬릿 밸브에 대향하여 형성된 제2 슬릿 밸브 도어를 더 포함한다.
일 구현에서, 로드 락 챔버는 제1 슬릿 밸브에 인접하여 로드 락 챔버 바디에 결합된 제1 슬릿 밸브 도어, 및 제2 슬릿 밸브에 인접하여 로드 락 챔버 바디에 결합된 제2 슬릿 밸브 도어를 더 포함한다.
일 구현에서, 로드 락 챔버는 페디스털 주위에 배치된 복수의 리프트 핀을 더 포함하고, 복수의 리세스는 리프트 핀들에 인접하여 페디스털 내에 형성된다.
일 구현에서, 복수의 리프트 핀 각각은 샤프트, 샤프트에 결합되고 샤프트로부터 연장되는 제1 연장부, 샤프트에 결합되고 샤프트로부터 연장되는 제2 연장부를 포함하고, 제2 연장부는 제1 연장부에 인접하며 제1 연장부로부터 이격되어 배치된다.
일 구현에서, 제1 연장부 및 제2 연장부는 약 10 mm 내지 약 30 mm의 거리로 이격된다.
일 구현에서, 제1 연장부 및 제2 연장부 각각에 지지 볼들이 결합된다.
일 구현에서, 지지 볼들은 실리콘 질화물 재료로 제조된다.
일 구현에서, 페디스털은 내부에 형성된 복수의 유체 도관을 갖는다.
일 구현에서, 페디스털의 최상부면은 내부에 배치된 복수의 실리콘 질화물 재료의 접촉 볼을 갖는다.
일 구현에서, 이송 챔버 바디는 챔버 바디에 결합된 광학적으로 투명한 리드를 포함한다.
일 구현에서, 광학적으로 투명한 리드는 폴리카보네이트 재료로 제조된다.
일 구현에서, 복수의 퍼지 가스 포트 각각은 그로부터 연장되는 확산기를 갖는다.
일 구현에서, 이송 챔버 배기 포트는 이송 챔버 바디 내에서 로드 락 챔버가 이송 챔버에 결합되는 영역에 인접하여 배치된다.
일 구현에서, 기판 처리 장치는 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 로드 락 챔버를 포함한다. 로드 락 챔버는 프로세스 용적을 정의하는 챔버 바디, 프로세스 용적 내에 배치된 페디스털, 페디스털 내에 배치된 유체 도관, 페디스털의 둘레를 따라 형성된 복수의 리세스, 및 프로세스 용적 내에 배치된 복수의 리프트 핀을 포함하고, 각각의 리프트 핀은 복수의 리세스 중 하나의 리세스 또는 리세스들에 인접하여 배치된다. 장치는 또한 페디스털에 대향하여 챔버 바디에 결합된 리드, 리드의 중심 영역에서 리드에 결합된 확산기 평판 - 리드의 최하부면의 일부분은 확산기 평판으로부터 반경방향으로 바깥쪽으로 연장되면서 테이퍼링됨 -, 확산기 평판을 통해 배치된 퍼지 가스 포트, 및 챔버 바디 내에서 페디스털에 인접하고 퍼지 가스 포트에 대향하여 배치된 배기 포트를 포함한다.
일 구현에서, 챔버 바디는 알루미늄 빌릿으로 제조된다.
일 구현에서, 장치는 챔버 바디의 측벽 내에 배치된 제1 슬릿 밸브, 제1 슬릿 밸브에 인접하여 챔버 바디에 결합된 제1 슬릿 밸브 도어, 제1 슬릿 밸브에 대향하여 챔버 바디의 측벽 내에 배치된 제2 슬릿 밸브, 및 제2 슬릿 밸브에 인접하여 챔버 바디에 결합된 제2 슬릿 밸브 도어를 더 포함한다.
일 구현에서, 기판 이송 장치는 로드 락 챔버에 결합되어, 대략 대기압에서 실질적으로 불활성인 환경을 생성하기 위한 이송 챔버를 포함한다. 이송 챔버는 이송 용적을 정의하는 챔버 바디, 챔버 바디에 결합된 광학적으로 투명한 리드, 및 이송 용적 내에 배치된 로봇을 포함하고, 로봇의 블레이드는 석영으로 제조된다. 장치는 또한 챔버 바디 내에 배치된 복수의 퍼지 가스 포트, 퍼지 가스 포트들로부터 연장되는 복수의 확산기, 챔버 바디 내에서 복수의 퍼지 가스 포트에 대향하여 배치된 배기 포트, 배기 포트에 결합된 배기 도관, 및 배기 도관 및 배기 포트를 통해 이송 용적과 유체 연통하는 산소 센서를 포함한다.
일 구현에서, 산소 센서는 약 1 ppm 미만의 산소의 산소 농도 감도(oxygen concentration sensitivity)를 갖는다.
일 구현에서, 산소 센서는 제1 도관 및 제2 도관을 통해 배기 도관에 결합된다.
일 구현에서, 플랫폼 장치는 제1 팩토리 인터페이스, 제1 팩토리 인터페이스에 결합된 제2 팩토리 인터페이스, 및 제1 팩토리 인터페이스와 제2 팩토리 인터페이스 사이에 배치된 터널 챔버를 포함하고, 터널 챔버는 이송 챔버 및 복수의 로드 락 챔버를 포함한다. 장치는 또한 제1 팩토리 인터페이스에 결합된 제1 이송 모듈, 제1 이송 모듈에 결합된 프로세스 모듈, 제2 팩토리 인터페이스에 결합된 중심 이송 챔버, 및 중심 이송 챔버에 결합된 복수의 프로세스 챔버를 포함하고, 복수의 프로세스 챔버 중 적어도 하나는 제2 이송 모듈에 의해 중심 이송 챔버에 결합된다.
일 구현에서, 제1 및 제2 이송 모듈은 로드 락 챔버 및 이송 챔버를 포함한다.
일 구현에서, 로드 락 챔버 및 이송 챔버는 대략 대기압에서 실질적으로 불활성인 환경을 생성한다.
일 구현에서, 로드 락 챔버는 제1 팩토리 인터페이스와 이송 챔버 사이에 결합된다.
일 구현에서, 이송 챔버는 로드 락 챔버와 프로세스 모듈 사이에 결합된다.
일 구현에서, 프로세스 모듈은 레이저 열 프로세스 챔버이다.
일 구현에서, 프로세스 모듈은 램프 기반 열 프로세스 챔버이다.
일 구현에서, 복수의 프로세스 챔버 중의 제1 프로세스 챔버는 퇴적 챔버를 포함한다.
일 구현에서, 복수의 프로세스 챔버 중의 제2 프로세스 챔버는 에칭 챔버를 포함한다.
일 구현에서, 복수의 프로세스 챔버 중의 제3 프로세스 챔버는 세정 챔버를 포함한다.
일 구현에서, 플랫폼 장치는 제1 팩토리 인터페이스, 제1 팩토리 인터페이스에 결합된 이송 모듈, 이송 모듈에 결합된 프로세스 모듈, 제2 팩토리 인터페이스, 및 제2 팩토리 인터페이스에 결합된 중심 이송 챔버를 포함한다. 장치는 또한 제1 팩토리 인터페이스와 중심 이송 챔버 사이에 배치된 터널 챔버를 포함하고, 터널 챔버는 이송 챔버 및 복수의 로드 락 챔버를 포함한다. 장치는 또한 중심 이송 챔버에 결합된 복수의 프로세스 챔버를 포함한다.
일 구현에서, 이송 모듈은 로드 락 챔버 및 이송 챔버를 포함한다.
일 구현에서, 이송 모듈은 대략 대기압에서 실질적으로 불활성인 환경을 생성한다.
일 구현에서, 로드 락 챔버는 제1 팩토리 인터페이스와 이송 챔버 사이에 결합된다.
일 구현에서, 이송 챔버는 로드 락 챔버와 프로세스 모듈 사이에 결합된다.
일 구현에서, 프로세스 모듈은 레이저 열 프로세스 챔버이다.
일 구현에서, 프로세스 모듈은 램프 기반 열 프로세스 챔버이다.
일 구현에서, 복수의 프로세스 챔버 중의 제1 프로세스 챔버는 퇴적 챔버이다.
일 구현에서, 복수의 프로세스 챔버 중의 제2 프로세스 챔버는 에칭 챔버이다.
일 구현에서, 터널 챔버 이송 챔버는 복수의 터널 챔버 로드 락 챔버들 사이에 배치된다.
일 구현에서, 기판 프로세스 방법은 기판을 제1 챔버로부터 제2 챔버로 이송하는 단계, 제2 챔버의 환경을 제1 챔버의 환경으로부터 격리하는 단계, 제2 챔버의 환경으로부터 산소를 제거하는 단계, 및 제2 챔버 내에서 기판을 냉각하는 단계를 포함한다. 방법은 또한 제1 챔버와 제2 챔버 사이의 슬릿 밸브를 개방하는 단계, 제1 챔버의 환경을 비우는 단계, 및 제2 챔버의 환경의 산소 농도를 결정하기 위해 제1 챔버 배기물을 분석하는 단계를 포함한다.
일 구현에서, 기판의 이송 동안 제1 챔버의 환경은 대략 대기압으로 유지된다.
일 구현에서, 기판의 이송 동안 제2 챔버의 환경은 대략 대기압으로 유지된다.
일 구현에서, 기판의 이송 동안 제1 챔버의 환경은 진공 하에서 유지된다.
일 구현에서, 기판의 이송 동안 제2 챔버의 환경은 진공 하에서 유지된다.
일 구현에서, 제2 챔버의 환경으로부터 산소를 제거하는 단계는 제2 챔버의 환경을 불활성 가스로 퍼징하는 단계를 포함한다.
일 구현에서, 불활성 가스는 질소이다.
일 구현에서, 기판의 냉각 동안 제2 챔버의 환경은 대기압보다 높게 유지된다.
일 구현에서, 기판의 냉각 동안 제2 챔버의 환경의 압력은 대기압보다 약 1 psi 내지 약 10 psi 높게 상승한다.
일 구현에서, 기판 프로세스 방법은 기판을 이송 챔버로부터 로드 락 챔버로 이송하는 단계, 이송 챔버와 로드 락 챔버 사이의 슬릿 밸브를 폐쇄하여, 로드 락 챔버의 환경을 이송 챔버의 환경으로부터 격리하는 단계, 로드 락 챔버의 환경으로부터 산소를 제거하는 단계, 및 로드 락 챔버 내에서 기판을 냉각하는 단계를 포함한다. 방법은 또한 이송 챔버와 로드 락 챔버 사이의 슬릿 밸브를 개방하는 단계, 슬릿 밸브의 개방 동안 이송 챔버의 환경을 비우는 단계, 및 기판의 냉각 동안 로드 락 챔버의 환경의 산소 농도를 결정하기 위해 이송 챔버 배기물을 분석하는 단계를 포함한다.
일 구현에서, 기판의 이송 동안 이송 챔버의 환경은 대략 대기압으로 유지된다.
일 구현에서, 기판의 이송 동안 로드 락 챔버의 환경은 대략 대기압으로 유지된다.
일 구현에서, 기판의 이송 동안 이송 챔버의 환경은 진공 하에서 유지된다.
일 구현에서, 기판의 이송 동안 로드 락 챔버의 환경은 진공 하에서 유지된다.
일 구현에서, 로드 락 챔버의 환경으로부터 산소를 제거하는 단계는 로드 락 챔버의 환경을 불활성 가스로 퍼징하는 단계를 포함한다.
일 구현에서, 기판의 냉각 및 퍼징 동안 로드 락 챔버의 환경의 압력은 대기압보다 약 1 psi 내지 약 10 psi 높다.
일 구현에서, 기판의 냉각 동안 로드 락 챔버의 환경 내의 산소 농도는 약 1 ppm 미만이다.
일 구현에서, 기판 이송 방법은 기판을 프로세스 챔버로부터 이송 챔버로 이송하는 단계, 기판을 이송 챔버로부터 로드 락 챔버로 이송하는 단계, 및 이송 챔버와 로드 락 챔버 사이의 슬릿 밸브를 폐쇄하여 로드 락 챔버의 환경을 이송 챔버의 환경으로부터 격리하는 단계를 포함한다. 방법은 또한 로드 락 챔버의 환경으로부터 산소를 제거하는 단계, 로드 락 챔버 내에서 기판을 냉각하는 단계, 이송 챔버와 로드 락 챔버 사이의 슬릿 밸브를 개방하는 단계, 슬릿 밸브의 개방 동안 이송 챔버의 환경을 비우는 단계, 및 기판의 냉각 동안 로드 락 챔버의 환경의 산소 농도를 결정하기 위해 이송 챔버 배기물을 분석하는 단계를 포함한다.
일 구현에서, 프로세스 챔버는 레이저 열 프로세스 챔버이다.
일 구현에서, 프로세스 챔버, 이송 챔버, 및 로드 락 챔버 각각의 환경은 기판의 이송 동안 대략 대기압으로 유지된다.
상술한 것은 본 개시물의 구현들에 관한 것이지만, 본 개시물의 다른 구현들 및 추가의 구현들은 그것의 기본 범위로부터 벗어나지 않고서 만들어질 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (14)

  1. 기판 처리 장치로서,
    팩토리 인터페이스;
    기판 이송 모듈 - 상기 기판 이송 모듈은:
    상기 팩토리 인터페이스에 결합되는 로드 락 챔버 및 상기 로드 락 챔버에 직접적으로 결합되는 이송 챔버를 포함하고, 상기 로드 락 챔버는 프로세서 용적을 정의하는 로드 락 챔버 바디 및 상기 프로세스 용적과 유체 연통하는 퍼지 가스 포트를 가지고, 상기 이송 챔버는 이송 용적을 정의하는 이송 챔버 바디, 상기 이송 챔버 바디에 배치된 배기 포트, 상기 배기 포트로부터 연장되고 상기 이송 챔버 바디에 결합된 배기 도관, 및 상기 배기 도관으로부터 분기되는 제1 도관과 상기 배기 도관에 반환되는 제2 도관을 통해 상기 배기 도관과 유체 연통하는 산소 센서를 가지고, 상기 이송 챔버 및 상기 로드 락 챔버는 대기압에서 불활성인 환경을 생성하도록 구성됨 -; 및
    상기 기판 이송 모듈에 결합된 프로세스 모듈 - 상기 프로세스 모듈은 복수의 프로세스 챔버를 포함함 -
    을 포함하는 기판 처리 장치.
  2. 제1항에 있어서, 상기 팩토리 인터페이스는,
    상기 팩토리 인터페이스에 결합된 복수의 전방 개구형 통합 포드(front opening unified pods)
    를 더 포함하는, 기판 처리 장치.
  3. 제1항 또는 제2항에 있어서, 상기 기판 이송 모듈은 로드 락 챔버들의 쌍을 포함하는, 기판 처리 장치.
  4. 제1항 또는 제2항에 있어서, 상기 기판 이송 모듈은 이송 챔버들의 쌍을 포함하는, 기판 처리 장치.
  5. 제1항 또는 제2항에 있어서, 상기 로드 락 챔버는 상기 프로세스 용적과 유체 연통하는 배기 포트를 포함하는, 기판 처리 장치.
  6. 제5항에 있어서,
    상기 로드 락 챔버는,
    상기 프로세스 용적 내에 배치된 페디스털을 포함하고, 상기 페디스털은 내부에 형성된 복수의 냉각 유체 도관을 갖는, 기판 처리 장치.
  7. 제1항 또는 제2항에 있어서, 상기 로드 락 챔버는 상기 팩토리 인터페이스에 인접하여 상기 로드 락 챔버 바디 내에서 형성된 제1 슬릿 밸브, 및 상기 제1 슬릿 밸브에 인접하여 상기 로드 락 챔버 바디에 결합된 제1 슬릿 밸브 도어를 갖는, 기판 처리 장치.
  8. 제7항에 있어서, 상기 로드 락 챔버는 상기 이송 챔버에 인접하여 상기 로드 락 챔버 바디 내에서 형성된 제2 슬릿 밸브, 및 상기 제2 슬릿 밸브에 인접하여 상기 로드 락 챔버 바디에 결합되는 제2 슬릿 밸브 도어를 갖는, 기판 처리 장치.
  9. 제1항 또는 제2항에 있어서, 상기 프로세스 챔버들 각각은 급속 열 프로세스 챔버인, 기판 처리 장치.
  10. 제9항에 있어서, 상기 급속 열 프로세스 챔버들 각각은 레이저 열 프로세스 챔버인, 기판 처리 장치.
  11. 제10항에 있어서, 상기 레이저 열 프로세스 챔버들 각각은 대기압에서 작동되는, 기판 처리 장치.
  12. 기판 처리 장치로서,
    내부에 배치된 제1 로봇을 갖는 제1 이송 챔버를 포함하는 팩토리 인터페이스;
    기판 이송 모듈 - 상기 기판 이송 모듈은,
    상기 제1 이송 챔버에 결합된 로드 락 챔버, 및
    내부에 배치된 제2 로봇을 가지고, 상기 로드 락 챔버에 직접적으로 결합된 제2 이송 챔버를 포함하고, 상기 제2 이송 챔버는 이송 용적을 정의하는 챔버 바디, 상기 챔버 바디 내에 배치된 배기 포트, 상기 배기 포트로부터 연장되고 상기 챔버 바디에 결합된 배기 도관, 및 상기 배기 도관으로부터 분기되는 제1 도관 및 상기 배기 도관에 반환되는 제2 도관을 통해 상기 배기 도관과 유체 연통하는 산소 센서를 가지고, 상기 제2 이송 챔버 및 상기 로드 락 챔버는 대기압에서 불활성인 환경을 생성하도록 구성됨 -; 및
    상기 기판 이송 모듈에 결합된 프로세스 모듈 - 상기 프로세스 모듈은 프로세스 챔버를 포함하고, 상기 프로세스 챔버는 상기 제2 이송 챔버에 결합됨 -
    을 포함하는 기판 처리 장치.
  13. 제12항에 있어서, 상기 로드 락 챔버는,
    프로세스 용적을 정의하는 챔버 바디;
    상기 프로세스 용적과 유체 연통하는 퍼지 가스 포트; 및
    상기 프로세스 용적과 유체 연통하는 배기 포트
    를 포함하는, 기판 처리 장치.
  14. 제13항에 있어서, 상기 로드 락 챔버는,
    상기 프로세스 용적 내에 배치된 페디스털을 포함하고, 상기 페디스털은 내부에 형성된 복수의 냉각 유체 도관을 갖는, 기판 처리 장치.
KR1020230002090A 2017-03-03 2023-01-06 주변 제어된 이송 모듈 및 프로세스 시스템 KR102616427B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201762466527P 2017-03-03 2017-03-03
US201762466533P 2017-03-03 2017-03-03
US201762466539P 2017-03-03 2017-03-03
US201762466535P 2017-03-03 2017-03-03
US62/466,539 2017-03-03
US62/466,527 2017-03-03
US62/466,533 2017-03-03
US62/466,535 2017-03-03
KR1020170093611A KR20180101139A (ko) 2017-03-03 2017-07-24 주변 제어된 이송 모듈 및 프로세스 시스템

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170093611A Division KR20180101139A (ko) 2017-03-03 2017-07-24 주변 제어된 이송 모듈 및 프로세스 시스템

Publications (2)

Publication Number Publication Date
KR20230010791A true KR20230010791A (ko) 2023-01-19
KR102616427B1 KR102616427B1 (ko) 2023-12-27

Family

ID=62359521

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170093611A KR20180101139A (ko) 2017-03-03 2017-07-24 주변 제어된 이송 모듈 및 프로세스 시스템
KR1020230002090A KR102616427B1 (ko) 2017-03-03 2023-01-06 주변 제어된 이송 모듈 및 프로세스 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170093611A KR20180101139A (ko) 2017-03-03 2017-07-24 주변 제어된 이송 모듈 및 프로세스 시스템

Country Status (5)

Country Link
US (2) US10361104B2 (ko)
JP (1) JP7158133B2 (ko)
KR (2) KR20180101139A (ko)
CN (4) CN108538747B (ko)
TW (3) TWI801939B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US20200126826A1 (en) * 2018-10-18 2020-04-23 Applied Materials, Inc. Load lock body portions, load lock apparatus, and methods for manufacturing the same
US11581204B2 (en) * 2020-10-20 2023-02-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing system and method for manufacturing semiconductor device
KR102625678B1 (ko) * 2021-11-05 2024-01-17 프리시스 주식회사 로드락모듈 및 이를 포함하는 기판처리시스템
KR102625679B1 (ko) * 2021-11-05 2024-01-17 프리시스 주식회사 로드락모듈 및 이를 포함하는 기판처리시스템

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003100838A (ja) * 2001-09-25 2003-04-04 Sony Corp 基板処理装置および基板処理方法
JP2004018215A (ja) * 2002-06-18 2004-01-22 Tokyo Electron Ltd フラット・パネル・ディスプレイ用熱処理装置及び熱処理方法
KR200470806Y1 (ko) * 2012-08-03 2014-01-15 (주)쎄미시스코 진공 챔버와 접속하는 배기 라인에 산소 센서를 포함하는 기판 처리 장치
KR20160071342A (ko) * 2014-12-11 2016-06-21 도쿄엘렉트론가부시키가이샤 리크 판정 방법, 기판 처리 장치 및 비일시적 기억 매체
JP2017045880A (ja) * 2015-08-27 2017-03-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5303671A (en) * 1992-02-07 1994-04-19 Tokyo Electron Limited System for continuously washing and film-forming a semiconductor wafer
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US20010041121A1 (en) * 2000-03-06 2001-11-15 Grunes Howard E. Single chamber vacuum processing tool
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
US6841006B2 (en) 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US6672864B2 (en) 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030113188A1 (en) * 2001-12-17 2003-06-19 Applied Materials, Inc. Mechanism for providing a continuous supply of wafers and cassettes to semiconductor fabrication tool
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US6948619B2 (en) * 2002-07-05 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd Reticle pod and reticle with cut areas
AU2003249030A1 (en) 2002-07-15 2004-02-02 Aviza Technology, Inc. Servomotor control system and method in a semiconductor manufacturing environment
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US20050113976A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US7792350B2 (en) * 2003-11-10 2010-09-07 Brooks Automation, Inc. Wafer center finding
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7104436B2 (en) * 2005-02-01 2006-09-12 Rwl Corporation Multiple component mailbox having postal and newspaper compartments
KR100702844B1 (ko) * 2005-11-14 2007-04-03 삼성전자주식회사 로드락 챔버 및 그를 이용한 반도체 제조설비
JP2007188953A (ja) * 2006-01-11 2007-07-26 Toshiba Matsushita Display Technology Co Ltd 多結晶シリコン層の製造方法
US7845618B2 (en) * 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
WO2008005773A2 (en) * 2006-07-03 2008-01-10 Applied Materials, Inc. Cluster tool for advanced front-end processing
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
KR20100031681A (ko) * 2007-05-18 2010-03-24 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
JP4309935B2 (ja) * 2007-07-31 2009-08-05 Tdk株式会社 密閉容器の蓋開閉システム及び当該システムを用いた基板処理方法
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8254767B2 (en) * 2008-08-29 2012-08-28 Applied Materials, Inc. Method and apparatus for extended temperature pyrometry
JP5295808B2 (ja) * 2009-02-09 2013-09-18 東京エレクトロン株式会社 パーティクル付着防止方法及び被処理基板の搬送方法
JP2009152649A (ja) * 2009-04-07 2009-07-09 Hitachi Kokusai Electric Inc ウェーハの搬送方法
US20110245957A1 (en) * 2010-04-06 2011-10-06 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
JP5511536B2 (ja) * 2010-06-17 2014-06-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2014505996A (ja) * 2010-11-30 2014-03-06 アプライド マテリアルズ インコーポレイテッド Uvチャンバ内におけるウエハ処理プロファイルを調節する方法および装置
JP2012119626A (ja) * 2010-12-03 2012-06-21 Tokyo Electron Ltd ロードロック装置
US20120148760A1 (en) * 2010-12-08 2012-06-14 Glen Eric Egami Induction Heating for Substrate Processing
JP2015502654A (ja) * 2011-10-26 2015-01-22 ブルックス オートメーション インコーポレイテッド 半導体ウェハのハンドリングおよび搬送
KR102359364B1 (ko) * 2012-02-10 2022-02-07 브룩스 오토메이션 인코퍼레이티드 기판 프로세싱 장치
US9293317B2 (en) * 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
JP6059537B2 (ja) * 2013-01-09 2017-01-11 株式会社Screenホールディングス 熱処理装置
WO2014150260A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN110600399B (zh) * 2013-08-12 2023-06-27 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
KR102161685B1 (ko) * 2013-09-26 2020-10-05 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
US9441792B2 (en) * 2013-09-30 2016-09-13 Applied Materials, Inc. Transfer chamber gas purge apparatus, electronic device processing systems, and purge methods
US20150376792A1 (en) 2014-06-30 2015-12-31 Lam Research Corporation Atmospheric plasma apparatus for semiconductor processing
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
KR102413271B1 (ko) * 2015-11-02 2022-06-28 삼성전자주식회사 기판 이송 장치
KR20180075702A (ko) * 2015-11-23 2018-07-04 어플라이드 머티어리얼스, 인코포레이티드 프로세스 툴에서의 온-보드 메트롤로지(obm) 설계 및 그 영향
CN108352317A (zh) * 2016-02-05 2018-07-31 应用材料公司 具有多重类型腔室的积层蚀刻系统
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
KR102372842B1 (ko) * 2016-04-22 2022-03-08 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 오버레이 개선을 위한 방법
US10159169B2 (en) * 2016-10-27 2018-12-18 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
US20180138074A1 (en) * 2016-11-11 2018-05-17 Samsung Electronics Co., Ltd. Carrier ring and chemical vapor deposition apparatus including the same
US10704147B2 (en) * 2016-12-03 2020-07-07 Applied Materials, Inc. Process kit design for in-chamber heater and wafer rotating mechanism
JP7158133B2 (ja) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
WO2019027801A1 (en) * 2017-08-01 2019-02-07 Applied Materials, Inc. ACTIVE MONITORING SYSTEM FOR PREVENTING SUBSTRATE BREAKAGE
US10755955B2 (en) * 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003100838A (ja) * 2001-09-25 2003-04-04 Sony Corp 基板処理装置および基板処理方法
JP2004018215A (ja) * 2002-06-18 2004-01-22 Tokyo Electron Ltd フラット・パネル・ディスプレイ用熱処理装置及び熱処理方法
KR200470806Y1 (ko) * 2012-08-03 2014-01-15 (주)쎄미시스코 진공 챔버와 접속하는 배기 라인에 산소 센서를 포함하는 기판 처리 장치
KR20160071342A (ko) * 2014-12-11 2016-06-21 도쿄엘렉트론가부시키가이샤 리크 판정 방법, 기판 처리 장치 및 비일시적 기억 매체
JP2017045880A (ja) * 2015-08-27 2017-03-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体

Also Published As

Publication number Publication date
TW201834121A (zh) 2018-09-16
JP2022153414A (ja) 2022-10-12
US20190295872A1 (en) 2019-09-26
CN208923035U (zh) 2019-05-31
CN108538746A (zh) 2018-09-14
US10361104B2 (en) 2019-07-23
CN108538747B (zh) 2024-01-30
CN108538747A (zh) 2018-09-14
TWI801939B (zh) 2023-05-11
US10818525B2 (en) 2020-10-27
TWM561909U (zh) 2018-06-11
TWI736654B (zh) 2021-08-21
KR20180101139A (ko) 2018-09-12
CN207353216U (zh) 2018-05-11
JP2018148194A (ja) 2018-09-20
TW202143371A (zh) 2021-11-16
JP7158133B2 (ja) 2022-10-21
KR102616427B1 (ko) 2023-12-27
US20180254207A1 (en) 2018-09-06

Similar Documents

Publication Publication Date Title
KR102616427B1 (ko) 주변 제어된 이송 모듈 및 프로세스 시스템
KR101456894B1 (ko) 챔버로 가스를 방사상으로 전달하기 위한 장치 및 그 이용 방법들
US6841200B2 (en) Dual wafer load lock
US20160240410A1 (en) Substrate lift assemblies
US10312116B2 (en) Methods and apparatus for rapidly cooling a substrate
KR102278413B1 (ko) 로드락 장치, 냉각 플레이트 조립체, 및 전자 디바이스 프로세싱 시스템들 및 방법들
JP4916140B2 (ja) 真空処理システム
KR20010020944A (ko) 외부 스테이징 영역을 가진 로드록
US10535513B2 (en) Apparatus and methods for backside passivation
KR20180124726A (ko) 할로겐 제거 모듈 및 연관된 시스템들 및 방법들
JPH07122618A (ja) 真空処理装置
KR20230017322A (ko) 고온 및 진공 격리 프로세싱 미니 환경들
JP7492554B2 (ja) 雰囲気が制御された移送モジュール及び処理システム
JP2002093715A (ja) 半導体製造装置
JP2006093543A (ja) 熱処理装置
JPH05319513A (ja) 搬送装置
JP2005093928A (ja) 基板処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant