TWM561909U - 環境可控的移送模組和處理系統 - Google Patents

環境可控的移送模組和處理系統 Download PDF

Info

Publication number
TWM561909U
TWM561909U TW106211035U TW106211035U TWM561909U TW M561909 U TWM561909 U TW M561909U TW 106211035 U TW106211035 U TW 106211035U TW 106211035 U TW106211035 U TW 106211035U TW M561909 U TWM561909 U TW M561909U
Authority
TW
Taiwan
Prior art keywords
chamber
transfer
processing
substrate
coupled
Prior art date
Application number
TW106211035U
Other languages
English (en)
Inventor
薛伊 艾薩福
安德魯 康斯坦特
傑寇柏 纽曼
查爾斯 卡爾森
威廉泰勒 韋佛爾
史帝芬 西根森
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TWM561909U publication Critical patent/TWM561909U/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Multi-Process Working Machines And Systems (AREA)

Abstract

本文提供用於處理基板的方法和裝置。在一個實施方式中,裝置包括耦接至移送腔室的裝載閘腔室。移送腔室耦接至熱處理腔室,並且基板在裝載閘腔室、移送腔室和熱處理腔室中的各者之間移送。在其他實施方式中,揭示具有裝載閘腔室、移送腔室和熱處理腔室的處理平臺。本文也描述經由移送腔室的抽空量測裝載閘腔室中的氧濃度的方法。

Description

環境可控的移送模組和處理系統
本揭示內容的實施方式大體上係關於一種基板處理和移送裝置。更特定而言,本文描述的實施方式係關於一種環境可控的移送模組和處理系統。
可靠地生產亞半微米和更小的特徵為半導體元件的下一代大型積體電路(very large scale integration; VLSI)和超大型積體電路(ultra large scale integration; ULSI)的一個關鍵技術挑戰。然而,隨著對電路技術的限度推進,VLSI和ULSI技術的日益縮小的尺寸已經對處理能力提出了更多要求。
在先進節點元件中,金屬和阻障層材料經常用於接觸積體電路方案中。然而,在接觸積體電路方案中利用的各種材料(例如金屬和介電質)的氧化,可導致黏著問題和接觸結構材料的脫潤濕(de-wetting)。各種材料的氧化也可增大接觸電阻。因此,接觸結構的不良黏著和增大的接觸電阻可導致元件失效的不良元件效能。
因此,本領域中需要在元件製造的各階段期間用於防止元件結構的氧化的改進裝置和方法。
在一個實施方式中,基板處理裝置包括工廠介面、用於在約常壓下生成實質惰性環境的基板移送模組。基板移送模組包括裝載閘腔室和移送腔室,裝載閘腔室耦接至工廠介面,裝載閘腔室具有界定處理空間的腔室主體和與處理空間流體連通的淨化氣體埠,移送腔室耦接至裝載閘腔室。處理模組也耦接至基板移送模組,其中處理模組包括複數個處理腔室。
在另一實施方式中,基板處理裝置包括工廠介面,並且工廠介面包括具有第一機器人的第一移送腔室,第一機器人設置在第一移送腔室中。裝置也包括用於在約常壓下生成實質惰性環境的基板移送模組。基板移送模組包括裝載閘腔室和第二移送腔室,裝載閘腔室耦接至第一移送腔室,第二移送腔室具有設置在第二移送腔室中的第二機器人,其中第二移送腔室耦接至裝載閘腔室。裝置也包括耦接至基板移送模組的處理模組,其中處理模組包括處理腔室,以及處理腔室耦接至第二移送腔室。
在另一實施方式中,基板處理裝置包括工廠介面,並且工廠介面包括第一移送腔室和複數個前開式晶圓移送盒,第一移送腔室具有設置在第一移送腔室中的第一機器人,複數個前開式晶圓移送盒耦接至第一移送腔室。裝置也包括用於在約常壓下生成實質惰性環境的基板移送模組。基板移送模組包括常壓裝載閘腔室和第二移送腔室,常壓裝載閘腔室耦接至第一移送腔室,第二移送腔室具有設置在第二移送腔室中的第二機器人,其中第二移送腔室耦接至裝載閘腔室。裝置也包括耦接至基板移送模組的處理模組,其中處理模組包括雷射熱處理腔室,並且雷射熱處理腔室耦接至第二移送腔室。
在另一實施方式中,基板移送裝置包括用於在約常壓下生成實質惰性環境的裝載閘腔室。裝載閘腔室包括界定處理空間的腔室主體、設置在處理空間中的基座、與基座相對耦接至腔室主體的蓋、穿過蓋設置的淨化氣體埠和鄰近於基座且與淨化氣體埠相對設置在腔室主體中的排氣口。裝置也包括用於在約常壓下生成實質惰性環境的移送腔室,移送腔室耦接至裝載閘腔室。移送腔室包括界定移送空間的腔室主體、設置在移送空間中的機器人、設置在腔室主體中的複數個淨化氣體埠和與複數個淨化氣體埠相對設置在腔室主體中的排氣口。
在另一實施方式中,基板處理裝置包括用於在約常壓下生成實質惰性環境的裝載閘腔室。裝載閘腔室包括界定處理空間的腔室主體、設置在處理空間中的基座、設置在基座中的流體導管、沿基座周邊形成的複數個凹槽和設置在處理空間中的複數個升舉銷,每個升舉銷鄰近於多個凹槽中的一者。裝置也包括蓋、擴散板、淨化氣體埠和排氣口,蓋與基座相對耦接至腔室主體,擴散板在蓋的中心區域處耦接至蓋,其中蓋的底表面的部分自擴散板徑向向外逐漸變小地延伸,淨化氣體埠穿過擴散板而設置,排氣口鄰近於基座且與淨化氣體埠相對設置在腔室主體中。
在另一實施方式中,基板移送裝置包括用於在約常壓下生成實質惰性環境的移送腔室,移送腔室耦接至裝載閘腔室。移送腔室包括界定移送空間的腔室主體、耦接至腔室主體的光學透明蓋和設置在移送空間中的機器人,其中機器人的葉片由石英製造。裝置也包括,設置在腔室主體中的複數個淨化氣體埠、自淨化氣體埠延伸的複數個擴散器、與複數個淨化氣體埠相對設置在腔室主體中的排氣口、耦接至排氣口的排氣導管和藉由排氣導管和排氣口與移送空間流體連通的氧感測器。
在另一實施方式中,平臺裝置包括第一工廠介面、耦接至第一工廠介面的第二工廠介面和設置在第一工廠介面與第二工廠介面之間的隧道腔室,其中隧道腔室包括移送腔室和複數個裝載閘腔室。裝置也包括耦接至第一工廠介面的第一移送模組、耦接至第一移送模組的處理模組、耦接至第二工廠介面的中央移送腔室和耦接至中央移送腔室的複數個處理腔室,其中複數個處理腔室中的至少一者藉由第二移送模組而耦接至中央移送腔室。
在一個實施方式中,平臺裝置包括第一工廠介面、耦接至第一工廠介面的移送模組、耦接至移送模組的處理模組、第二工廠介面和耦接至第二工廠介面的中央移送腔室。裝置也包括設置在第一工廠介面與中央移送腔室之間的隧道腔室,其中隧道腔室包括移送腔室和複數個裝載閘腔室。裝置也包括耦接至中央移送腔室的複數個處理腔室。
在一個實施方式中,基板處理方法包括:將基板自第一腔室移送至第二腔室,將第二腔室的環境與第一腔室的環境隔離,自第二腔室的環境移除氧化劑,以及冷卻在第二腔室中的基板。方法也包括:打開在第一腔室與第二腔室之間的狹縫閥,將第一腔室的環境排空,以及分析第一腔室排出物以確定第二腔室的環境的氧濃度。
在一個實施方式中,基板移送方法包括,將基板自移送腔室移送至裝載閘腔室,關閉在移送腔室與裝載閘腔室之間的狹縫閥以將裝載閘腔室的環境與移送腔室的環境隔離,自裝載閘腔室的環境移除氧化劑,以及冷卻在裝載閘腔室中的基板。方法也包括:打開在移送腔室與裝載閘腔室之間的狹縫閥,在打開狹縫閥期間將移送腔室的環境排空,以及分析移送腔室排出物以確定在冷卻基板期間裝載閘腔室的環境的氧濃度。
在一個實施方式中,基板移送方法包括:將基板自處理腔室移送至移送腔室,將基板自移送腔室移送至裝載閘腔室,以及關閉在移送腔室與裝載閘腔室之間的狹縫閥以將裝載閘腔室的環境與移送腔室的環境隔離。方法也包括:自裝載閘腔室的環境移除氧化劑,冷卻在裝載閘腔室中的基板,打開在移送腔室與裝載閘腔室之間的狹縫閥,在打開狹縫閥期間將移送腔室的環境排空,以及分析移送腔室排出物以確定在冷卻基板期間的裝載閘腔室的環境的氧濃度。
本文提供用於處理基板的方法和裝置。在一個實施方式中,裝置包括耦接至移送腔室的環境可控的裝載閘腔室。移送腔室可耦接至熱處理腔室,並且在此情況下基板在裝載閘腔室、移送腔室和熱處理腔室中的各個腔室之間移送。在其他實施方式中,揭示具有環境可控的裝載閘腔室、移送腔室和熱處理腔室的處理平臺。本文也描述藉由分析來自移送腔室的排出物來量測裝載閘腔室中的氧濃度的方法。
圖1圖示根據本文描述的實施方式的處理系統100的示意平面圖。處理系統100包括工廠介面102、基板移送模組104和處理模組106。工廠介面102包括工廠介面(FI)移送腔室110,並且FI移送腔室被配置成與一或多個前開式晶圓移送盒(front opening unified pods; FOUPs)108連接。在一個實施方式中,FI移送腔室110具有設置在FI移送腔室中的單個機器人,機器人在FOUPs 108與基板移送模組104之間移送基板。在另一實施方式中,FI移送腔室110具有設置在FI移送腔室110中的複數個機器人(例如,兩個機器人)。在此實施方式中,各個機器人將基板自FOUPs 108中的一者移送至基板移送模組104的專用部分。
基板移送模組104包括一或多個裝載閘腔室112和一或多個移送腔室114。在所圖示的實施方式中,基板移送模組104包括兩個裝載閘腔室112和兩個移送腔室114,然而,可以設想在處理系統100中利用更大量或更小量的裝載閘腔室112和移送腔室114對。
在裝載閘腔室112的第一側上將每個裝載閘腔室112耦接至FI移送腔室110,以及在裝載閘腔室112的第二側上將每個移送腔室114耦接至相應的裝載閘腔室112,裝載閘腔室112的第二側與裝載閘腔室112的第一側相對。每個移送腔室114的第一側耦接至相應的裝載閘腔室112。移送腔室114具有設置在移送腔室114中的機器人,並且移送腔室114與裝載閘腔室112可操作的連通。例如,基板可在移送腔室114與裝載閘腔室112之間移送。
處理模組106包括一或多個處理腔室116,每個處理腔室116在移送腔室114的第二側處耦接至相應的移送腔室114,移送腔室114的第二側與移送腔室114的第一側相對。在所圖示的實施方式中,處理模組106包括兩個處理腔室116,然而,可以設想在處理模組106中利用更大量的處理腔室。應注意,各腔室可在非直線對齊的配置中耦接,如在圖1中所示。因此,可在不一定相對的腔室的位置和側面處形成各連接。
在一個實施方式中,處理腔室116為熱處理腔室,例如,快速熱處理腔室。在某些實施方式中,處理腔室116為雷射熱處理腔室。雷射熱處理腔室的一個實例為從加利福尼亞州聖克拉拉市的應用材料公司(Applied Materials, Inc., Santa Clara, CA.)獲得的ASTRA□熱處理工具。在替代性實施方式中,處理腔室116為基於燈的熱處理腔室。基於燈的熱處理腔室的實例包括RADIANCE 、RADIANCE PLUS和VULCAN□快速熱處理工具,皆可從加利福尼亞州聖克拉拉市的應用材料公司(Applied Materials, Inc., Santa Clara, CA.)獲得。也可以設想,根據本文描述的實施方式,有利地實施來自其他廠家的其他工具。除上文所述的實施方式以外,亦可以設想,依據所期望的實施方式處理腔室116為蝕刻腔室、沉積腔室或清潔腔室。
在操作中,將待處理的基板藉由FOUPs 108移送至工廠介面102。在FI移送腔室110中的機器人自FOUP 108移除基板並將基板移送至裝載閘腔室112。設置在移送腔室114中的機器人取到來自裝載閘腔室112的基板並將基板移送至處理基板的處理腔室116。在處理之後,在移送腔室114中的機器人取到來自處理腔室116的基板並將基板移送至裝載閘腔室112。在FI移送腔室110中的機器人隨後取到來自裝載閘腔室112的基板並將基板返回至FOUP 108。
在處理腔室116為熱處理腔室的實施方式中,可將基板在裝載閘腔室112中冷卻之後移送至工廠介面102。各腔室藉由使用腔室之間的密封門(未圖示)在適當的時間彼此隔離,此等腔室在合適的時間被打開以用於基板在腔室之間的通過。
圖2圖示根據本文描述的實施方式的基板移送模組104的示意透視圖。所圖示的基板移送模組104包括耦接至第一移送腔室204的第一裝載閘腔室202和耦接至第二移送腔室208的第二裝載閘腔室206。第一裝載閘腔室202和第一移送腔室204界定基板移送模組104中的一者,以及第二裝載閘腔室206和第二移送腔室208界定基板移送模組104中的另一者。因此,所圖示的實施方式圖示一對基板移送模組104。第一和第二裝載閘腔室202、206和第一和第二移送腔室204、208分別類似於關於圖1描述的裝載閘腔室112和移送腔室114。
裝載閘腔室112包括界定處理空間(未圖示)的腔室主體210,處理空間經改變大小以在處理空間中容納基板。可以改變腔室主體210的大小以容納具有不同直徑的基板,除其他尺寸以外,例如200mm直徑、300mm直徑或450mm直徑。腔室主體210由金屬材料形成,例如鋁、不銹鋼和上述組合。在一個實施方式中,腔室主體210由鋁坯料製成。
在主體210中形成的第一狹縫閥212經改變大小以容納基板穿過第一狹縫閥212通過。第一狹縫閥212被配置成與工廠介面102(圖1)配接,以及第一狹縫閥門214耦接至主體210而與第一狹縫閥212鄰近。在操作中,第一狹縫閥門214打開和關閉第一狹縫閥212以藉由設置在工廠介面102中的機器人能夠進入至裝載閘腔室112。裝載閘腔室112也包括第二狹縫閥(未圖示),第二狹縫閥與第一狹縫閥212相對設置在腔室主體210中。第二狹縫閥門216耦接至主體210而與第二狹縫閥鄰近以打開和關閉第二狹縫閥。鄰近於移送腔室114設置第二狹縫閥(關於圖5更詳細地描述)。淨化氣體導管218也耦接至腔室主體210並與處理空間流體連通。
在圖2的實施方式中直接耦接至裝載閘腔室112的移送腔室114,包括腔室主體220、設置在腔室主體220內的機器人224和蓋222。腔室主體220由金屬材料形成,例如鋁、不銹鋼和上述組合。在某些實施方式中,類似於裝載閘腔室112,腔室主體220由鋁坯料製造。機器人224被配置成移送基板,例如在裝載閘腔室112與處理腔室116之間的所圖示的基板230。因此,機器人所能及的範圍(reach)足以延伸進裝載閘腔室112和處理腔室116中以定位和取到基板,以用於移送操作。
蓋222可拆卸地耦接至腔室主體220的頂部。在一個實施方式中,蓋222由光學透明或主要光學透明材料形成以能夠觀看移送腔室114內部。在一個實施方式中,蓋222由例如聚碳酸酯或類似物的聚合材料形成。儘管未圖示,複數個通孔在移送腔室114的主體220中形成以使得基板230能穿過主體通過。複數個局部定心(local center finding; LCF)感測器226、LCF感測器228也耦接至腔室主體220。LCF感測器與通孔耦接用以觀察基板傳遞進入和離開移送腔室114。
第一LCF感測器226耦接至腔室主體220而與裝載閘腔室112鄰近並被配置成當基板230自裝載閘腔室112移送至移送腔室114時偵測基板230的位置。第二LCF感測器228與第一LCF感測器226相對耦接至腔室主體220而與處理腔室116鄰近。類似於第一LCF感測器226,第二LCF感測器被配置成當基板230自移送腔室114移送至處理腔室116時偵測基板230的位置。LCF感測器226、LCF感測器228中的各個LCF感測器也分別在自移送腔室114移送至裝載閘腔室112期間和自處理腔室116至移送腔室114期間偵測基板的位置。
圖3圖示根據本文描述的實施方式的移送腔室114的示意透視圖。腔室主體220包括支撐LCF感測器226、LCF感測器228和蓋222的頂表面302。蓋222具有附接至蓋222的複數個把手304以使得蓋222能夠自腔室主體220的高效拆卸。藉由利用蓋222的光學透明材料,應設想在腔室主體220中形成的其他觀察孔是不必要的,從而簡化腔室主體製造製程。此外,若需要進行維護,則可輕易地拆卸可藉由螺紋緊固件或類似物耦接至腔室主體220的蓋222,從而減少停機時間並提高工作效率。可藉由適宜的緊固和密封系統將蓋222耦接至腔室主體220。在一個實施方式中,可藉由複數個螺釘316將蓋222固定至腔室主體220,可旋開蓋222以拆卸蓋222。可將密封構件(未圖示)設置在蓋222與腔室主體220之間以當移送腔室114在工作壓力下時提供真空密封。
處理腔室埠配接器306在腔室主體220的表面上耦接至腔室主體220,腔室主體220耦接至處理腔室116(未圖示)。在一個實施方式中,埠配接器306藉由例如螺栓或類似的複數個緊固件耦接至腔室主體220。裝配板308耦接至埠配接器306以使得移送腔室114能夠牢固耦接至處理腔室116。若需要使用具有不同類型的處理腔室的基板移送模組104,則裝配板308可拆卸地耦接至埠配接器306並可用不同配接板替換。
狹縫閥310為形成於並延伸穿過腔室主體220、埠配接器306和裝配板308中的各者的開口。類似於本文描述的其他狹縫閥,狹縫閥310經改變大小以容納基板230的通過。根據所期望的實施方式,狹縫閥310藉由狹縫閥門312打開和關閉,狹縫閥門312耦接至腔室主體220或者埠配接器306。
圖4圖示根據本文描述的實施方式的圖3的移送腔室114的示意局部透視圖。蓋222被拆卸並部分被切除以更明顯地圖示移送腔室114的內部多個態樣。腔室主體220包括第一基底板402和第二基底板420。在一個實施方式中,第一基底板402為腔室主體220的部分。在替代的實施方式中,第一基底板402為可拆卸地耦接至腔室主體220的插入件。第二基底板420也耦接至腔室主體220。在一個實施方式中,第二基底板420由與第一基底板402和腔室主體220相同的材料形成。第二基底板420也可為緊固至腔室主體220的插入件。第二基底板420為環形形狀,其中機器人224延伸穿過開口。致動器(未圖示)可為轉動致動器或z-θ致動器,位於腔室主體220外面的致動器穿過在第二基底板420中的開口耦接至機器人224。第一基底板402也為環形形狀並包圍第二基底板420。
排氣口404鄰近於第二狹縫閥門216在基底板402中形成。排氣口404可相對於第二狹縫閥門216居中(亦即,經定位使得排氣口404的中心位於狹縫閥門216平分線上,並垂直於狹縫閥門216)或可偏離第二狹縫閥門216的中心。排氣口404延伸穿過基底板並在腔室主體220內的移送腔室114的空間與排氣裝置(未圖示)之間提供流體連通。
複數個淨化氣體埠406、淨化氣體埠408鄰近於狹縫閥門312在第一基底板402中形成。第一淨化氣體埠406設置在第一基底板402中,第一基底板402在第一方向上與排氣口404的方位角成約90°與約180°之間。第二淨化氣體埠408(用虛線圖示)設置在第一基底板402中,第一基底板402在與第一方向相對的第二方向上與排氣口404的方位角成約90°與約180°之間。更通常,淨化氣體埠406、淨化氣體埠408與排氣口相對設置成穿過第一基底板402。第一淨化氣體埠406和第二淨化氣體埠408與排氣口404的方位位移在相反方向中可為相同的,或者可為不同的。
可在排氣口404對面設置可選的排氣口414在第一基底板402中。若期望將更大容積的流體流自移送腔室114排出,則可利用可選的排氣口414。在替代實施方式中,可在可選的排氣口414的位置處設置排氣口404在第一基底板402中,以及可以將淨化氣體埠406、淨化氣體埠408設置成類似於所圖示的取向,鄰近於第二狹縫閥門216而不是鄰近於狹縫閥門312。換句話說,移送腔室114可具有一個或兩個排氣口404(和414),排氣口可位於狹縫閥門216或狹縫閥門312或兩者附近,以及淨化氣體埠406和淨化氣體埠408可位於狹縫閥門216或狹縫閥門312附近。另外,應注意,移送腔室114可具有淨化氣體埠406的一個、兩個、三個或四個,可位於狹縫閥門216或狹縫閥門312或兩者附近。
複數個擴散器410、擴散器412分別耦接至第一淨化氣體埠406和第二淨化氣體埠408中的第一基底板402。擴散器410、擴散器412在第一基底板402以上的平面上自淨化氣體埠406、淨化氣體埠408延伸。淨化氣體埠406、淨化氣體埠408中的各個埠流體耦接至淨化氣源(未圖示),以及淨化氣體埠406、淨化氣體埠408使得淨化氣體能夠引進移送腔室114中。淨化氣體的適宜的實例包括惰性氣體,諸如氮氣、氦氣和氬氣或類似物。
在操作中,可自常壓至處於約2托與約5托之間的低壓對移送腔室114抽氣。隨後,可將淨化氣體引入至移送腔室114。淨化氣體穿過淨化氣體埠406、淨化氣體埠408輸送,其中淨化氣體由擴散器410、擴散器412在整個移送腔室114中偏轉。淨化氣體可穿過淨化氣體埠406、淨化氣體埠408以在約10標準公升/分鐘(standard liters per minute; slm)與約200slm之間,例如在約50slm與約90slm之間的速率輸送。應設想,當根據本文描述的實施方式淨化時,移送腔室114內的環境可達到小於約百萬分之(parts per million; ppm)0.1的氧濃度。
一般而言,移送腔室114可維持在約常壓下。在一個實施方式中,可利用淨化氣體以自移送腔室114移除非惰性的或不需要的氣體。在另一實施方式中,可利用淨化氣體以使移送腔室114增壓至略微大於常壓。在此實施方式中,可將移送腔室114內的環境維持在處於約1磅/英寸(pound per square inch; psi)與約10psi之間,例如大於常壓約5psi的壓力下。藉由將移送腔室環境維持在略微正壓力下,據信,確保腔室中的任何氣漏流向腔室外部,從而阻止環境氣體侵入腔室內,可以實現移送腔室114內惰性和清潔環境的維持。以此種方式,可獲得實質惰性的環境。在一個實施方式中,實質惰性的環境為實質無氧化劑,例如氧氣、空氣、CO 2、水蒸汽或類似物。
機器人224圍繞中心軸旋轉並具有使得基板在X和Y方向中移送的臂416。臂416耦接至葉片418,葉片418在移送期間支撐基板230。可由諸如石英之類在的材料製造葉片418。藉由利用石英,葉片能夠支撐在處理腔室116中處理之後的基板230,此時基板230可具有大於約500℃的溫度。在一個實施方式中,耦接至葉片418的臂416的部分可由合金材料(諸如Nitronic 60)製造,以最小化由於熱膨脹的葉片418上的應力。
除以上所述的實施方式之外,可主動冷卻移送腔室114,以促進在處理腔室116中處理之後的基板230的冷卻。在此實施方式中,流體可流過形成於主體220中的通道或藉由經由淨化氣體埠406、淨化氣體埠408將冷卻的淨化氣體引入移送腔室114中而流動。據信,藉由冷卻移送腔室114,基板230在裝載閘腔室112後處理中冷卻的時間可減少。
圖5圖示根據本文描述的實施方式的裝載閘腔室112的示意橫截面圖。裝載閘腔室112包括界定內部空間502的腔室主體210。基板支撐件508設置在內部空間502內且複數個流體導管510設置在基板支撐件508內。流體導管510可在螺旋形路徑、環繞路徑、蛇行路徑或其他迂曲路徑中橫穿基座。流體導管510設置在基板支撐件508的基板支撐表面509附近以提供流體導管510鄰近基板而與基板支撐表面509接觸。此種鄰近提升在基板與穿過流體導管510的流體循環之間的熱傳送效率。在一個實施方式中,每個流體導管510的外徑與基板支撐表面之間的距離為約0.1mm至約5mm,例如約1mm。
流體導管510也流動地耦接至冷卻流體源512。適宜的冷卻流體包括水、水基乙二醇混合物、全氟聚醚(例如,Galden□流體)、油基傳熱流體、液態金屬(例如鎵或鎵合金)或其他類似流體。在一個實施方式中,基板支撐件508可維持在約10℃與約30℃之間,例如在基板230冷卻期間的約20℃的溫度下。視情況,若需要加熱基板230,也可在內部空間502中設置加熱器516。加熱器516可為陶瓷加熱器或類似物,或者可為設置在基板支撐件508內或以其他方式熱接觸(例如,鄰近於)基板支撐件508的電阻式加熱器。在圖5的實施方式中,圖示可選的加熱器516鄰近於凹槽511中的基板支撐件508,凹槽511圍繞基板支撐件508。凹槽511通向內部空間502使得基板支撐件508具有基座形狀。或者,基板支撐件508可為接觸裝載閘112的側壁513的板材,以及可由基板支撐件508將可選的加熱器516和凹槽511與內部空間502分離。
蓋506耦接至腔室主體210而與基板支撐件508相對。可由與選擇用於腔室主體210的材料類似或相同的金屬材料製造蓋506。擴散板504可耦接至並設置在蓋506的中央區域。擴散板504與內部空間502和淨化氣體導管218流體連通。淨化氣體導管218耦接至淨化氣源514,以及淨化氣體導管延伸穿過在內部空間502附近的擴散板504。
蓋506的底表面534自腔室主體210徑向向內地延伸至擴散板504。蓋506的底表面534的部分536自擴散板504徑向向外逐漸變小地延伸。據信,蓋底表面534的形狀,與穿過蓋506和擴散板504輸送淨化氣體相結合,提供淨化氣體在整個內部空間502中的改善分佈。
在操作中,對於大部分基板冷卻操作,將裝載閘腔室112維持在實質常壓下。然而,將內部空間502中的環境的組成控制為實質惰性的環境。為確保實質惰性的環境(亦即,環境實質不含氧化劑或還原劑,例如,小於1ppm的O 2濃度),將裝載閘腔室112自約常壓排空至在約2托與約5托之間的低壓。隨後,使用例如氮氣、氦氣或氬氣或類似的惰性氣體淨化內部空間502。在一個實施方式中,在淨化內部空間502期間淨化氣體的流率在約2slm與約100slm之間,例如,約50slm。由於淨化,壓力被提升至約常壓或略微大於常壓,例如在大於常壓約1psi與約10psi之間,例如,約5psi。
第二狹縫閥518與第一狹縫閥212相對設置在腔室主體210中。沿同一平面設置第一狹縫閥212和第二狹縫閥518。第二狹縫閥518鄰近於移送腔室114設置並藉由第二狹縫閥門216打開和關閉。如前所述,移送腔室114的排氣口404設置在第二狹縫閥門518附近。
在裝載閘腔室112中基板移送和基板冷卻期間,通常希望將內部空間502維持在實質無氧的環境中。當打開第二狹縫閥門216時,可藉由分析自排氣口404獲得的移送腔室114的排出物,來偵測裝載閘內部空間502的環境。排氣口404鄰近於第二狹縫閥門216允許當打開第二狹縫閥門216時來自裝載閘腔室112的氣體穿過第二狹縫閥518流進排氣口404中。
移送腔室114包括耦接至排氣口404並與排氣口404流體連通的排氣導管520。排氣導管520與排氣出口532流體連通。泵530耦接至在排氣出口532與排氣口404之間的排氣導管520,止回閥528設置在泵530與排氣口404之間的排氣導管520中。在移送腔室114的泵送期間,氣體流過排氣口至排氣出口532。
氧感測器522經由第一導管524和第二導管526與排氣導管520流體連通。氧感測器522具有設置在氧感測器522中的泵,以及可經由第一導管524牽引來自排氣導管520的廢氣用於取樣。或者,氧感測器522可依賴跨止回閥528的壓差(differential pressure)以將氣體流過氧感測器522,因此減弱在氧感測器522中對單獨泵的需要。第一導管524耦接至在排氣口404與止回閥528之間的排氣導管520。第二導管526在止回閥528與泵530之間自氧感測器522延伸至排氣導管520。
在操作中,泵530自移送腔室環境汲取氣體至排氣出口532,當打開第二狹縫閥518時,其中也含有來自裝載閘腔室環境的流體。在移送腔室114的排空期間,氧感測器522汲取排出流體的樣本容積、分析排出物中的氧和將排出物返回至排氣導管520。可根據本文描述的實施方式實施氧感測器的適宜實例包括可從Exton PA的Neutronics公司獲得的3100型O 2分析器。也可以設想,根據本文描述的實施方式利用來自其他廠家的能夠偵測小於約1ppm的氧濃度的其他O 2分析器。
圖11圖示了根據本文描述的實施方式的用於移送基板和量測在裝載閘腔室的氧濃度的方法1100的操作。在操作1110處,將基板230自處理腔室116移送至移送腔室114。在操作1120處,將基板230移送至裝載閘腔室112。
在操作1130處,藉由關閉第二狹縫閥518將裝載閘腔室環境與移送腔室環境隔離。藉由在操作1140處淨化裝載閘環境而自裝載閘腔室112移除氧。在操作1150處,在缺氧、氧化劑不足和/或實質惰性的環境中冷卻基板230。據信,藉由使基板能夠在實質惰性的和無氧環境中移送和冷卻基板,可改進後續接觸結構形成製程。
在操作1160處,打開在裝載閘腔室112與移送腔室114之間的第二狹縫閥518。在操作1170處,在打開第二狹縫閥518期間將移送腔室環境排空。在操作1180處,分析移送腔室排出物以確定在基板冷卻期間的裝載閘腔室環境的氧濃度。也可以設想,利用氧感測器分析移送腔室114的內部空間502的氧濃度。
因此,確定基板230是否在實質惰性的環境中冷卻是可能的。若氧感測器522確定氧濃度太大,例如大於約1ppm,可生成資料以指示基板230曾暴露於氧,在此時,處理系統100的操作員可確定要實施什麼補救措施(若有的話)。
圖6A圖示根據本文描述的實施方式的圖5的裝載閘腔室的示意部分透視圖,出於清楚將蓋506移除。腔室主體210包括至少部分地圍繞基板支撐件508的側壁628。在一個實施方式中,側壁628可由用於形成腔室主體210的相同材料製造。在另一實施方式中,側壁628可為可與腔室主體210分開的處理套組。用以製造側壁628的適宜材料的實例包括鋁、不銹鋼和上述組合,或在處理套組的情況中的各種陶瓷材料。
排氣口626在側壁628中形成,以及排氣口626與內部空間502流體連通。排氣口626也與泵或排氣裝置(未圖示)流體連通。在操作中,裝載閘腔室112可經由排氣口626抽氣至約2托與約5托之間的壓力以移除可能存在於內部空間502中的全部或實質全部氧氣。將例如氮氣或類似的淨化氣體輸送至內部空間502,並且壓力上升至約常壓或略大於常壓。在一個實施方式中,淨化氣體將內部空間502內的壓力提升至在大於常壓約1psi與約10psi之間。據信,利用輕微地正壓力減少或減弱在基板冷卻期間氧氣進入裝載閘腔室112的概率。
裝載閘腔室112也包括複數個升舉銷602。將複數個升舉銷602圍繞基板支撐件508的周邊間隔開。在所圖示的實施方式中,圖示三個升舉銷602,然而,也可設想利用更大量的升舉銷。基板支撐件508具有形成在基板支撐件508中的凹槽604,凹槽經改變大小以容納升舉銷602,升舉銷602朝向基板支撐件508的中心橫向地延伸。因此凹槽604自基板支撐件的邊緣向基板支撐件中心徑向地延伸。類似於升舉銷602,將凹槽604圍繞基板支撐件508的周邊間隔開。在一個實施方式中,凹槽604和升舉銷602設置在圍繞基板支撐件508的周邊的對應位置處。在另一實施方式中,凹槽604具有半圓形形狀,然而可以預想利用其他形狀以容納升舉銷602。
在一個實施方式中,升舉銷602和凹槽604鄰近於腔室主體210設置在側面上,側面垂直於具有狹縫閥門214、狹縫閥門216的側面,狹縫閥門214、狹縫閥門216耦接至側面。在一個實施方式中,升舉銷602中的一者設置在其他兩個升舉銷602對面。相對於基板支撐件508的升舉銷602的位置和基板移送路徑使得基板230藉由升舉銷602和基板支撐件508支撐而不改變基板230的移送路徑。
圖6B圖示根據本文描述的實施方式的圖6A的裝載閘腔室112的一個升舉銷602的示意橫截面圖。每個升舉銷602包括軸606、耦接至軸606並自軸606延伸的第一延伸部分608和耦接至軸606並自軸606延伸的第二延伸部分610。第一延伸部分608和第二延伸部分610中的至少一者在軸606的第一端與軸606的第二端之間的位置處附接至軸606。第一延伸部分608可附接至軸606的第一端與第二端之間,而第二延伸部分610附接在軸606的第二端。
第一延伸部分608和第二延伸部分610中的各者自軸606橫向地延伸,例如與軸606成90°角度。延伸部分608、延伸部分610中的各者可以與軸606成90°角度延伸,或成類似能夠支撐基板的另一角度延伸。例如,第二延伸部分610可與軸606形成90°角度,而第一延伸部分608遠離軸606和第二延伸部分610橫向地延伸。另外,圖示第一延伸部分608和第二延伸部分610中的各者為遠離軸606直線延伸的直構件,但延伸部分608、延伸部分610的一或多者可為非直線的。例如,第一延伸部分608和第二延伸部分610中的一或多者可為傾斜構件,傾斜構件具有與軸606成角度的第一部分和與第一部分成角度的第二部分。
軸606自基板支撐件508的邊緣徑向向外的設置,以及第一延伸部分608和第二延伸部分610自軸606徑向向內地延伸。在一個實施方式中,第一延伸部分608和第二延伸部分610自基板支撐件508外邊緣徑向向內地延伸。
第一延伸部分608和第二延伸部分610以距離620相間隔,此適於容納在第一延伸部分上的基板230的定位和支撐。在一個實施方式中,距離620在約10毫米(mm)與約30mm之間,例如約20mm。第一延伸部分608包括頂表面612,頂表面612具有設置在其中的第一支撐球616。類似於第一延伸部分608,第二延伸部分610具有頂表面614,頂表面614具有設置在頂表面614中的第二支撐球618。第一支撐球616和第二支撐球618分別耦接至頂表面612、頂表面614,並在頂表面612、頂表面614上面延伸。當基板230由升舉銷602支撐時,基板230接觸支撐球616、支撐球618並擱置在支撐球616、支撐球618上。用於製造支撐球616、支撐球618的適宜材料的實例包括各種陶瓷材料,諸如氮化矽或碳化矽。儘管本文描述的支撐球616、支撐球618為球形,但應預想,支撐球616、支撐球618可為橢圓形墊、圓角矩形、自頂表面612、頂表面614的凸出物,或類似者。
回頭參看圖6A,基板支撐件508也包括設置在基板支撐件508的頂表面中的複數個接觸球622。將接觸球622相間隔以使得基板230在裝載閘腔室112中的冷卻製程期間被均勻支撐。類似於支撐球616、支撐球618,接觸球622由諸如氮化矽或碳化矽之類的一或多種陶瓷材料製造。
在操作中,將升舉銷602提升至裝載/卸載位置,此處第一延伸部分608設置在基板支撐件508的頂表面之上。當自工廠介面102移送基板時,尚未處理的基板置於第二延伸部分610上。處理腔室116處理過的基板藉由移送腔室114的機器人224置於第一延伸部分608上。將升舉銷602自裝載/卸載位置縮回至處理位置,此處第一延伸部分608設置在凹槽604中,以及基板由接觸球622支撐以冷卻處理過的基板。
在處理基板充分地冷卻之後,在將基板放置在升舉銷602期間,升舉銷602自處理位置延伸至裝載/卸載位置。來自工廠介面102的機器人取到第一延伸部分608支撐的經處理的基板。第二延伸部分610支撐的未處理基板由機器人224取到並穿過移送腔室114移送至處理腔室116。因此,裝載閘腔室112提供在沒有或實質沒有氧的環境中移送和冷卻基板的提升的效率。
圖6C圖示根據本文描述的實施方式的圖6A的基板支撐件508和接觸球622的示意橫截面圖。例如藉由壓配或類似方式耦接至基座的接觸球622在基板支撐件508的頂表面之上延伸距離624。在一個實施方式中,距離624在約0.01英寸與約0.03英寸之間。應設想,可改變距離624以影響基板230的冷卻速率。例如,更小距離624可提供基板230的更快冷卻速率,而更大距離624可提供更慢的冷卻速率。
圖7圖示根據本文描述的實施方式的處理系統700的示意平面圖。處理系統700包括工廠介面702、基板移送模組704和處理模組706。工廠介面702包括複數個FOUPs 708和FI移送腔室710。基板移送模組704包括複數個裝載閘腔室712和移送腔室714。裝載閘腔室712耦接至FI移送腔室710,以及移送腔室714耦接至裝載閘腔室712。在一個實施方式中,移送腔室714為具有複數個(諸如2個)移送機器人的單個腔室716,複數個移送機器人設置在單個腔室716中。在一個實施方式中,裝載閘腔室712和移送腔室714分別類似於裝載閘腔室112和移送腔室114。
處理模組706包括例如熱處理腔室的複數個處理腔室718。在一個實施方式中,處理腔室718為諸如雷射熱處理腔室的快速熱處理腔室。在其他實施方式中,處理腔室718可依據所期望的實施方式為沉積腔室、蝕刻腔室、清潔腔室或類似腔室。藉由利用具有單個腔室716的移送腔室714,基板可在移送腔室714中的機器人之間移送以提高基板移送效率。
圖8圖示根據本文描述的實施方式的處理系統800的示意平面圖。處理系統800包括工廠介面802、基板移送模組804和處理模組806。工廠介面802包括複數個FOUPs 808和FI移送腔室810。基板移送模組804包括裝載閘腔室812和複數個移送腔室814。在一個實施方式中,裝載閘腔室812和複數個移送腔室814分別類似於裝載閘腔室112和移送腔室114。
裝載閘腔室812設置在移送腔室814之間,並且各個移送腔室814的機器人可進入裝載閘腔室812。裝載閘腔室812耦接至工廠介面802並取到來自FI移送腔室810的基板。移送腔室814取到來自中央設置裝載閘腔室812的基板並將基板移送至處理模組806。
類似於處理模組706,處理模組806包括諸如熱處理腔室的複數個處理腔室818。在一個實施方式中,處理腔室818為諸如雷射熱處理腔室的快速熱處理腔室。在其他實施方式中,處理腔室818可依據所期望的實施方式為沉積腔室、蝕刻腔室、清潔腔室或類似腔室。
圖9圖示根據本文描述的實施方式的處理平臺900的示意圖。設想平臺900為本質上的模組並能夠被重新佈置以最佳地適配處理能力。同時可設想,將各種腔室和部件自平臺900拆卸或添加至平臺900以能夠在單個平臺上大量應用特定製程。
平臺900包括第一工廠介面902,第一工廠介面902具有耦接至第一工廠介面902的複數個FOUPs 936。複數個基板移送模組914耦接至第一工廠介面902。基板移送模組914包括裝載閘腔室916和移送腔室918。在一個實施方式中,裝載閘腔室916類似於裝載閘腔室112。在另一實施方式中,移送腔室918類似於移送腔室114。平臺900也包括處理模組920。處理模組920包括第一處理腔室922和第二處理腔室924。在一個實施方式中,第一處理腔室922和第二處理腔室924類似於處理腔室116。
平臺900進一步包括第二工廠介面904,第二工廠介面904具有耦接至第二工廠介面904的複數個FOUPs 934。中央移送腔室926藉由複數個裝載閘腔室938耦接至第二工廠介面904。複數個處理腔室928、處理腔室930、處理腔室932耦接至中央移送腔室926並設置在中央移送腔室926周圍。在一個實施方式中,處理腔室928直接地耦接至中央移送腔室926。處理腔室930和處理腔室932由單獨的基板移送模組914耦接至中央移送腔室。
可藉由利用基板移送模組914來改進處理腔室相對於移送腔室和工廠介面的佈置。可藉由利用基板移送模組914獲得基板環境管理改善和高效的基板移送以便於提高平臺靈活性。
平臺900也包括隧道腔室906。隧道腔室906設置在第一工廠介面902與第二工廠介面904之間。隧道腔室906包括第一裝載閘腔室908、移送腔室912和第二裝載閘腔室910。第一裝載閘腔室908設置在第一工廠介面902與隧道腔室906的移送腔室912之間。在一個實施方式中,裝載閘腔室908、裝載閘腔室910類似於裝載閘腔室112。類似地,移送腔室912類似於移送腔室114。第二裝載閘腔室910設置在第二工廠介面904與隧道腔室906的移送腔室912之間。由此,隧道腔室906使得基板能夠在第一工廠介面902與第二工廠介面904之間移送。
藉由將工廠介面902、工廠介面904與隧道腔室906耦接,在處理模組920中處理之後基板不必返回至FOUPs 936。相反地,基板可穿過隧道腔室906移送至處理腔室928、處理腔室930、處理腔室932的一或多者以用於後續處理操作。在一個實例中,處理腔室928、處理腔室930、處理腔室932可為沉積腔室、蝕刻腔室、清潔腔室或具有各種其他處理能力的其他腔室類型中的任一種。因此,可對熱處理基板進行後續處理操作而不在多個平臺移送期間將基板暴露至氧。
圖10圖示根據本文描述的實施方式的處理平臺1000的示意圖。設想平臺1000為本質上模組化的並能夠被重新佈置以很好地適配處理能力。同時可設想,將各種腔室和部件自平臺1000拆卸或添加至平臺1000以能夠在單個平臺上實現大範圍的應用特定製程。
平臺1000包括第一工廠介面1002,第一工廠介面1002具有耦接至第一工廠介面1002的複數個FOUPs 1036。複數個基板移送模組1014耦接至第一工廠介面1002。基板移送模組1014包括裝載閘腔室1016和移送腔室1018。在一個實施方式中,裝載閘腔室1016類似於裝載閘腔室112。在另一實施方式中,移送腔室1018類似於移送腔室114。平臺1000也包括處理模組1020。處理模組1020包括第一處理腔室1022和第二處理腔室1024。在一個實施方式中,第一處理腔室1022和第二處理腔室1024類似於處理腔室116。
平臺1000進一步包括第二工廠介面904,第二工廠介面904具有耦接至第二工廠介面904的複數個FOUPs 1034。中央移送腔室1026藉由複數個裝載閘腔室1032耦接至第二工廠介面1004。複數個處理腔室1028、處理腔室1030耦接至中央移送腔室1026並設置在中央移送腔室1026周圍。在一個實施方式中,處理腔室1028和處理腔室1030直接耦接至中央移送腔室1026。
平臺1000也包括隧道腔室1006。隧道腔室1006設置在第一工廠介面902與中央移送腔室1026之間。隧道腔室1006包括第一裝載閘腔室1008、移送腔室1012和第二裝載閘腔室1010。第一裝載閘腔室1008設置在第一工廠介面1002與隧道腔室1006的移送腔室1012之間。在一個實施方式中,裝載閘腔室1008、裝載閘腔室1010類似於裝載閘腔室112。類似地,移送腔室1012類似於移送腔室114。
第二裝載閘腔室1010設置在中央移送腔室1026與隧道腔室1006的移送腔室1012之間。由此,隧道腔室1006使得基板能夠在第一工廠介面902與中央移送腔室1026之間移送。利用平臺1000的佈置,也可獲得與使用平臺900所獲得的類似的效率。
在一個實施方式中,基板處理裝置包括工廠介面、用於在約常壓下生成實質惰性的環境的基板移送模組。基板移送模組包括裝載閘腔室和移送腔室,裝載閘腔室耦接至工廠介面,裝載閘腔室具有界定處理空間的腔室主體和與處理空間流體連通的淨化氣體埠,移送腔室耦接至裝載閘腔室。處理模組也耦接至基板移送模組,其中處理模組包括複數個處理腔室。
在一個實施方式中,工廠介面進一步包括耦接至工廠介面的複數個前開式晶圓移送盒。
在一個實施方式中,基板移送模組包括一對裝載閘腔室。
在一個實施方式中,基板移送模組包括一對移送腔室。
在一個實施方式中,裝載閘腔室包括與處理空間流體連通的排氣口。
在一個實施方式中,裝載閘腔室包括設置在處理空間中的基座,其中基座具有形成在基座中的複數個冷卻流體導管。
在一個實施方式中,裝載閘腔室具有形成於腔室主體中而與工廠介面鄰近的第一狹縫閥和耦接至腔室而與第一狹縫閥鄰近的第一狹縫閥門。
在一個實施方式中,裝載閘腔室具有形成於腔室中而與移送腔室鄰近的第二狹縫閥和耦接至腔室而與第二狹縫閥鄰近的第二狹縫閥門。
在一個實施方式中,各個處理腔室為快速熱處理腔室。
在一個實施方式中,各個快速熱處理腔室為雷射熱處理腔室。
在一個實施方式中,各個雷射熱處理腔室在約常壓下工作。
在一個實施方式中,基板處理裝置包括工廠介面,並且工廠介面包括第一移送腔室,第一移送腔室具有設置在第一移送腔室中的第一機器人。裝置也包括用於在約常壓下生成實質惰性的環境的基板移送模組。基板移送模組包括裝載閘腔室和第二移送腔室,裝載閘腔室耦接至第一移送腔室,第二移送腔室具有設置在第二移送腔室中的第二機器人,其中第二移送腔室耦接至裝載閘腔室。裝置也包括耦接至基板移送模組的處理模組,其中處理模組包括處理腔室,並且處理腔室耦接至第二移送腔室。
在一個實施方式中,處理腔室為雷射熱處理腔室。
在一個實施方式中,處理腔室為沉積腔室。
在一個實施方式中,處理腔室為蝕刻腔室。
在一個實施方式中,裝載閘腔室包括界定處理空間的腔室主體、與處理空間流體連通的淨化氣體埠和與處理空間流體連通的排氣口。
在一個實施方式中,裝載閘腔室包括設置在處理空間中的基座,並且基座具有形成在基座中的複數個冷卻流體導管。
在一個實施方式中,基板處理裝置包括工廠介面,並且工廠介面包括第一移送腔室和複數個前開式晶圓移送盒,第一移送腔室具有設置在第一移送腔室中的第一機器人,複數個前開式晶圓移送盒耦接至第一移送腔室。裝置也包括用於在約常壓下生成實質惰性的環境的基板移送模組。基板移送模組包括常壓裝載閘腔室和第二移送腔室,常壓裝載閘腔室耦接至第一移送腔室,第二移送腔室具有設置在第二移送腔室中的第二機器人,其中第二移送腔室耦接至裝載閘腔室。裝置也包括耦接至基板移送模組的處理模組,其中處理模組包括雷射熱處理腔室,並且雷射熱處理腔室耦接至第二移送腔室。
在一個實施方式中,第二移送腔室包括腔室主體、設置在腔室主體中的排氣口和耦接至腔室主體的排氣導管,排氣導管自排氣口延伸。
在一個實施方式中,氧感測器與排氣導管流體連通。
在一個實施方式中,基板移送裝置包括用於在約常壓下生成實質惰性的環境的裝載閘腔室。裝載閘腔室包括界定處理空間的腔室主體、設置在處理空間中的基座、與基座相對耦接至腔室主體的蓋、穿過蓋設置的淨化氣體埠和鄰近於基座且與淨化氣體埠相對設置在腔室主體中的排氣口。裝置也包括用於在約常壓下生成實質惰性的環境的移送腔室,移送腔室耦接至裝載閘腔室。移送腔室包括界定移送空間的腔室主體、設置在移送空間中的機器人、設置在腔室主體中的複數個淨化氣體埠和與複數個淨化氣體埠相對設置在腔室主體中的排氣口。
在一個實施方式中,裝載閘腔室進一步包括在裝載閘腔室主體中形成的第一狹縫閥和與第一狹縫閥相對在裝載閘腔室主體中形成的第二狹縫閥。
在一個實施方式中,裝載閘腔室進一步包括耦接至裝載閘腔室主體而與第一狹縫閥鄰近的第一狹縫閥門和耦接至裝載閘腔室主體而與第二狹縫閥鄰近的第二狹縫閥門。
在一個實施方式中,裝載閘腔室進一步包括圍繞基座設置的複數個升舉銷,其中複數個凹槽與升舉銷鄰近形成於基座中。
在一個實施方式中,複數個升舉銷中的各者包括軸、耦接至軸並自軸延伸的第一延伸部分、耦接至軸並自軸延伸的第二延伸部分,其中第二延伸部分鄰近於第一延伸部分設置並與第一延伸部分相間隔。
在一個實施方式中,第一延伸部分與第二延伸部分相隔約10mm與約30mm之間的距離。
在一個實施方式中,支撐球耦接至第一延伸部分和第二延伸部分中的各者。
在一個實施方式中,支撐球由氮化矽材料製造。
在一個實施方式中,基座具有形成在基座中的複數個流體導管。
在一個實施方式中,基座的頂表面具有設置在頂表面中的複數個氮化矽材料接觸球。
在一個實施方式中,移送腔室主體包括耦接至腔室主體的光學透明蓋。
在一個實施方式中,光學透明蓋由聚碳酸酯材料製造。
在一個實施方式中,複數個淨化氣體埠中的各者具有自淨化氣體埠延伸的擴散器。
在一個實施方式中,移送腔室排氣口設置在鄰近於一區域的移送腔室中,在此區域中裝載閘腔室耦接至移送腔室。
在一個實施方式中,基板處理裝置包括用於在約常壓下生成實質惰性的環境的裝載閘腔室。裝載閘腔室包括界定處理空間的腔室主體、設置在處理空間中的基座、設置在基座中的流體導管、沿基座周邊形成的複數個凹槽和設置在處理空間中的複數個升舉銷,每個升舉銷鄰近於複數個凹槽中的一者。裝置也包括蓋、擴散板、淨化氣體埠和排氣口,蓋與基座相對耦接至腔室主體,擴散板在蓋的中心區域處耦接至蓋,其中蓋的底表面的部分自擴散板徑向向外逐漸變小地延伸,淨化氣體埠穿過擴散板設置,排氣口鄰近於基座且與淨化氣體埠相對設置在腔室主體中。
在一個實施方式中,腔室主體由鋁坯料製造。
在一個實施方式中,裝置進一步包括設置在腔室主體的側壁中的第一狹縫閥、鄰近於第一狹縫閥而耦接至腔室主體的第一狹縫閥門、與第一狹縫閥相對設置在腔室主體的側壁中的第二狹縫閥和鄰近於第二狹縫閥而耦接至腔室主體的第二狹縫閥門。
在一個實施方式中,基板移送裝置包括用於在約常壓下生成實質惰性的環境的移送腔室,移送腔室耦接至裝載閘腔室。移送腔室包括界定移送空間的腔室主體、耦接至腔室主體的光學透明蓋和設置在移送空間中的機器人,其中機器人的葉片由石英製造。裝置也包括設置在腔室主體中的複數個淨化氣體埠、自淨化氣體埠延伸的複數個擴散器、與複數個淨化氣體埠相對設置在腔室主體中的排氣口、耦接至排氣口的排氣導管和藉由排氣導管和排氣口與移送空間流體連通的氧感測器。
在一個實施方式中,氧感測器具有小於約百萬分之一氧的氧濃度靈敏度。
在一個實施方式中,氧感測器藉由第一導管和第二導管而耦接至排氣導管。
在一個實施方式中,平臺裝置包括第一工廠介面、耦接至第一工廠介面的第二工廠介面和設置在第一工廠介面與第二工廠介面之間的隧道腔室,其中隧道腔室包括移送腔室和複數個裝載閘腔室。裝置也包括耦接至第一工廠介面的第一移送模組、耦接至第一移送模組的處理模組、耦接至第二工廠介面的中央移送腔室和耦接至中央移送腔室的複數個處理腔室,其中複數個處理腔室中的至少一者由第二移送模組而耦接至中央移送腔室。
在一個實施方式中,第一移送模組和第二移送模組包括裝載閘腔室和移送腔室。
在一個實施方式中,裝載閘腔室和移送腔室在約常壓下生成實質惰性的環境。
在一個實施方式中,裝載閘腔室耦接在第一工廠介面與移送腔室之間。
在一個實施方式中,移送腔室耦接在裝載閘腔室與處理模組之間。
在一個實施方式中,處理模組包括雷射熱處理腔室。
在一個實施方式中,處理模組包括基於燈的熱處理腔室。
在一個實施方式中,複數個處理腔室的第一處理腔室包括沉積腔室。
在一個實施方式中,複數個處理腔室的第二處理腔室包括蝕刻腔室。
在一個實施方式中,複數個處理腔室的第三處理腔室包括清潔腔室。
在一個實施方式中,平臺裝置包括第一工廠介面、耦接至第一工廠介面的移送模組、耦接至移送模組的處理模組、第二工廠介面和耦接至第二工廠介面的中央移送腔室。裝置也包括設置在第一工廠介面與中央移送腔室之間的隧道腔室,其中隧道腔室包括移送腔室和複數個裝載閘腔室。裝置也包括耦接至中央移送腔室的複數個處理腔室。
在一個實施方式中,移送模組包括裝載閘腔室和移送腔室。
在一個實施方式中,移送模組在約常壓下生成實質惰性的環境。
在一個實施方式中,裝載閘腔室耦接在第一工廠介面與移送腔室之間。
在一個實施方式中,移送腔室耦接在裝載閘腔室與處理模組之間。
在一個實施方式中,處理模組包括雷射熱處理腔室。
在一個實施方式中,處理模組包括基於燈的熱處理腔室。
在一個實施方式中,複數個處理腔室的第一處理腔室為沉積腔室。
在一個實施方式中,複數個處理腔室的第二處理腔室為蝕刻腔室。
在一個實施方式中,隧道腔室移送腔室設置在複數個隧道腔室與裝載閘腔室之間。
在一個實施方式中,基板處理方法包括:將基板自第一腔室移送至第二腔室,將第二腔室的環境與第一腔室的環境隔離,自第二腔室的環境移除氧,以及冷卻在第二腔室中的基板。方法也包括:打開在第一腔室與第二腔室之間的狹縫閥,將第一腔室的環境排空,以及分析第一腔室排出物以確定第二腔室的環境的氧濃度。
在一個實施方式中,第一腔室的環境在基板移送期間被維持在約常壓下。
在一個實施方式中,第二腔室的環境在基板移送期間被維持在約常壓下。
在一個實施方式中,第一腔室的環境在基板移送期間被維持在真空下。
在一個實施方式中,第二腔室的環境在基板移送期間被維持在真空下。
在一個實施方式中,自第二腔室的環境移除氧包括使用惰性氣體淨化第二腔室的環境。
在一個實施方式中,惰性氣體為氮氣。
在一個實施方式中,第二腔室的環境在冷卻基板期間被維持在高於常壓。
在一個實施方式中,在冷卻基板期間第二腔室的環境的壓力在大於常壓約1psi與約10psi之間。
在一個實施方式中,基板處理方法包括:將基板自移送腔室移送至裝載閘腔室,關閉在移送腔室與裝載閘腔室之間的狹縫閥以將裝載閘腔室的環境與移送腔室的環境隔離,自裝載閘腔室的環境移除氧,以及冷卻在裝載閘腔室中的基板。方法也包括:打開在移送腔室與裝載閘腔室之間的狹縫閥,在打開狹縫閥期間將移送腔室的環境排空,以及分析移送腔室排出物以確定在冷卻基板期間裝載閘腔室的環境的氧濃度。
在一個實施方式中,移送腔室的環境在基板移送期間被維持在常壓下。
在一個實施方式中,裝載閘腔室的環境在基板移送期間被維持在常壓下。
在一個實施方式中,移送腔室的環境在基板移送期間被維持在真空下。
在一個實施方式中,裝載閘腔室的環境在基板移送期間被維持在真空下。
在一個實施方式中,自裝載閘腔室的環境移除氧包括使用氮氣淨化裝載閘腔室的環境。
在一個實施方式中,在冷卻基板和淨化期間裝載閘腔室的環境的壓力在大於常壓約1psi與約10psi之間。
在一個實施方式中,在冷卻基板期間裝載閘腔室的環境的氧濃度小於約1ppm。
在一個實施方式中,基板移送方法包括:將基板自處理腔室移送至移送腔室,將基板自移送腔室移送至裝載閘腔室,以及關閉在移送腔室與裝載閘腔室之間的狹縫閥以將裝載閘腔室的環境與移送腔室的環境隔離。方法也包括:自裝載閘腔室的環境移除氧,冷卻在裝載閘腔室中的基板,打開在移送腔室與裝載閘腔室之間的狹縫閥,在打開狹縫閥期間將移送腔室的環境排空,以及分析移送腔室排出物以確定在冷卻基板期間的裝載閘腔室的環境的氧濃度。
在一個實施方式中,處理腔室為雷射熱處理腔室。
在一個實施方式中,處理腔室、移送腔室和裝載閘腔室中的各者的環境在基板移送期間被維持在約常壓下。
儘管前述針對本揭示內容的實施方式,但在不脫離本揭示內容的基本範疇的情況下,可設計本揭示內容的其他的和進一步的實施方式,並且本揭示的範圍是由隨附的申請專利範圍來確定。
100‧‧‧處理系統
102‧‧‧工廠介面
104‧‧‧基板移送模組
106‧‧‧處理模組
108‧‧‧FOUP
110‧‧‧FI移送腔室
112‧‧‧裝載閘腔室
114‧‧‧移送腔室
116‧‧‧處理腔室
202‧‧‧第一裝載閘腔室
204‧‧‧第一移送腔室
206‧‧‧第二裝載閘腔室
208‧‧‧第二移送腔室
210‧‧‧主體
212‧‧‧第一狹縫閥
214‧‧‧第一狹縫閥門
216‧‧‧第二狹縫閥門
218‧‧‧淨化氣體導管
220‧‧‧腔室主體
222‧‧‧蓋
224‧‧‧機器人
226‧‧‧第一LCF感測器
228‧‧‧第二LCF感測器
230‧‧‧基板
302‧‧‧頂表面
304‧‧‧把手
306‧‧‧埠配接器
308‧‧‧裝配板
310‧‧‧狹縫閥
312‧‧‧狹縫閥門
316‧‧‧螺釘
402‧‧‧第一基底板
404‧‧‧排氣口
406‧‧‧第一淨化氣體埠
408‧‧‧第二淨化氣體埠
410‧‧‧擴散器
412‧‧‧擴散器
414‧‧‧可選的排氣口
416‧‧‧臂
418‧‧‧葉片
420‧‧‧第二基底板
502‧‧‧內部空間
504‧‧‧擴散板
506‧‧‧蓋
508‧‧‧基板支撐件
509‧‧‧基板支撐表面
510‧‧‧流體導管
511‧‧‧凹槽
512‧‧‧冷卻流體源
513‧‧‧側壁
514‧‧‧淨化氣源
516‧‧‧加熱器
518‧‧‧第二狹縫閥
520‧‧‧排氣導管
522‧‧‧氧感測器
524‧‧‧第一導管
526‧‧‧第二導管
528‧‧‧止回閥
530‧‧‧泵
532‧‧‧排氣出口
534‧‧‧底表面
536‧‧‧部分
602‧‧‧升舉銷
604‧‧‧凹槽
606‧‧‧軸
608‧‧‧第一延伸部分
610‧‧‧第二延伸部分
612‧‧‧頂表面
614‧‧‧頂表面
616‧‧‧第一支撐球
618‧‧‧第二支撐球
620‧‧‧距離
622‧‧‧接觸球
624‧‧‧距離
626‧‧‧排氣口
628‧‧‧側壁
700‧‧‧處理系統
702‧‧‧工廠介面
704‧‧‧基板移送模組
706‧‧‧處理模組
708‧‧‧FOUPs
710‧‧‧FI移送腔室
712‧‧‧裝載閘腔室
714‧‧‧移送腔室
716‧‧‧單個腔室
718‧‧‧處理腔室
800‧‧‧處理系統
802‧‧‧工廠介面
804‧‧‧基板移送模組
806‧‧‧處理模組
808‧‧‧FOUPs
810‧‧‧FI移送腔室
812‧‧‧裝載閘腔室
814‧‧‧移送腔室
818‧‧‧處理腔室
900‧‧‧平臺
902‧‧‧第一工廠介面
904‧‧‧第二工廠介面
906‧‧‧隧道腔室
908‧‧‧第一裝載閘腔室
910‧‧‧第二裝載閘腔室
912‧‧‧移送腔室
914‧‧‧基板移送模組
916‧‧‧裝載閘腔室
918‧‧‧移送腔室
920‧‧‧處理模組
922‧‧‧第一處理腔室
924‧‧‧第二處理腔室
926‧‧‧中央移送腔室
928‧‧‧處理腔室
930‧‧‧處理腔室
932‧‧‧處理腔室
934‧‧‧FOUPs
936‧‧‧FOUPs
938‧‧‧裝載閘腔室
1000‧‧‧平臺
1002‧‧‧第一工廠介面
1004‧‧‧第二工廠介面
1006‧‧‧隧道腔室
1008‧‧‧第一裝載閘腔室
1010‧‧‧第二裝載閘腔室
1012‧‧‧移送腔室
1014‧‧‧基板移送模組
1016‧‧‧裝載閘腔室
1018‧‧‧移送腔室
1020‧‧‧處理模組
1022‧‧‧第一處理腔室
1024‧‧‧第二處理腔室
1026‧‧‧中央移送腔室
1028‧‧‧處理腔室
1030‧‧‧處理腔室
1032‧‧‧裝載閘腔室
1034‧‧‧FOUPs
1036‧‧‧FOUPs
1100‧‧‧方法
1110‧‧‧操作
1120‧‧‧操作
1130‧‧‧操作
1140‧‧‧操作
1150‧‧‧操作
1160‧‧‧操作
1170‧‧‧操作
1180‧‧‧操作
因此,為了可以詳細理解本揭示內容的上述特徵,可以參照實施方式獲得上文簡要概述的本揭示內容的更具體描述,實施方式中的一些實施方式繪示於附圖中。然而,應當注意,附圖僅繪示了示例性實施方式,因而不應視為對本揭示內容的範疇的限制,因為本揭示可允許其他等同有效的實施方式。
圖1圖示根據本文描述的實施方式的處理系統的示意平面圖。
圖2圖示根據本文描述的實施方式的基板移送模組的示意透視圖。
圖3圖示根據本文描述的實施方式的移送腔室的示意透視圖。
圖4圖示根據本文描述的實施方式的圖3的移送腔室的示意性局部透視圖。
圖5圖示根據本文描述的實施方式的裝載閘腔室的示意橫截面圖。
圖6A圖示根據本文描述的實施方式的圖5的裝載閘腔室的示意性局部透視圖。
圖6B圖示根據本文描述的實施方式的圖6A的裝載閘腔室的升舉銷的示意性橫截面圖。
圖6C圖示根據本文描述的實施方式的圖6A的裝載閘腔室的基板支撐特徵的示意性橫截面圖。
圖7圖示根據本文描述的實施方式的處理系統的示意平面圖。
圖8圖示根據本文描述的實施方式的處理系統的示意平面圖。
圖9圖示根據本文描述的實施方式的處理平臺的示意圖。
圖10圖示根據本文描述的實施方式的處理平臺的示意圖。
圖11圖示根據本文描述的實施方式的用於移送基板和量測在裝載閘腔室中的氧濃度的方法的操作。
為了便於理解,已儘可能地使用相同的元件符號來標示附圖中共通的相同元件。應設想,一個實施方式的元件和特徵在沒有進一步描述下可有益地併入其他實施方式中。

Claims (18)

  1. 一種基板處理裝置,包括:一工廠介面;一基板移送模組,該基板移送模組用於在約常壓下生成一實質惰性的環境,該基板移送模組包括:一裝載閘腔室,該裝載閘腔室耦接至該工廠介面,該裝載閘腔室具有一腔室主體和一淨化氣體埠,該腔室主體界定一處理空間,該淨化氣體埠與該處理空間流體連通;一移送腔室,該移送腔室耦接至該裝載閘腔室;以及一處理模組,該處理模組耦接至該基板移送模組,其中該處理模組包括複數個處理腔室。
  2. 如請求項1所述之裝置,其中該工廠介面進一步包括:複數個前開式晶圓移送盒,該複數個前開式晶圓移送盒耦接至該工廠介面。
  3. 如請求項1所述之裝置,其中該基板移送模組包括一對裝載閘腔室。
  4. 如請求項1所述之裝置,其中該基板移送模組包括一對移送腔室。
  5. 如請求項1所述之裝置,其中該裝載閘腔室 包括:一排氣口,該排氣口與該處理空間流體連通。
  6. 如請求項5所述之裝置,其中該裝載閘腔室包括:一基座,該基座設置在該處理空間中,其中該基座具有形成在該基座中的複數個冷卻流體導管。
  7. 如請求項1所述之裝置,其中該裝載閘腔室具有形成於該腔室主體中而與該工廠介面鄰近的一第一狹縫閥和耦接至該腔室而與該第一狹縫閥鄰近的一第一狹縫閥門。
  8. 如請求項7所述之裝置,其中該裝載閘腔室具有形成於該腔室中而與該移送腔室鄰近的一第二狹縫閥和耦接至該腔室而與該第二狹縫閥鄰近的一第二狹縫閥門。
  9. 如請求項8所述之裝置,進一步包括一第二移送腔室,其中該第二移送腔室包括:一腔室主體;一排氣口,該排氣口設置在該腔室主體中;以及一排氣導管,該排氣導管耦接至該腔室主體並自該排氣口延伸。
  10. 如請求項1所述之裝置,其中該等處理腔室中的各者為一快速熱處理腔室。
  11. 如請求項10所述之裝置,其中該等快速熱處理腔室中的各者為一雷射熱處理腔室。
  12. 如請求項11所述之裝置,其中該等雷射熱處理腔室中的各者在約常壓下工作。
  13. 一種基板處理裝置,包括:一工廠介面,其中該工廠介面包括:一第一移送腔室,該第一移送腔室具有設置在該第一移送腔室中的一第一機器人;一基板移送模組,該基板移送模組用於在約常壓下生成一實質惰性的環境,該基板移送模組包括:一裝載閘腔室,該裝載閘腔室耦接至該第一移送腔室;一第二移送腔室,該第二移送腔室具有設置在該第二移送腔室中的一第二機器人,該第二移送腔室耦接至該裝載閘腔室;以及一處理模組,該處理模組耦接至該基板移送模組,其中該處理模組包括一處理腔室,其中該處理腔室耦接至該第二移送腔室。
  14. 如請求項13所述之裝置,其中該處理腔室為一雷射熱處理腔室。
  15. 如請求項13所述之裝置,其中該處理腔室為一沉積腔室。
  16. 如請求項13所述之裝置,其中該處理腔室為一蝕刻腔室。
  17. 如請求項13所述之裝置,其中該裝載閘腔室包括:一腔室主體,該腔室主體界定一處理空間;一淨化氣體埠,該淨化氣體埠與該處理空間流體連通;以及一排氣口,該排氣口與該處理空間流體連通。
  18. 如請求項17所述之裝置,其中該裝載閘腔室包括:一基座,該基座設置在該處理空間中,其中該基座具有形成在該基座中的複數個冷卻流體導管。
TW106211035U 2017-03-03 2017-07-27 環境可控的移送模組和處理系統 TWM561909U (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201762466533P 2017-03-03 2017-03-03
US201762466539P 2017-03-03 2017-03-03
US201762466527P 2017-03-03 2017-03-03
US201762466535P 2017-03-03 2017-03-03
US62/466,533 2017-03-03
US62/466,535 2017-03-03
US62/466,527 2017-03-03
US62/466,539 2017-03-03

Publications (1)

Publication Number Publication Date
TWM561909U true TWM561909U (zh) 2018-06-11

Family

ID=62359521

Family Applications (3)

Application Number Title Priority Date Filing Date
TW106125236A TWI736654B (zh) 2017-03-03 2017-07-27 環境可控的移送模組和處理系統
TW106211035U TWM561909U (zh) 2017-03-03 2017-07-27 環境可控的移送模組和處理系統
TW110126546A TWI801939B (zh) 2017-03-03 2017-07-27 環境可控的移送模組和處理系統

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106125236A TWI736654B (zh) 2017-03-03 2017-07-27 環境可控的移送模組和處理系統

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110126546A TWI801939B (zh) 2017-03-03 2017-07-27 環境可控的移送模組和處理系統

Country Status (5)

Country Link
US (2) US10361104B2 (zh)
JP (2) JP7158133B2 (zh)
KR (2) KR20180101139A (zh)
CN (4) CN207353216U (zh)
TW (3) TWI736654B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361104B2 (en) 2017-03-03 2019-07-23 Applied Materials, Inc. Ambient controlled transfer module and process system

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200126826A1 (en) * 2018-10-18 2020-04-23 Applied Materials, Inc. Load lock body portions, load lock apparatus, and methods for manufacturing the same
US11581204B2 (en) * 2020-10-20 2023-02-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing system and method for manufacturing semiconductor device
KR102625679B1 (ko) * 2021-11-05 2024-01-17 프리시스 주식회사 로드락모듈 및 이를 포함하는 기판처리시스템
KR102625678B1 (ko) * 2021-11-05 2024-01-17 프리시스 주식회사 로드락모듈 및 이를 포함하는 기판처리시스템

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5303671A (en) * 1992-02-07 1994-04-19 Tokyo Electron Limited System for continuously washing and film-forming a semiconductor wafer
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US20010041121A1 (en) * 2000-03-06 2001-11-15 Grunes Howard E. Single chamber vacuum processing tool
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
US6841006B2 (en) 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US6672864B2 (en) 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP2003100838A (ja) * 2001-09-25 2003-04-04 Sony Corp 基板処理装置および基板処理方法
US20030113188A1 (en) * 2001-12-17 2003-06-19 Applied Materials, Inc. Mechanism for providing a continuous supply of wafers and cassettes to semiconductor fabrication tool
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
JP2004018215A (ja) * 2002-06-18 2004-01-22 Tokyo Electron Ltd フラット・パネル・ディスプレイ用熱処理装置及び熱処理方法
US6948619B2 (en) * 2002-07-05 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd Reticle pod and reticle with cut areas
WO2004008494A2 (en) 2002-07-15 2004-01-22 Aviza Technology, Inc. Servomotor control system and method in a semiconductor manufacturing environment
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7792350B2 (en) * 2003-11-10 2010-09-07 Brooks Automation, Inc. Wafer center finding
JP5226215B2 (ja) * 2003-11-10 2013-07-03 ブルックス オートメーション インコーポレイテッド 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム
JP2005243775A (ja) 2004-02-25 2005-09-08 Dainippon Screen Mfg Co Ltd 基板処理装置および雰囲気置換方法
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
KR20070089197A (ko) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US7104436B2 (en) * 2005-02-01 2006-09-12 Rwl Corporation Multiple component mailbox having postal and newspaper compartments
KR100702844B1 (ko) * 2005-11-14 2007-04-03 삼성전자주식회사 로드락 챔버 및 그를 이용한 반도체 제조설비
JP2007188953A (ja) 2006-01-11 2007-07-26 Toshiba Matsushita Display Technology Co Ltd 多結晶シリコン層の製造方法
US7845618B2 (en) * 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール
JP4961895B2 (ja) 2006-08-25 2012-06-27 東京エレクトロン株式会社 ウェハ搬送装置、ウェハ搬送方法及び記憶媒体
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080202417A1 (en) * 2007-02-27 2008-08-28 Smith John M Self-contained process modules for vacuum processing tool
US20080202686A1 (en) * 2007-02-27 2008-08-28 Smith John M Self-contained process modules for magnetic media processing tool
KR20100031681A (ko) * 2007-05-18 2010-03-24 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
JP4309935B2 (ja) * 2007-07-31 2009-08-05 Tdk株式会社 密閉容器の蓋開閉システム及び当該システムを用いた基板処理方法
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8254767B2 (en) * 2008-08-29 2012-08-28 Applied Materials, Inc. Method and apparatus for extended temperature pyrometry
JP5295808B2 (ja) * 2009-02-09 2013-09-18 東京エレクトロン株式会社 パーティクル付着防止方法及び被処理基板の搬送方法
JP2009152649A (ja) * 2009-04-07 2009-07-09 Hitachi Kokusai Electric Inc ウェーハの搬送方法
US20110245957A1 (en) * 2010-04-06 2011-10-06 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
US20110269314A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Process chambers having shared resources and methods of use thereof
JP5511536B2 (ja) * 2010-06-17 2014-06-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8455849B2 (en) * 2010-11-30 2013-06-04 Applied Materials, Inc. Method and apparatus for modulating wafer treatment profile in UV chamber
JP2012119626A (ja) 2010-12-03 2012-06-21 Tokyo Electron Ltd ロードロック装置
US20120148760A1 (en) * 2010-12-08 2012-06-14 Glen Eric Egami Induction Heating for Substrate Processing
WO2012133441A1 (ja) 2011-03-28 2012-10-04 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US9862554B2 (en) * 2011-10-26 2018-01-09 Brooks Automation, Inc. Semiconductor wafer handling and transport
KR102359364B1 (ko) * 2012-02-10 2022-02-07 브룩스 오토메이션 인코퍼레이티드 기판 프로세싱 장치
KR200470806Y1 (ko) * 2012-08-03 2014-01-15 (주)쎄미시스코 진공 챔버와 접속하는 배기 라인에 산소 센서를 포함하는 기판 처리 장치
TWM476362U (en) * 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
US9293317B2 (en) * 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
JP6059537B2 (ja) 2013-01-09 2017-01-11 株式会社Screenホールディングス 熱処理装置
WO2014150260A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102435429B1 (ko) * 2013-08-12 2022-08-22 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
KR102161685B1 (ko) * 2013-09-26 2020-10-05 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
WO2015048470A1 (en) * 2013-09-30 2015-04-02 Applied Materials, Inc Transfer chamber gas purge apparatus, electronic device processing systems, and purge methods
JP2016004834A (ja) 2014-06-13 2016-01-12 東京エレクトロン株式会社 真空処理装置
US20150376792A1 (en) 2014-06-30 2015-12-31 Lam Research Corporation Atmospheric plasma apparatus for semiconductor processing
JP6459462B2 (ja) * 2014-12-11 2019-01-30 東京エレクトロン株式会社 リーク判定方法、基板処理装置及び記憶媒体
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
JP5947435B1 (ja) * 2015-08-27 2016-07-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
KR102413271B1 (ko) * 2015-11-02 2022-06-28 삼성전자주식회사 기판 이송 장치
CN108292589B (zh) * 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
KR20180102203A (ko) * 2016-02-05 2018-09-14 어플라이드 머티어리얼스, 인코포레이티드 복수 유형의 챔버들을 갖는 통합형 층 식각 시스템
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
WO2017184301A1 (en) * 2016-04-22 2017-10-26 Applied Materials, Inc. Method for pecvd overlay improvement
US10159169B2 (en) * 2016-10-27 2018-12-18 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
US20180138074A1 (en) * 2016-11-11 2018-05-17 Samsung Electronics Co., Ltd. Carrier ring and chemical vapor deposition apparatus including the same
US10704147B2 (en) * 2016-12-03 2020-07-07 Applied Materials, Inc. Process kit design for in-chamber heater and wafer rotating mechanism
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US20190043744A1 (en) * 2017-08-01 2019-02-07 Applied Materials, Inc. Active monitoring system for substrate breakage prevention
US10755955B2 (en) * 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361104B2 (en) 2017-03-03 2019-07-23 Applied Materials, Inc. Ambient controlled transfer module and process system
US10818525B2 (en) 2017-03-03 2020-10-27 Applied Materials, Inc. Ambient controlled transfer module and process system
TWI736654B (zh) * 2017-03-03 2021-08-21 美商應用材料股份有限公司 環境可控的移送模組和處理系統

Also Published As

Publication number Publication date
JP7158133B2 (ja) 2022-10-21
TW201834121A (zh) 2018-09-16
CN108538747B (zh) 2024-01-30
CN207353216U (zh) 2018-05-11
KR102616427B1 (ko) 2023-12-27
KR20230010791A (ko) 2023-01-19
US20180254207A1 (en) 2018-09-06
JP7492554B2 (ja) 2024-05-29
TWI801939B (zh) 2023-05-11
US20190295872A1 (en) 2019-09-26
CN108538747A (zh) 2018-09-14
CN108538746A (zh) 2018-09-14
CN208923035U (zh) 2019-05-31
TW202143371A (zh) 2021-11-16
US10818525B2 (en) 2020-10-27
JP2022153414A (ja) 2022-10-12
JP2018148194A (ja) 2018-09-20
KR20180101139A (ko) 2018-09-12
US10361104B2 (en) 2019-07-23
TWI736654B (zh) 2021-08-21

Similar Documents

Publication Publication Date Title
KR102616427B1 (ko) 주변 제어된 이송 모듈 및 프로세스 시스템
JP4916140B2 (ja) 真空処理システム
WO2000028587A1 (fr) Dispositif de traitement
JPH05218176A (ja) 熱処理方法及び被処理体の移載方法
US11054184B2 (en) Methods and apparatus for processing a substrate to remove moisture and/or residue
TW201540860A (zh) 用於快速冷卻基板的方法與設備
US10535513B2 (en) Apparatus and methods for backside passivation
JP2002093715A (ja) 半導体製造装置
US11551942B2 (en) Methods and apparatus for cleaning a substrate after processing
JP2006093543A (ja) 熱処理装置
KR102411880B1 (ko) 직선운동 밀폐장치 및 이를 이용하는 반도체 기판처리장치
JP2000323549A (ja) 真空処理装置
JP4433570B2 (ja) 基板処理装置及び基板処理方法
KR20210002929A (ko) 로드락 챔버 및 이를 구비하는 기판 처리 시스템
JP2005093928A (ja) 基板処理装置
JP2007242764A (ja) 基板処理装置
JP2005175053A (ja) 基板処理装置
JP2003329279A (ja) 気体導入構造及び作業室
JP2005183694A (ja) 基板処理装置
KR20060129813A (ko) 웨이퍼 이송 장치
KR20070052457A (ko) 버퍼챔버