US20160240410A1 - Substrate lift assemblies - Google Patents

Substrate lift assemblies Download PDF

Info

Publication number
US20160240410A1
US20160240410A1 US15/139,274 US201615139274A US2016240410A1 US 20160240410 A1 US20160240410 A1 US 20160240410A1 US 201615139274 A US201615139274 A US 201615139274A US 2016240410 A1 US2016240410 A1 US 2016240410A1
Authority
US
United States
Prior art keywords
load lock
lift
substrate
chamber
frame
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/139,274
Inventor
Paul B. Reuter
Ganesh Balasubramanian
JuanCarlos Rocha-Alvarez
Jeffrey B. Robinson
Dale Robert Du Bois
Paul Connors
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US15/139,274 priority Critical patent/US20160240410A1/en
Publication of US20160240410A1 publication Critical patent/US20160240410A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67313Horizontal boat type carrier whereby the substrates are vertically supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49998Work holding

Definitions

  • the present invention relates generally to electronic device manufacturing, and more specifically to substrate lift assemblies thereof.
  • Conventional electronic device manufacturing systems may include multiple process chambers and one or more load lock chambers surrounding a transfer chamber. These electronic device manufacturing systems may employ a transfer robot that may be housed within the transfer chamber, and which is adapted to transport substrates between the various process chambers and load lock chambers.
  • two mainframe sections may be linked together with one or more pass-through chambers. Substrates may be passed through between the mainframe sections through the pass through chambers.
  • the two mainframe sections may be operated at two different vacuum levels in some embodiments and different or additional processes may take place in the second mainframe section.
  • a factory interface sometimes referred to as an equipment front end module, may be provided to load substrates into and out of the load lock chambers coupled to the first mainframe section.
  • adding an additional mainframe section is at the expense of added complexity, and may require extra floor space, that may not always be available. Accordingly, improved apparatus, systems, and methods enabling higher throughput and ease of adding processing capacity are desired.
  • a substrate lift assembly in a first aspect, includes a lift frame, a plurality of fingers extending from the frame, the fingers adapted to support a substrate, and a containment ring supported by the lift frame.
  • a substrate lift assembly includes a lift frame including a hoop portion including a pocket and a connection flange, a plurality of horizontally extending fingers extending from the frame, the horizontally extending fingers positioned below the lift frame, a containment ring supported in a pocket formed in the lift frame, a riser portion coupled to the connection flange, and a lift actuator operably connected to riser portion.
  • a substrate lift assembly in another aspect, includes a lift frame including a hoop portion with a pocket formed therein, and a connection flange coupled to the hoop portion, a plurality of fingers extending from the lift frame, the plurality of fingers extending horizontally inward and positioned below the lift frame, a containment ring comprising a cylindrical hoop of alumina or quartz material supported in the pocket, the containment ring extending above a top surface of the lift frame, a riser portion coupled to the connection flange, and a lift actuator operably connected to riser portion.
  • FIG. 1 illustrates a schematic top view of a substrate processing system including a process load lock apparatus wherein additional processing capability is provided at the load lock location according to embodiments.
  • FIG. 2 illustrates a cross-sectioned side view of a process load lock apparatus according to embodiments.
  • FIG. 3 illustrates a cross-sectioned side view of another process load lock apparatus according to embodiments.
  • FIG. 4A illustrates an isometric view of a process load lock apparatus according to embodiments.
  • FIG. 4B illustrates a cross-sectioned side view of a single processing chamber and components of a process load lock apparatus according to embodiments.
  • FIGS. 4C and 4D illustrate isometric and cross-sectioned isometric views, respectively, of a heated pedestal of a process load lock apparatus according to embodiments.
  • FIGS. 4E and 4F illustrate isometric and partial isometric views, respectively, of a common body of a process load lock apparatus according to embodiments.
  • FIG. 4G illustrates isometric view of an underside of a common body of a process load lock apparatus according to embodiments.
  • FIG. 4H illustrates a cross-sectioned isometric view of the common body and connection to a vacuum pump of a load process lock apparatus according to embodiments.
  • FIG. 4I illustrates a cross-sectioned top view of the common body of a process load lock apparatus according to embodiments.
  • FIG. 4J illustrates a front plan view of a common body of a process load lock apparatus viewed from the transfer chamber side according to embodiments.
  • FIG. 4K illustrates a top plan view of the common body of a process load lock apparatus according to embodiments.
  • FIG. 4L illustrates a top plan view of the common body of a process load lock apparatus with the pedestals and lift assemblies installed, and with the lids and remote plasma sources removed, according to embodiments.
  • FIG. 4M illustrates a cross-sectioned side view of the process load lock apparatus according to embodiments.
  • FIG. 4N illustrates a side view of a lift assembly according to embodiments.
  • FIG. 4O illustrates an isometric view of a portion of a lift assembly including a frame and a containment ring according to embodiments.
  • FIG. 4P illustrates an isometric view of the process load lock apparatus, with the lids and remote plasma sources removed, according to embodiments.
  • FIG. 5 illustrates a flowchart depicting a method of processing substrates in a process load lock apparatus according to embodiments.
  • Electronic device manufacturing may desire not only very precise and rapid transport of substrates between various locations, i.e., high throughput provided by precise and rapid motions, but may also desire additional processing capability to be added within a fixed space (e.g., floor space) envelope.
  • a fixed space e.g., floor space
  • mainframe sections have been linked together to enlarge the number of process chambers that may be available at a particular tool.
  • dual mainframe tools (sometimes referred to as “dual buffer tools”) have been developed, wherein a first mainframe section and a second mainframe section are coupled together by one or more pass-through chambers.
  • the one or more pass-through chambers are used to pass substrates back and forth between the two adjacent mainframe sections.
  • the pass-through chambers typically have slit valves on either side to isolate the two mainframe sections, which may be operated at different vacuum levels in some cases, for example.
  • an improved substrate processing apparatus and system is provided.
  • the additional process capability is provided in accordance with one or more embodiments of the present invention by providing additional processing chambers at a location of the one or more load lock apparatus.
  • Process load lock apparatus i.e., apparatus having both load lock functionality combined with process capability at the load lock location are described and provided herein.
  • a load lock process chamber is provided at a different level (e.g., vertically above) the load lock chamber that is adapted to pass substrates between a factory interface to a mainframe section that houses a transfer robot and which has conventional process chambers coupled thereto.
  • the substrate lift assemblies include a lift frame, a plurality of fingers extending from the frame, the fingers adapted to support a substrate, and a containment ring supported by the lift frame.
  • the electronic device processing system 100 is useful to carry out one or more processes on a substrate 102 .
  • the substrate 102 may be a silicon wafer, which may be an electronic device precursor such as an incomplete semiconductor wafer having a plurality of incomplete chips formed thereon. In some cases, the substrate 102 may have a mask thereon.
  • the electronic device processing system 100 includes a mainframe section 104 provided adjacent to a factory interface 106 .
  • the mainframe section 104 includes a section housing 108 and includes a transfer chamber 110 therein.
  • the section housing 108 may include a number of vertical side walls, which may be defined by chamber facets.
  • the section housing 108 includes twined chamber facets, wherein the facets on each side wall are substantially parallel or slightly misaligned relative to the facet, i.e., the entry directions into the respective twinned chambers that are coupled to the facets are substantially co-parallel.
  • the line of entry into the respective chambers is not through a shoulder axis of the robot 112 .
  • the transfer chamber 110 is defined by the side walls thereof, as well as top and bottom walls and may be maintained at a vacuum, for example.
  • the vacuum level for the transfer chamber 110 may be between about 0.01 Torr and about 80 Torr, for example.
  • the robot 112 is received in the transfer chamber 110 and includes multiple arms and one or more end effectors that are adapted to be operable therein.
  • the robot 112 may be adapted to pick or place substrates 102 (e.g., the “wafers” are shown in FIG. 1 as circles) to or from a destination.
  • the destination may be any chamber physically coupled to the transfer chamber 110 .
  • the destination may be one or more first process chambers 114 coupled to the section housing 108 and accessible from the transfer chamber 110 , one or more second process chambers 116 coupled to the section housing 108 and accessible from the transfer chamber 110 , or one or more third process chambers 118 coupled to the section housing 108 and accessible from the transfer chamber 110 .
  • a same or different process may take place on each facet.
  • the destination may also be one or more load lock chambers 120 , 122 of a process load lock apparatus 124 in accordance with one or more embodiments of the present invention. The destinations are shown as dotted circles.
  • Process load lock apparatus 124 is adapted to be located between, coupled to, and accessed from the mainframe section 104 and the factory interface 106 .
  • the load lock chambers 120 , 122 are coupled to the section housing 108 and factory interface 106 and are accessible from both the transfer chamber 110 and the factory interface 106 .
  • the process load lock apparatus 124 also includes one or more load lock process chambers that reside at, and are located at, a different vertical level than the load lock chambers 120 , 122 .
  • Load lock process chambers are adapted to carry out a process on a substrate 102 , and depending on the embodiment, may be accessible from only the transfer chamber 110 , or from both the transfer chamber 110 and the factory interface, as will be apparent from the following.
  • the process load lock apparatus 124 will be described in more detail below and comprises a combination of processing capability and pass-through capability at the “load lock location.”
  • “Load lock location” as used herein means a location physically located between the mainframe section 104 and the factory interface 106 .
  • Process chambers 114 , 116 , 118 and the one or more load lock process chambers of the process load lock apparatus 124 may be adapted to carry out any number of processes on the substrates 102 .
  • the processes carried out in process chambers 114 , 116 , 118 may be deposition, oxidation, nitration, etching, cleaning, lithography, or the like. Other processes may be carried out there, as well.
  • the processes carried out in the process load lock apparatus 124 may comprise at least one selected from a deposition process, an oxide removal process, a nitration process, an etching process, and an annealing process.
  • the process carried out in the load lock process chamber of the load lock apparatus 124 may be an oxide removal process, such as a copper oxide removal process.
  • the process may comprise a plasma-assisted process.
  • the process may include substrate heating, as well.
  • the process load lock apparatus 124 is adapted to interface with the factory interface 106 on one side and may receive substrates 102 removed from substrate carriers 126 (e.g., Front Opening Unified Pods (FOUPs)) docked at various load ports 125 of the factory interface 106 .
  • a load/unload robot 128 (shown as dotted) may be used to transfer substrates 102 between the substrate carriers 126 and the process load lock apparatus 124 , as shown by arrows. Any conventional robot type may be used for the load/unload robot 128 . Transfers may be carried out in any order or direction.
  • one or more conventional slit valves 130 may be provided at the entrance to each process chamber 114 , 116 , and 118 .
  • the process load lock apparatus 124 may include a first slit valve 132 on a first side adjacent to the factory interface 106 , and a second slit valve 134 on a second side adjacent to the transfer chamber 110 . Additional slit valves (not shown in FIG. 1 ) may be provided for the load lock process chambers.
  • the robot 112 provided in the transfer chamber 110 may include a base adapted to be attached to a wall (e.g., a floor) of the section housing 108 .
  • Robot 112 may include an upper arm 135 which, in the depicted embodiment, is a substantially rigid cantilever beam.
  • the upper arm 135 may be adapted to be independently rotated about the shoulder axis in either a clockwise or counterclockwise rotational direction.
  • the rotation about shoulder axis may be provided by any suitable motive member, such as upper arm drive motor that may be received in a motor housing (not shown) positioned outside of the transfer chamber 110 , such as a conventional variable reluctance or permanent magnet electric motor.
  • the rotation of the upper arm 135 may be controlled by suitable commands to the upper arm drive motor from a controller 136 .
  • the motor housing and base may be made integral with one another.
  • the base may be made integral with the floor of the transfer chamber 110 .
  • a forearm 137 Mounted and rotationally coupled at an outboard end of the upper arm 135 , at a radial position spaced from the shoulder axis, is a forearm 137 .
  • Forearm 137 may be adapted to be rotated in an X-Y plane relative to the upper arm 135 about an elbow axis at the radial position.
  • the forearm 137 may be independently rotatable in the X-Y plane relative to the base and the upper arm 135 by a forearm drive motor (not shown), which may be provided in a motor housing (also not shown).
  • Located on an outboard end of the forearm 137 at a position spaced from the elbow axis may be multiple wrist members 138 A, 138 B.
  • Wrist members 138 A, 138 B may each be adapted for independent rotation in the X-Y plane relative to the forearm 137 about a wrist axis.
  • the wrist members 138 A, 138 B are each adapted to couple to end effectors 140 A, 140 B (otherwise referred to as a “blades”), wherein the end effectors 140 A, 140 B are each adapted to carry and transport a substrate 102 during pick and/or place operations taking place in the process chambers 114 , 116 , 118 , load lock chambers 120 , 122 , and the load lock process chambers.
  • the end effectors 140 A, 140 B may be of any suitable construction.
  • the end effectors 140 A, 140 B may be coupled to the wrist members 138 A, 138 B by any suitable means such as mechanical fastening, adhering, clamping, and the like.
  • the respective wrist members 138 A, 138 B and end effectors 140 A, 140 B may be coupled to each other by being formed as one integral piece. Rotation of each wrist member 138 A, 138 B may be imparted by wrist drive motors that may located in a motor housing (not shown) that may be outside of the transfer chamber 110 .
  • the end effectors 140 A, 140 B may be inserted into each process chamber 114 , 116 , 118 as well as into each load lock chamber 120 , 122 .
  • end effectors 140 A, 140 B may be inserted into each process chamber of the process load lock apparatus 124 .
  • This described robot is referred to as an off-axis robot because it has the capability of inserting and retracting along a line of action that is horizontally offset from the shoulder axis of the respective robot 112 .
  • Other types of robots may be used to service such off-axis or twinned process chambers and load locks 120 , 122 such as the robot taught in U.S. Pat. No. 5,855,681, for example.
  • Other robots for servicing twinned chambers may be used.
  • the process load lock apparatus 124 may be used with other types of mainframe sections.
  • FIG. 2 illustrates details of a representative process load lock apparatus 124 according to embodiments.
  • Process load lock apparatus 124 includes a common body 242 of rigid material (e.g., aluminum) connectable to the factory interface 106 on a first side and to the section housing 108 of the mainframe section 104 on the other side, horizontally offset from the first side. Connection may be by way of a mechanical connection, such as by bolting or the like. The connection interfaces with the factory interface 106 and the section housing 108 may be sealed.
  • the common body 242 may be one integral piece of material.
  • the process load lock apparatus 124 includes a load lock chamber 244 adapted to be locatable between, coupled to, and accessed from the transfer chamber 110 of the mainframe section 104 and also from the factory interface 106 .
  • Load lock chamber 244 includes an entry 246 and an exit 248 , each having a respective slit valve 132 , 134 . Entry and exit as used herein are not conclusively indicative of direction, and the entry 246 may function as an exit in some embodiments. Likewise, the exit 248 may function as an entry in some embodiments. Accordingly, substrates 102 may pass through the load lock chamber 244 in either direction.
  • Slit valves 132 , 134 may include any suitable slit valve construction, such as taught in U.S. Pat. Nos. 6,173,938; 6,347,918; and 7,007,919. In some embodiments, the slit valves 132 , 134 may be an L-motion slit valve, for example.
  • the load lock chamber 244 may be of conventional construction, and may include one or more supports 250 adapted to allow one or more substrates 102 (shown dotted) to be placed and supported thereon by robots 112 , 128 , as well as removed therefrom by robots 112 , 128 ( FIG. 1 ). Substrates 102 placed on the one or more supports 250 are accessible by each robot 112 , 128 by extending the end effectors (e.g., end effectors 140 A, 140 B) and the end effectors of robot 128 (not shown) through the respective entry 246 and exit 248 . Supports 250 may be made of any suitable construction, such as pins, pedestals, slots, platforms, or the like. In some embodiments, a lift actuator 243 may be used to lift or lower the one or more supports 250 in the load lock chamber 244 .
  • the load lock chamber 244 may include a cooling chill plate 244 C, and may include a vacuum pump connected thereto.
  • the process load lock apparatus 124 also includes a load lock process chamber 252 .
  • Load lock process chamber 252 is located at a different vertical level than the load lock chamber 244 , wherein the load lock process chamber 252 is adapted to carry out a process on a substrate 102 that is placed therein by robot 112 in the depicted embodiment. In this manner, additional processing capability for the particular tool is provided at the load lock location, and substantial additional floor space is not needed to add the additional processing capability.
  • a remote plasma source 256 may provide plasma in a supplied gas remotely.
  • the plasma may be provided to a pre-chamber 251 via passage 249 , both of which may be a ceramic. Lid 251 L of pre-chamber 251 may be removable for servicing.
  • a showerhead 247 may separate the pre-chamber 251 and the process chamber 252 and may include many small distribution passages that function to evenly distribute the plasma to the process chamber 252 .
  • the plasma may undergo an ion filtering process described in U.S. Pat. No. 7,658,802 to Fu et al. by providing one or more magnets 245 that act on the plasma in the passage 249 .
  • Z-axis capability may be provided on the robot 112 in order to service the load lock chamber 244 , the process chambers 114 , 116 , 118 , and the load lock process chamber 252 .
  • Vertical Z-axis capability of up to about 200 mm may be provided by the robot 112 , and in some embodiments, a center-to-center vertical spacing between the load lock chamber 244 and the load lock process chamber 252 may be about 90 mm. Other dimension may be used.
  • Process chambers 114 , 116 , 118 may be located at a same vertical level as the load lock chamber 244 or at a level in between the level of the load lock chamber 244 and the level of the load lock process chamber 252 , for example. Other chamber location options may be used.
  • the load lock process chamber 252 is arranged and positioned vertically above the load lock chamber 244 .
  • the entryway is through an opening 254 communicating with the transfer chamber 110 of the mainframe section 104 .
  • a slit valve 133 may seal the opening 254 of the load lock process chamber 252 .
  • the slit valve 133 may be provided and may be of the type of slit valve discussed above.
  • the load lock process chamber 252 may have a single opening 254 that is only accessible from the transfer chamber 110 in some embodiments.
  • FIG. 3 provides an alternative embodiment of a process load lock apparatus 324 having a load lock chamber 344 and a load lock process chamber 352 located and positioned directly vertically above the load lock chamber 344 , but where multiple openings 354 A, 354 B are provided into the common body 342 of the load lock process chamber 352 .
  • Slit valves 133 A, 133 B may be provided at each opening 354 A, 354 B.
  • entry and exits 346 , 348 , as well as openings 354 A and 354 B may be used to transfer substrates 102 through between the transfer chamber 110 and the factory interface 106 .
  • pass-through capability is provided through the load lock process chamber 352 in the depicted embodiment.
  • the load lock process chamber 352 has a first opening 354 A adapted to couple to and be accessible from the factory interface 106 , and a second opening 354 B adapted to couple to and be accessible from the transfer chamber 110 of the mainframe section 104 .
  • Slit vales 333 A, 333 B may be provided at the first and second openings 354 A, 354 B.
  • the load lock process chambers 252 , 352 may each include a pedestal 253 , 353 upon which a substrate 102 to be processed may rest.
  • the pedestal 253 , 353 may be a stationary pedestal and may be heated in some embodiments, such as by a resistive heater formed therein (such as shown in FIGS. 4D and 4E ).
  • the load lock process chambers 252 , 352 may carry out a process on the substrate 102 .
  • the process carried out in the load lock process chambers 252 , 352 may be at least one selected from a group of processes consisting of a deposition process, an oxidation process, a nitration process, an annealing process, an etching process, and a cleaning process.
  • the process carried out in the load lock process chambers 252 , 352 may be an oxide removal process (e.g., a copper oxide removal process), or a halogen abatement process.
  • the process carried out is a plasma-assisted process.
  • an abatement process for removal of halogen-containing residues may take place in the load lock process chambers 252 , 352 .
  • abatement may be carried out to remove one or more of hydrogen bromide (HBr), chlorine (Cl 2 ), or carbon tetrafluoride (CF 4 ) from the substrate 102 .
  • HBr hydrogen bromide
  • Cl 2 chlorine
  • CF 4 carbon tetrafluoride
  • a suitable abatement process for removal of halogen-containing residues is taught in U.S. Pat. No. 8,293,016, for example, and may be carried out within the load lock process chambers 252 , 352 according to some embodiments.
  • the pressure level in the load lock process chambers 252 , 352 may be controlled, and in some instances evacuated by a coupled vacuum pump 255 (e.g., a turbo pump) to a suitable vacuum range suitable for carrying out the desired process.
  • a coupled vacuum pump 255 e.g., a turbo pump
  • the a base vacuum level may be maintained at a pressure of below about 1 ⁇ 10 ⁇ 2 mTorr, whereas processing pressure may be maintained in the range of about sub 10 mTorr to about sub Torr level.
  • Other vacuum pressures may be used.
  • the vacuum pump 255 may be connected to the load lock process chamber 252 , 352 .
  • a separate vacuum pump (not shown) may be pneumatically coupled to the load lock chambers 244 , 344 and may produce a vacuum therein.
  • the vacuum pump for the load lock chamber 244 , 344 may be the same as the vacuum pump for the process load lock chamber 252 , 352 .
  • one or more gases may be supplied to the load lock process chambers 252 , 352 to carry out the desired process.
  • Inert gasses, process gasses, or cleaning gases may be introduced.
  • inert gases such as nitrogen (N2), argon (Ar), or helium (He) may be introduced.
  • Inert gases may be used as carrier gases in some embodiments.
  • cleaning or process gases such as Hydrogen (H 2 ), Ammonia (NH 3 ), Oxygen (O 2 ), ozone (O 3 ), and the like may be supplied to the load lock process chambers 252 , 352 . Combinations of inert gases and cleaning or process gases may be supplied.
  • a copper oxide removal process may take place in the load lock process chambers 252 , 352 .
  • a suitable copper oxide removal process is described in U.S. Pat. No. 6,656,840 to Rajagapalan et al.
  • a plasma source 256 such as the remote plasma source shown, may be provided and coupled to the load lock process chambers 252 , 352 , as will be explained further below.
  • the other components of the FIG. 3 embodiment are the same as described in FIG. 2 .
  • electronic device processing system 100 may include more than one process load lock, such as one above each of the load lock chambers 120 , 122 .
  • the electronic device processing system 100 may comprise the first and second load lock processing chambers (e.g., see 452 A, 452 B of FIG. 4I-4M ) above the load lock chambers 120 , 122 , and arranged in a side-by-side arrangement.
  • the two load lock processing chambers e.g., 452 A, 452 B
  • FIGS. 4A-4P illustrates isometric and other views of another embodiment of the process load lock apparatus 424 .
  • Process load lock apparatus 424 includes a common body 442 having slit valve assembly 432 operable with load lock chambers 444 A, 444 B of the factory interface side, and a separate slit valve (not shown) operable with the load lock process chambers 452 A, 452 B, which are accessible from the transfer chamber 110 . Exits from the load lock chambers 444 A, 444 B may be provided on the other side and coupled to transfer chamber 110 . As discussed above, the load lock process chambers 452 A, 452 B may be located directly above the load lock chambers 444 A, 444 B. As shown in FIGS.
  • plasma sources 456 A, 456 B may be coupled to each of the process chambers 452 A, 452 B.
  • a gas e.g., H 2
  • Distribution channel 449 A, 449 B couple the respective load lock process chambers 452 A, 452 B to the remote plasma sources 456 A, 456 B.
  • a suitable vacuum pump 455 and control valve 457 may be provided underneath the common body 442 and may be used to generate a suitable vacuum within the various process chambers 452 A, 452 B for the particular process being carried out therein.
  • Control valve 457 may be a VAT651 or the like.
  • Vacuum pump may be a BOC Edwards ISO-200 Turbo pump or the like. Other control valves and vacuum pumps may be used. Vacuum levels as described above may be provided.
  • the slit valve assembly 432 is wide enough to seal both the first load lock chamber 444 A and the second load lock chamber 444 B simultaneously. In the FIG. 4A embodiment, the slit valve assembly 432 is shown in an open position. Similar slit valves are provided on the transfer chamber side 110 for the exits of the load lock chambers 444 A, 444 B and process chambers 452 A, 452 B.
  • the pedestal 453 may include a top plate 459 , which may be an aluminum material adapted to contact the substrate 102 .
  • the pedestal 453 may include a support 460 underneath the top plate 459 (which may also be aluminum) and which may include an internal resistive heater having resistive elements laid out in grooves in the support element 460 .
  • the resistive heater may heat the substrate 102 to a suitable processing temperature, such as between about 0 degrees C. and about 300 degrees C., or more.
  • the power input cables to the resistive heater may extend horizontally in a channel 461 and then may extend vertically downward through a heater port 462 ( FIGS.
  • Heater port 462 is offset from the center of the top plate 459 .
  • a suitable sealed pass through 463 may hermetically seal with the heater ports 462 .
  • Shown in the top plate 459 are multiple finger recesses 464 that are configured and adapted to receive fingers 471 (e.g., three or more fingers) below the surface thereof.
  • the fingers 471 of a lift assembly 472 ( FIGS. 4N and 4O ) are adapted to contact and lift the substrate 102 during substrate exchange with the robot 112 .
  • the fingers 471 may number three or more, for example. Fingers 471 may extend from a connecting portion, such as a lift frame 473 that is connected to the riser portion 470 by connection flange 467 .
  • FIG. 4E illustrates the common body 442 showing the multiple cutouts 465 A, 465 B for forming the side-by-side load lock process chambers 452 A, 452 B ( FIGS. 4K, 4L, and 4M ).
  • the common body 442 includes process chamber slots 454 A, 454 B located directly above load lock slots 448 A, 448 B. Slots 454 A, 454 B and 448 A, 448 B receive substrates 102 when loading and unloading.
  • lift passages 469 are formed for accepting the riser portion 470 of the lift assembly 472 as described herein.
  • the common body 442 includes cutout portions 465 A, 465 B forming portions of the load lock process chambers 452 A, 452 B that are arranged above the load lock chambers 444 A, 444 B, and the chamber top of the load lock chambers 444 A, 444 B and the chamber bottom of the load lock process chamber 452 A, 452 B are formed in the common body 442 .
  • FIG. 4F illustrates a partial isometric view of the common body 442 including a slot exit 446 leading to and from the load lock chamber 444 B from the factory interface side. Also shown is a chamber port 476 B, which connects to a pump port 478 , which may be a rectangular shaped port on the underside of the common body 442 , as shown in FIGS. 4G and 4H .
  • FIG. 4G illustrates cutouts 480 A, 480 B forming parts of the load lock chambers 444 A, 444 B in the common body 442 .
  • Pump port 478 connects to the chamber ports (e.g., 476 A, 476 B) of each of the process chamber 452 A, 452 B.
  • Chamber port 478 is adapted to couple to the vacuum pump 455 ( FIG. 4H ).
  • Heater ports 462 carry the electrical cables of the heater formed in the support 460 .
  • Lift passages 469 on respective ends of the common body 442 receive riser portions 470 of the lift assembly 472 .
  • Body recesses 481 provide surfaces adapted for mounting of the lift actuators 482 ( FIGS. 4M and 4O ).
  • Lift actuators 482 function to lift the riser portion 470 , which is interconnected to the fingers 471 thereby facilitating lifting of the substrate 102 during processing.
  • FIG. 4H illustrates the connection of the vacuum pump 455 to the common body 442 by an adapter 483 that transitions from the rectangular shape of the pump port 478 to the round shape of the pump 455 .
  • a high vacuum port 484 may be provided in the adapter 483 that is adapted to couple to a high vacuum pump (not shown) via conduits for processing requiring higher vacuum levels.
  • the pump port 478 interconnects internally to each of the load lock process chambers 452 A, 452 B.
  • FIGS. 4I and 4J illustrate the common body 442 into which the process chambers 452 A, 452 B reside.
  • FIG. 4I is a cross-sectioned view taken along section line 4 I- 4 I of FIG. 4J of the common body 442 and illustrates the interconnection and break out of the pump port 478 to the lower plenums of the chambers 452 A, 452 B.
  • FIG. 4K illustrates a top view of the common body 442 into which the process chambers 452 A, 452 B reside.
  • the cutouts 465 A, 465 B housing the chambers 452 A, 452 B have an elongated shape connecting to the heater port 462 and the lift passages 469 .
  • Undercut regions 468 A, 468 B of the cutouts 465 A, 465 B break out into the pump port 478 and provide internal interconnection passages for evacuation.
  • FIGS. 4L, 4N, 4O and 4P illustrate the lift assembly 472 in various views in accordance with another broad aspect of the invention capable of independent use.
  • Lift assembly 472 includes a lift frame 473 , which may be a hoop-shaped frame of an aluminum material.
  • the fingers 471 are coupled to the frame 473 and may be attached by suitable fasteners, such as screws or bolts, or made integral with the frame 473 .
  • the fingers 471 support the substrate 102 (as shown in the left chamber 452 A) and when the lift actuator 482 is actuated to an upper position by the riser portion 470 , this positions the substrate 102 to allow the end effector 140 A of the robot 112 to extract the substrate 102 from the process chamber 452 A.
  • Identical lift assemblies 472 operate with each process chamber 452 A, 452 B.
  • a containment ring 475 Mounted within the frame 473 is a containment ring 475 , which may be a quartz or alumina ring.
  • the containment ring 475 may function to reduce the impact of the geometry of the process chamber slots 454 A, 454 B on the plasma process taking place within the load lock process chambers 452 A, 452 B, thus providing improved uniformity.
  • the containment ring 475 extends between the pedestal 453 and the showerhead 247 and fills the vertical gap there between.
  • a radial gap of about 3 mm may be provided between the periphery of the pedestal 453 and an inner diameter of the containment ring 475 . Other gaps may be used.
  • Containment ring 475 may be annular in shape, and may rest in a pocket formed in the frame 473 .
  • the containment ring 475 substantially surrounds the load lock process chamber 452 A when the plasma-assisted process is taking place in the load lock process chamber 452 A.
  • An identical containment ring 475 may be provided in load lock process chamber 452 B.
  • the containment ring 475 moves and is received in annular shaped upper pocket 477 ( FIG. 4B ) radially outward from the showerhead 247 .
  • the ring 475 comprises a moveable containment ring.
  • FIG. 4M illustrates a representative cross-section of the load lock apparatus 424 illustrating the process chambers 452 A, 452 B, the load lock chambers 444 A, 444 B, and other components.
  • the lift assembly 472 On load lock process chamber 452 B, the lift assembly 472 is shown positioned in the upper position for exchange. Note that the containment ring 475 is lifted above the process chamber slot 454 B so as not to impede substrate exchange in the load lock process chamber 452 B.
  • the left load lock process chamber 452 A illustrates the lift assembly 472 in the lower position with the fingers 471 received through the finger recesses 464 .
  • Lower lift assemblies 472 are also shown including bellows 466 , lower lift actuators 243 , supports 450 , and cool down platforms 444 C.
  • FIG. 4P illustrates another representative view of the lift assembly 472 and other components.
  • a method 500 of processing substrates includes, in 502 , providing a mainframe section (e.g., mainframe section 104 ) including a robot (e.g., 112 ), and, in 504 , providing a factory interface (e.g., factory interface 106 ) adjacent to the mainframe section (e.g., mainframe section 104 ) adapted to receive substrates (e.g., substrates 102 ) from load ports (e.g., from substrate carriers 126 docked at load ports 125 ).
  • a factory interface e.g., factory interface 106
  • the method 500 further includes, in 506 , providing a process load lock apparatus (e.g., process load lock apparatus 124 , 324 , or 424 ) located between the mainframe (e.g., mainframe section 104 ) and the factory interface (factory interface 106 ), the process load lock apparatus (e.g., process load lock apparatus 124 , 324 , or 424 ) having a load lock chamber (e.g., load lock chamber 120 , 122 , 244 , 344 , 444 A, or 444 B) coupled between the mainframe section (e.g., mainframe section 104 ) and the factory interface (e.g., factory interface 106 ), at a first level (e.g., a lower level), and a load lock process chamber (e.g., 252 , 352 , 452 A, or 452 B) at a second different level (e.g., a level above the load lock chamber 120 , 122 , 244 , 344 ,
  • the method 500 includes carrying out a process on a substrate (e.g., substrate 102 ) in the load lock process chamber (e.g., 252 , 3352 , 452 A, or 452 B).
  • the process carried out may be a plasma-assisted process, wherein RF pulses having a power of less than about 1,000 W are provided.
  • the process may be an oxide removal process, such as a copper oxide removal process.
  • the process carried out may be a deposition process, an oxidation process, a nitration process, an etching process, or an annealing process.
  • the process may be a pre-cleaning process including hydrogen radicals passing through a showerhead (e.g., showerhead 247 ).
  • the process may be a plasma-assisted abatement process.
  • a substrate 102 may be transferred from a substrate carrier 126 docked at a load port 125 of the factory interface 106 by load/unload robot 128 .
  • the substrate 102 may be placed in the load lock chamber (e.g., 120 , 122 , 244 , 344 , or 444 A, 444 B), the slit valve 132 closed, and the load lock chamber may be drawn down to the appropriate vacuum level of the transfer chamber 110 with a conventional vacuum pump not shown.
  • the load lock chamber e.g., 120 , 122 , 244 , 344 , or 444 A, 444 B
  • the slit valve 134 may then be opened and the end effector 140 A of the robot 112 (only a portion shown) may then extract the substrate 102 from the load lock chamber (e.g., 120 , 122 , 244 , 344 , or 444 A, 444 B), and raise the end effector 140 A up to the level of the load lock process chamber (e.g., 252 , 352 , 452 A, 452 B) where the substrate 102 is inserted on the lift assembly (e.g., 272 , 472 ) and then lowered onto the pedestal (e.g., 253 , 353 , 453 ).
  • the load lock chamber e.g., 120 , 122 , 244 , 344 , or 444 A, 444 B
  • the end effector 140 A up to the level of the load lock process chamber e.g., 252 , 352 , 452 A, 452 B
  • the substrate 102 is inserted on the lift assembly (e.g
  • the slit valve 133 may then close, and a suitable vacuum for the process may be applied via vacuum pump 255 , 455 through common pump port 478 formed in the common body (e.g., 242 , 342 , 442 ).
  • a suitable vacuum for the process may be applied via vacuum pump 255 , 455 through common pump port 478 formed in the common body (e.g., 242 , 342 , 442 ).
  • the substrate 102 may undergo a plasma-assisted process wherein the plasma may be contained by the containment ring (e.g., 475 ).
  • slit valve 133 may be opened and the substrate 102 may be removed from the process chamber (e.g., 252 , 352 , 452 A, 452 B) and may be transferred by robot 112 to undergo one or more additional processes at one or more of the other process chambers 114 , 116 , 118 .
  • the process at one or more of the process chambers may take place first with subsequent transfer and processing at the process chamber (e.g., 252 , 352 , 452 A, 452 B) thereafter.

Abstract

A substrate lift assembly is disclosed. The substrate lift assembly includes a lift frame, a plurality of fingers extending from the frame, the fingers adapted to support a substrate, and a containment ring supported by the lift frame. Process load locks including the substrate lift assembly are disclosed, as are other aspects.

Description

    RELATED APPLICATIONS
  • The present application claims priority to, and is a continuation of, U.S. Non-provisional application Ser. No. 14/203,098 filed Mar. 10, 2014, entitled “PROCESS LOAD LOCK APPARATUS, LIFT ASSEMBLIES, ELECTRONIC DEVICE PROCESSING SYSTEMS, AND METHODS OF PROCESSING SUBSTRATES IN LOAD LOCK LOCATIONS” (Attorney Docket No. 20364), which claims priority to U.S. Provisional Application 61/786,990 filed Mar. 15, 2013, and entitled “PROCESS LOAD LOCK APPARATUS, LIFT ASSEMBLIES, ELECTRONIC DEVICE PROCESSING SYSTEMS, AND METHODS OF PROCESSING SUBSTRATES IN LOAD LOCK LOCATIONS” (Attorney Docket No. 20364/L), both of which are hereby incorporated by reference herein for all purposes.
  • FIELD
  • The present invention relates generally to electronic device manufacturing, and more specifically to substrate lift assemblies thereof.
  • BACKGROUND
  • Conventional electronic device manufacturing systems may include multiple process chambers and one or more load lock chambers surrounding a transfer chamber. These electronic device manufacturing systems may employ a transfer robot that may be housed within the transfer chamber, and which is adapted to transport substrates between the various process chambers and load lock chambers.
  • In order to add additional processes desired for certain electronic devices (e.g., substrate) manufacture, or to add additional processes within a particular tool, in other embodiments, two mainframe sections may be linked together with one or more pass-through chambers. Substrates may be passed through between the mainframe sections through the pass through chambers. The two mainframe sections may be operated at two different vacuum levels in some embodiments and different or additional processes may take place in the second mainframe section.
  • A factory interface, sometimes referred to as an equipment front end module, may be provided to load substrates into and out of the load lock chambers coupled to the first mainframe section. However, adding an additional mainframe section is at the expense of added complexity, and may require extra floor space, that may not always be available. Accordingly, improved apparatus, systems, and methods enabling higher throughput and ease of adding processing capacity are desired.
  • SUMMARY
  • In a first aspect, a substrate lift assembly is provided. The substrate lift assembly includes a lift frame, a plurality of fingers extending from the frame, the fingers adapted to support a substrate, and a containment ring supported by the lift frame.
  • According to another aspect, a substrate lift assembly is provided. The substrate lift assembly includes a lift frame including a hoop portion including a pocket and a connection flange, a plurality of horizontally extending fingers extending from the frame, the horizontally extending fingers positioned below the lift frame, a containment ring supported in a pocket formed in the lift frame, a riser portion coupled to the connection flange, and a lift actuator operably connected to riser portion.
  • In another aspect, a substrate lift assembly is provided. The substrate lift assembly includes a lift frame including a hoop portion with a pocket formed therein, and a connection flange coupled to the hoop portion, a plurality of fingers extending from the lift frame, the plurality of fingers extending horizontally inward and positioned below the lift frame, a containment ring comprising a cylindrical hoop of alumina or quartz material supported in the pocket, the containment ring extending above a top surface of the lift frame, a riser portion coupled to the connection flange, and a lift actuator operably connected to riser portion.
  • Numerous other features are provided in accordance with these and other aspects of the invention. Other features and aspects of the present invention will become more fully apparent from the following detailed description, the appended claims and the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a schematic top view of a substrate processing system including a process load lock apparatus wherein additional processing capability is provided at the load lock location according to embodiments.
  • FIG. 2 illustrates a cross-sectioned side view of a process load lock apparatus according to embodiments.
  • FIG. 3 illustrates a cross-sectioned side view of another process load lock apparatus according to embodiments.
  • FIG. 4A illustrates an isometric view of a process load lock apparatus according to embodiments.
  • FIG. 4B illustrates a cross-sectioned side view of a single processing chamber and components of a process load lock apparatus according to embodiments.
  • FIGS. 4C and 4D illustrate isometric and cross-sectioned isometric views, respectively, of a heated pedestal of a process load lock apparatus according to embodiments.
  • FIGS. 4E and 4F illustrate isometric and partial isometric views, respectively, of a common body of a process load lock apparatus according to embodiments.
  • FIG. 4G illustrates isometric view of an underside of a common body of a process load lock apparatus according to embodiments.
  • FIG. 4H illustrates a cross-sectioned isometric view of the common body and connection to a vacuum pump of a load process lock apparatus according to embodiments.
  • FIG. 4I illustrates a cross-sectioned top view of the common body of a process load lock apparatus according to embodiments.
  • FIG. 4J illustrates a front plan view of a common body of a process load lock apparatus viewed from the transfer chamber side according to embodiments.
  • FIG. 4K illustrates a top plan view of the common body of a process load lock apparatus according to embodiments.
  • FIG. 4L illustrates a top plan view of the common body of a process load lock apparatus with the pedestals and lift assemblies installed, and with the lids and remote plasma sources removed, according to embodiments.
  • FIG. 4M illustrates a cross-sectioned side view of the process load lock apparatus according to embodiments.
  • FIG. 4N illustrates a side view of a lift assembly according to embodiments.
  • FIG. 4O illustrates an isometric view of a portion of a lift assembly including a frame and a containment ring according to embodiments.
  • FIG. 4P illustrates an isometric view of the process load lock apparatus, with the lids and remote plasma sources removed, according to embodiments.
  • FIG. 5 illustrates a flowchart depicting a method of processing substrates in a process load lock apparatus according to embodiments.
  • DESCRIPTION
  • Electronic device manufacturing may desire not only very precise and rapid transport of substrates between various locations, i.e., high throughput provided by precise and rapid motions, but may also desire additional processing capability to be added within a fixed space (e.g., floor space) envelope.
  • In some systems, as described above, mainframe sections have been linked together to enlarge the number of process chambers that may be available at a particular tool. For example, dual mainframe tools (sometimes referred to as “dual buffer tools”) have been developed, wherein a first mainframe section and a second mainframe section are coupled together by one or more pass-through chambers. The one or more pass-through chambers are used to pass substrates back and forth between the two adjacent mainframe sections. The pass-through chambers typically have slit valves on either side to isolate the two mainframe sections, which may be operated at different vacuum levels in some cases, for example.
  • However, although the addition of a second mainframe section provides additional process capability, this is at the expense of system complexity and size (i.e., additional large floor footprint), which may be quite limited in some applications, especially retrofit applications. In some instances, additional process capability may be desired, yet enlarging the number of additional mainframe sections may be difficult or impossible for reasons of lack of floor space. Accordingly, substrate processing systems having increased processing capability, yet without substantially increased floor space footprint are desired.
  • In order to provide increased process capability in a substrate processing system without substantially increasing the floor space footprint of the substrate processing system, according to one or more embodiments of the present invention, an improved substrate processing apparatus and system is provided. The additional process capability is provided in accordance with one or more embodiments of the present invention by providing additional processing chambers at a location of the one or more load lock apparatus. Process load lock apparatus, i.e., apparatus having both load lock functionality combined with process capability at the load lock location are described and provided herein. In one or more embodiments, a load lock process chamber is provided at a different level (e.g., vertically above) the load lock chamber that is adapted to pass substrates between a factory interface to a mainframe section that houses a transfer robot and which has conventional process chambers coupled thereto.
  • Substrate lift assemblies are also described. The substrate lift assemblies include a lift frame, a plurality of fingers extending from the frame, the fingers adapted to support a substrate, and a containment ring supported by the lift frame.
  • Further details of examples of various embodiments of the invention are described with reference to FIGS. 1-5 herein.
  • Referring now to FIG. 1, an example of an electronic device processing system 100 according to embodiments of the present invention is disclosed. The electronic device processing system 100 is useful to carry out one or more processes on a substrate 102. The substrate 102 may be a silicon wafer, which may be an electronic device precursor such as an incomplete semiconductor wafer having a plurality of incomplete chips formed thereon. In some cases, the substrate 102 may have a mask thereon.
  • In the depicted embodiment, the electronic device processing system 100 includes a mainframe section 104 provided adjacent to a factory interface 106. The mainframe section 104 includes a section housing 108 and includes a transfer chamber 110 therein. The section housing 108 may include a number of vertical side walls, which may be defined by chamber facets. In the depicted embodiment, the section housing 108 includes twined chamber facets, wherein the facets on each side wall are substantially parallel or slightly misaligned relative to the facet, i.e., the entry directions into the respective twinned chambers that are coupled to the facets are substantially co-parallel. However, the line of entry into the respective chambers is not through a shoulder axis of the robot 112. The transfer chamber 110 is defined by the side walls thereof, as well as top and bottom walls and may be maintained at a vacuum, for example. The vacuum level for the transfer chamber 110 may be between about 0.01 Torr and about 80 Torr, for example.
  • The robot 112 is received in the transfer chamber 110 and includes multiple arms and one or more end effectors that are adapted to be operable therein. The robot 112 may be adapted to pick or place substrates 102 (e.g., the “wafers” are shown in FIG. 1 as circles) to or from a destination. The destination may be any chamber physically coupled to the transfer chamber 110. For example, the destination may be one or more first process chambers 114 coupled to the section housing 108 and accessible from the transfer chamber 110, one or more second process chambers 116 coupled to the section housing 108 and accessible from the transfer chamber 110, or one or more third process chambers 118 coupled to the section housing 108 and accessible from the transfer chamber 110. A same or different process may take place on each facet. The destination may also be one or more load lock chambers 120, 122 of a process load lock apparatus 124 in accordance with one or more embodiments of the present invention. The destinations are shown as dotted circles.
  • Process load lock apparatus 124 is adapted to be located between, coupled to, and accessed from the mainframe section 104 and the factory interface 106. The load lock chambers 120, 122 are coupled to the section housing 108 and factory interface 106 and are accessible from both the transfer chamber 110 and the factory interface 106. The process load lock apparatus 124 also includes one or more load lock process chambers that reside at, and are located at, a different vertical level than the load lock chambers 120, 122. Load lock process chambers are adapted to carry out a process on a substrate 102, and depending on the embodiment, may be accessible from only the transfer chamber 110, or from both the transfer chamber 110 and the factory interface, as will be apparent from the following.
  • The process load lock apparatus 124 will be described in more detail below and comprises a combination of processing capability and pass-through capability at the “load lock location.” “Load lock location” as used herein means a location physically located between the mainframe section 104 and the factory interface 106. Process chambers 114, 116, 118 and the one or more load lock process chambers of the process load lock apparatus 124 may be adapted to carry out any number of processes on the substrates 102.
  • The processes carried out in process chambers 114, 116, 118 may be deposition, oxidation, nitration, etching, cleaning, lithography, or the like. Other processes may be carried out there, as well. The processes carried out in the process load lock apparatus 124 may comprise at least one selected from a deposition process, an oxide removal process, a nitration process, an etching process, and an annealing process. In one or more embodiments, the process carried out in the load lock process chamber of the load lock apparatus 124 may be an oxide removal process, such as a copper oxide removal process. In another aspect, the process may comprise a plasma-assisted process. Moreover, the process may include substrate heating, as well. These and other aspects and embodiments are detailed below.
  • The process load lock apparatus 124 is adapted to interface with the factory interface 106 on one side and may receive substrates 102 removed from substrate carriers 126 (e.g., Front Opening Unified Pods (FOUPs)) docked at various load ports 125 of the factory interface 106. A load/unload robot 128 (shown as dotted) may be used to transfer substrates 102 between the substrate carriers 126 and the process load lock apparatus 124, as shown by arrows. Any conventional robot type may be used for the load/unload robot 128. Transfers may be carried out in any order or direction.
  • As shown in FIG. 1, one or more conventional slit valves 130 may be provided at the entrance to each process chamber 114, 116, and 118. The process load lock apparatus 124 may include a first slit valve 132 on a first side adjacent to the factory interface 106, and a second slit valve 134 on a second side adjacent to the transfer chamber 110. Additional slit valves (not shown in FIG. 1) may be provided for the load lock process chambers.
  • Again referring to FIG. 1, the robot 112 provided in the transfer chamber 110 may include a base adapted to be attached to a wall (e.g., a floor) of the section housing 108. Robot 112 may include an upper arm 135 which, in the depicted embodiment, is a substantially rigid cantilever beam. The upper arm 135 may be adapted to be independently rotated about the shoulder axis in either a clockwise or counterclockwise rotational direction. The rotation about shoulder axis may be provided by any suitable motive member, such as upper arm drive motor that may be received in a motor housing (not shown) positioned outside of the transfer chamber 110, such as a conventional variable reluctance or permanent magnet electric motor. The rotation of the upper arm 135 may be controlled by suitable commands to the upper arm drive motor from a controller 136. In some embodiments, the motor housing and base may be made integral with one another. In other embodiments, the base may be made integral with the floor of the transfer chamber 110.
  • Mounted and rotationally coupled at an outboard end of the upper arm 135, at a radial position spaced from the shoulder axis, is a forearm 137. Forearm 137 may be adapted to be rotated in an X-Y plane relative to the upper arm 135 about an elbow axis at the radial position. The forearm 137 may be independently rotatable in the X-Y plane relative to the base and the upper arm 135 by a forearm drive motor (not shown), which may be provided in a motor housing (also not shown).
  • Located on an outboard end of the forearm 137 at a position spaced from the elbow axis may be multiple wrist members 138A, 138B. Wrist members 138A, 138B may each be adapted for independent rotation in the X-Y plane relative to the forearm 137 about a wrist axis. Furthermore, the wrist members 138A, 138B are each adapted to couple to end effectors 140A, 140B (otherwise referred to as a “blades”), wherein the end effectors 140A, 140B are each adapted to carry and transport a substrate 102 during pick and/or place operations taking place in the process chambers 114, 116, 118, load lock chambers 120, 122, and the load lock process chambers. The end effectors 140A, 140B may be of any suitable construction. The end effectors 140A, 140B may be coupled to the wrist members 138A, 138B by any suitable means such as mechanical fastening, adhering, clamping, and the like. Optionally, the respective wrist members 138A, 138B and end effectors 140A, 140B may be coupled to each other by being formed as one integral piece. Rotation of each wrist member 138A, 138B may be imparted by wrist drive motors that may located in a motor housing (not shown) that may be outside of the transfer chamber 110.
  • In the depicted embodiment, the end effectors 140A, 140B may be inserted into each process chamber 114, 116, 118 as well as into each load lock chamber 120, 122. Likewise, end effectors 140A, 140B may be inserted into each process chamber of the process load lock apparatus 124. This described robot is referred to as an off-axis robot because it has the capability of inserting and retracting along a line of action that is horizontally offset from the shoulder axis of the respective robot 112. Other types of robots may be used to service such off-axis or twinned process chambers and load locks 120, 122 such as the robot taught in U.S. Pat. No. 5,855,681, for example. Other robots for servicing twinned chambers may be used. Further, it should be recognized that the process load lock apparatus 124 may be used with other types of mainframe sections.
  • FIG. 2 illustrates details of a representative process load lock apparatus 124 according to embodiments. Process load lock apparatus 124 includes a common body 242 of rigid material (e.g., aluminum) connectable to the factory interface 106 on a first side and to the section housing 108 of the mainframe section 104 on the other side, horizontally offset from the first side. Connection may be by way of a mechanical connection, such as by bolting or the like. The connection interfaces with the factory interface 106 and the section housing 108 may be sealed. The common body 242 may be one integral piece of material.
  • The process load lock apparatus 124 includes a load lock chamber 244 adapted to be locatable between, coupled to, and accessed from the transfer chamber 110 of the mainframe section 104 and also from the factory interface 106. Load lock chamber 244 includes an entry 246 and an exit 248, each having a respective slit valve 132, 134. Entry and exit as used herein are not conclusively indicative of direction, and the entry 246 may function as an exit in some embodiments. Likewise, the exit 248 may function as an entry in some embodiments. Accordingly, substrates 102 may pass through the load lock chamber 244 in either direction. Slit valves 132, 134 may include any suitable slit valve construction, such as taught in U.S. Pat. Nos. 6,173,938; 6,347,918; and 7,007,919. In some embodiments, the slit valves 132, 134 may be an L-motion slit valve, for example.
  • The load lock chamber 244 may be of conventional construction, and may include one or more supports 250 adapted to allow one or more substrates 102 (shown dotted) to be placed and supported thereon by robots 112, 128, as well as removed therefrom by robots 112, 128 (FIG. 1). Substrates 102 placed on the one or more supports 250 are accessible by each robot 112, 128 by extending the end effectors (e.g., end effectors 140A, 140B) and the end effectors of robot 128 (not shown) through the respective entry 246 and exit 248. Supports 250 may be made of any suitable construction, such as pins, pedestals, slots, platforms, or the like. In some embodiments, a lift actuator 243 may be used to lift or lower the one or more supports 250 in the load lock chamber 244. The load lock chamber 244 may include a cooling chill plate 244C, and may include a vacuum pump connected thereto.
  • The process load lock apparatus 124 also includes a load lock process chamber 252. Load lock process chamber 252 is located at a different vertical level than the load lock chamber 244, wherein the load lock process chamber 252 is adapted to carry out a process on a substrate 102 that is placed therein by robot 112 in the depicted embodiment. In this manner, additional processing capability for the particular tool is provided at the load lock location, and substantial additional floor space is not needed to add the additional processing capability.
  • In some embodiments, a remote plasma source 256 may provide plasma in a supplied gas remotely. The plasma may be provided to a pre-chamber 251 via passage 249, both of which may be a ceramic. Lid 251L of pre-chamber 251 may be removable for servicing. A showerhead 247 may separate the pre-chamber 251 and the process chamber 252 and may include many small distribution passages that function to evenly distribute the plasma to the process chamber 252. In some embodiments, the plasma may undergo an ion filtering process described in U.S. Pat. No. 7,658,802 to Fu et al. by providing one or more magnets 245 that act on the plasma in the passage 249.
  • Z-axis capability may be provided on the robot 112 in order to service the load lock chamber 244, the process chambers 114, 116, 118, and the load lock process chamber 252. Vertical Z-axis capability of up to about 200 mm may be provided by the robot 112, and in some embodiments, a center-to-center vertical spacing between the load lock chamber 244 and the load lock process chamber 252 may be about 90 mm. Other dimension may be used. Process chambers 114, 116, 118 may be located at a same vertical level as the load lock chamber 244 or at a level in between the level of the load lock chamber 244 and the level of the load lock process chamber 252, for example. Other chamber location options may be used.
  • In the depicted embodiment, the load lock process chamber 252 is arranged and positioned vertically above the load lock chamber 244. In the depicted embodiment, the entryway is through an opening 254 communicating with the transfer chamber 110 of the mainframe section 104. In the depicted embodiment, a slit valve 133 may seal the opening 254 of the load lock process chamber 252. The slit valve 133 may be provided and may be of the type of slit valve discussed above. The load lock process chamber 252 may have a single opening 254 that is only accessible from the transfer chamber 110 in some embodiments.
  • The embodiment of FIG. 3 provides an alternative embodiment of a process load lock apparatus 324 having a load lock chamber 344 and a load lock process chamber 352 located and positioned directly vertically above the load lock chamber 344, but where multiple openings 354A, 354B are provided into the common body 342 of the load lock process chamber 352. Slit valves 133A, 133B may be provided at each opening 354A, 354B. Thus, entry and exits 346, 348, as well as openings 354A and 354B may be used to transfer substrates 102 through between the transfer chamber 110 and the factory interface 106. Thus, pass-through capability is provided through the load lock process chamber 352 in the depicted embodiment. The load lock process chamber 352 has a first opening 354A adapted to couple to and be accessible from the factory interface 106, and a second opening 354B adapted to couple to and be accessible from the transfer chamber 110 of the mainframe section 104. Slit vales 333A, 333B may be provided at the first and second openings 354A, 354B.
  • Now referring to both FIGS. 2 and 3, the load lock process chambers 252, 352 may each include a pedestal 253, 353 upon which a substrate 102 to be processed may rest. The pedestal 253, 353 may be a stationary pedestal and may be heated in some embodiments, such as by a resistive heater formed therein (such as shown in FIGS. 4D and 4E). The load lock process chambers 252, 352 may carry out a process on the substrate 102. In particular, the process carried out in the load lock process chambers 252, 352 may be at least one selected from a group of processes consisting of a deposition process, an oxidation process, a nitration process, an annealing process, an etching process, and a cleaning process. In other embodiments, the process carried out in the load lock process chambers 252, 352 may be an oxide removal process (e.g., a copper oxide removal process), or a halogen abatement process. In some embodiments, the process carried out is a plasma-assisted process.
  • For example, an abatement process for removal of halogen-containing residues may take place in the load lock process chambers 252, 352. For example, abatement may be carried out to remove one or more of hydrogen bromide (HBr), chlorine (Cl2), or carbon tetrafluoride (CF4) from the substrate 102. A suitable abatement process for removal of halogen-containing residues is taught in U.S. Pat. No. 8,293,016, for example, and may be carried out within the load lock process chambers 252, 352 according to some embodiments.
  • The pressure level in the load lock process chambers 252, 352 may be controlled, and in some instances evacuated by a coupled vacuum pump 255 (e.g., a turbo pump) to a suitable vacuum range suitable for carrying out the desired process. For example, the a base vacuum level may be maintained at a pressure of below about 1×10−2 mTorr, whereas processing pressure may be maintained in the range of about sub 10 mTorr to about sub Torr level. Other vacuum pressures may be used. Thus, it should be recognized that the vacuum pump 255 may be connected to the load lock process chamber 252, 352. A separate vacuum pump (not shown) may be pneumatically coupled to the load lock chambers 244, 344 and may produce a vacuum therein. In some embodiments, the vacuum pump for the load lock chamber 244, 344 may be the same as the vacuum pump for the process load lock chamber 252, 352.
  • Additionally, one or more gases may be supplied to the load lock process chambers 252, 352 to carry out the desired process. Inert gasses, process gasses, or cleaning gases may be introduced. For example, inert gases such as nitrogen (N2), argon (Ar), or helium (He) may be introduced. Inert gases may be used as carrier gases in some embodiments. Similarly, cleaning or process gases such as Hydrogen (H2), Ammonia (NH3), Oxygen (O2), ozone (O3), and the like may be supplied to the load lock process chambers 252, 352. Combinations of inert gases and cleaning or process gases may be supplied.
  • In another embodiment, a copper oxide removal process may take place in the load lock process chambers 252, 352. A suitable copper oxide removal process is described in U.S. Pat. No. 6,656,840 to Rajagapalan et al. In some processes, a plasma source 256, such as the remote plasma source shown, may be provided and coupled to the load lock process chambers 252, 352, as will be explained further below. The other components of the FIG. 3 embodiment are the same as described in FIG. 2.
  • Again referring to FIG. 1, electronic device processing system 100 may include more than one process load lock, such as one above each of the load lock chambers 120, 122. In particular, the electronic device processing system 100 may comprise the first and second load lock processing chambers (e.g., see 452A, 452B of FIG. 4I-4M) above the load lock chambers 120, 122, and arranged in a side-by-side arrangement. The two load lock processing chambers (e.g., 452A, 452B) may be identical to that disclosed in FIG. 2 or FIG. 3, and may be substantially identical mirror images of one another, as will be apparent from the following.
  • FIGS. 4A-4P illustrates isometric and other views of another embodiment of the process load lock apparatus 424. Process load lock apparatus 424 includes a common body 442 having slit valve assembly 432 operable with load lock chambers 444A, 444B of the factory interface side, and a separate slit valve (not shown) operable with the load lock process chambers 452A, 452B, which are accessible from the transfer chamber 110. Exits from the load lock chambers 444A, 444B may be provided on the other side and coupled to transfer chamber 110. As discussed above, the load lock process chambers 452A, 452B may be located directly above the load lock chambers 444A, 444B. As shown in FIGS. 4A, 4B and 4M, plasma sources 456A, 456B may be coupled to each of the process chambers 452A, 452B. In the depicted embodiments, a gas (e.g., H2) may be supplied at an inlet 458A, 458B to the remote plasma sources 456A, 456B. Distribution channel 449A, 449B couple the respective load lock process chambers 452A, 452B to the remote plasma sources 456A, 456B.
  • A suitable vacuum pump 455 and control valve 457 (FIG. 4A) may be provided underneath the common body 442 and may be used to generate a suitable vacuum within the various process chambers 452A, 452B for the particular process being carried out therein. Control valve 457 may be a VAT651 or the like. Vacuum pump may be a BOC Edwards ISO-200 Turbo pump or the like. Other control valves and vacuum pumps may be used. Vacuum levels as described above may be provided. As shown in FIG. 4A, the slit valve assembly 432 is wide enough to seal both the first load lock chamber 444A and the second load lock chamber 444B simultaneously. In the FIG. 4A embodiment, the slit valve assembly 432 is shown in an open position. Similar slit valves are provided on the transfer chamber side 110 for the exits of the load lock chambers 444A, 444B and process chambers 452A, 452B.
  • Referring now to FIGS. 4C and 4D, a pedestal 453 is shown in detail. The pedestal 453 may include a top plate 459, which may be an aluminum material adapted to contact the substrate 102. The pedestal 453 may include a support 460 underneath the top plate 459 (which may also be aluminum) and which may include an internal resistive heater having resistive elements laid out in grooves in the support element 460. The resistive heater may heat the substrate 102 to a suitable processing temperature, such as between about 0 degrees C. and about 300 degrees C., or more. The power input cables to the resistive heater may extend horizontally in a channel 461 and then may extend vertically downward through a heater port 462 (FIGS. 4E, 4F, 4G, 4I, and 4K) formed in the common body 442. Heater port 462 is offset from the center of the top plate 459. A suitable sealed pass through 463 may hermetically seal with the heater ports 462. Shown in the top plate 459 are multiple finger recesses 464 that are configured and adapted to receive fingers 471 (e.g., three or more fingers) below the surface thereof. The fingers 471 of a lift assembly 472 (FIGS. 4N and 4O) are adapted to contact and lift the substrate 102 during substrate exchange with the robot 112. The fingers 471 may number three or more, for example. Fingers 471 may extend from a connecting portion, such as a lift frame 473 that is connected to the riser portion 470 by connection flange 467.
  • FIG. 4E illustrates the common body 442 showing the multiple cutouts 465A, 465B for forming the side-by-side load lock process chambers 452A, 452B (FIGS. 4K, 4L, and 4M). The common body 442 includes process chamber slots 454A, 454B located directly above load lock slots 448A, 448B. Slots 454A, 454B and 448A, 448B receive substrates 102 when loading and unloading. On a side of the cutouts adjacent to the ends of the common body 442, lift passages 469 (see also FIG. 4G) are formed for accepting the riser portion 470 of the lift assembly 472 as described herein. The common body 442 includes cutout portions 465A, 465B forming portions of the load lock process chambers 452A, 452B that are arranged above the load lock chambers 444A, 444B, and the chamber top of the load lock chambers 444A, 444B and the chamber bottom of the load lock process chamber 452A, 452B are formed in the common body 442.
  • FIG. 4F illustrates a partial isometric view of the common body 442 including a slot exit 446 leading to and from the load lock chamber 444B from the factory interface side. Also shown is a chamber port 476B, which connects to a pump port 478, which may be a rectangular shaped port on the underside of the common body 442, as shown in FIGS. 4G and 4H.
  • FIG. 4G illustrates cutouts 480A, 480B forming parts of the load lock chambers 444A, 444B in the common body 442. Pump port 478 connects to the chamber ports (e.g., 476A, 476B) of each of the process chamber 452A, 452B. Chamber port 478 is adapted to couple to the vacuum pump 455 (FIG. 4H). Heater ports 462 carry the electrical cables of the heater formed in the support 460. Lift passages 469 on respective ends of the common body 442 receive riser portions 470 of the lift assembly 472. Body recesses 481 provide surfaces adapted for mounting of the lift actuators 482 (FIGS. 4M and 4O). Lift actuators 482 function to lift the riser portion 470, which is interconnected to the fingers 471 thereby facilitating lifting of the substrate 102 during processing.
  • FIG. 4H illustrates the connection of the vacuum pump 455 to the common body 442 by an adapter 483 that transitions from the rectangular shape of the pump port 478 to the round shape of the pump 455. Also provided in the adapter 483 may be a high vacuum port 484 that is adapted to couple to a high vacuum pump (not shown) via conduits for processing requiring higher vacuum levels. Within the common body 442, the pump port 478 interconnects internally to each of the load lock process chambers 452A, 452B.
  • FIGS. 4I and 4J illustrate the common body 442 into which the process chambers 452A, 452B reside. FIG. 4I is a cross-sectioned view taken along section line 4I-4I of FIG. 4J of the common body 442 and illustrates the interconnection and break out of the pump port 478 to the lower plenums of the chambers 452A, 452B.
  • FIG. 4K illustrates a top view of the common body 442 into which the process chambers 452A, 452B reside. The cutouts 465A, 465B housing the chambers 452A, 452B have an elongated shape connecting to the heater port 462 and the lift passages 469. Undercut regions 468A, 468B of the cutouts 465A, 465B break out into the pump port 478 and provide internal interconnection passages for evacuation.
  • FIGS. 4L, 4N, 4O and 4P illustrate the lift assembly 472 in various views in accordance with another broad aspect of the invention capable of independent use. Lift assembly 472 includes a lift frame 473, which may be a hoop-shaped frame of an aluminum material. The fingers 471 are coupled to the frame 473 and may be attached by suitable fasteners, such as screws or bolts, or made integral with the frame 473. The fingers 471 support the substrate 102 (as shown in the left chamber 452A) and when the lift actuator 482 is actuated to an upper position by the riser portion 470, this positions the substrate 102 to allow the end effector 140A of the robot 112 to extract the substrate 102 from the process chamber 452A. Identical lift assemblies 472 operate with each process chamber 452A, 452B.
  • Mounted within the frame 473 is a containment ring 475, which may be a quartz or alumina ring. The containment ring 475 may function to reduce the impact of the geometry of the process chamber slots 454A, 454B on the plasma process taking place within the load lock process chambers 452A, 452B, thus providing improved uniformity. The containment ring 475 extends between the pedestal 453 and the showerhead 247 and fills the vertical gap there between. A radial gap of about 3 mm may be provided between the periphery of the pedestal 453 and an inner diameter of the containment ring 475. Other gaps may be used. Containment ring 475 may be annular in shape, and may rest in a pocket formed in the frame 473.
  • As can be seen in FIG. 4B, the containment ring 475 substantially surrounds the load lock process chamber 452A when the plasma-assisted process is taking place in the load lock process chamber 452A. An identical containment ring 475 may be provided in load lock process chamber 452B. When the frame 473 is lifted via the action of the riser portion 470 via being actuated by lift actuator 482, the containment ring 475 moves and is received in annular shaped upper pocket 477 (FIG. 4B) radially outward from the showerhead 247. Accordingly, the ring 475 comprises a moveable containment ring.
  • FIG. 4M illustrates a representative cross-section of the load lock apparatus 424 illustrating the process chambers 452A, 452B, the load lock chambers 444A, 444B, and other components. On load lock process chamber 452B, the lift assembly 472 is shown positioned in the upper position for exchange. Note that the containment ring 475 is lifted above the process chamber slot 454B so as not to impede substrate exchange in the load lock process chamber 452B. The left load lock process chamber 452A illustrates the lift assembly 472 in the lower position with the fingers 471 received through the finger recesses 464. Lower lift assemblies 472 are also shown including bellows 466, lower lift actuators 243, supports 450, and cool down platforms 444C. FIG. 4P illustrates another representative view of the lift assembly 472 and other components.
  • As shown in FIG. 5, a method 500 of processing substrates (e.g., substrates 102) is provided. The method 500 includes, in 502, providing a mainframe section (e.g., mainframe section 104) including a robot (e.g., 112), and, in 504, providing a factory interface (e.g., factory interface 106) adjacent to the mainframe section (e.g., mainframe section 104) adapted to receive substrates (e.g., substrates 102) from load ports (e.g., from substrate carriers 126 docked at load ports 125). The method 500 further includes, in 506, providing a process load lock apparatus (e.g., process load lock apparatus 124, 324, or 424) located between the mainframe (e.g., mainframe section 104) and the factory interface (factory interface 106), the process load lock apparatus (e.g., process load lock apparatus 124, 324, or 424) having a load lock chamber (e.g., load lock chamber 120, 122, 244, 344, 444A, or 444B) coupled between the mainframe section (e.g., mainframe section 104) and the factory interface (e.g., factory interface 106), at a first level (e.g., a lower level), and a load lock process chamber (e.g., 252, 352, 452A, or 452B) at a second different level (e.g., a level above the load lock chamber 120, 122, 244, 344, 444A, or 444B).
  • In 508, the method 500 includes carrying out a process on a substrate (e.g., substrate 102) in the load lock process chamber (e.g., 252, 3352, 452A, or 452B). The process carried out may be a plasma-assisted process, wherein RF pulses having a power of less than about 1,000 W are provided. For example, the process may be an oxide removal process, such as a copper oxide removal process. In some embodiments, the process carried out may be a deposition process, an oxidation process, a nitration process, an etching process, or an annealing process. In other embodiments, the process may be a pre-cleaning process including hydrogen radicals passing through a showerhead (e.g., showerhead 247). In other embodiments, the process may be a plasma-assisted abatement process.
  • In accordance with an operational embodiment of the invention, a substrate 102 may be transferred from a substrate carrier 126 docked at a load port 125 of the factory interface 106 by load/unload robot 128. The substrate 102 may be placed in the load lock chamber (e.g., 120, 122, 244, 344, or 444A, 444B), the slit valve 132 closed, and the load lock chamber may be drawn down to the appropriate vacuum level of the transfer chamber 110 with a conventional vacuum pump not shown. The slit valve 134 may then be opened and the end effector 140A of the robot 112 (only a portion shown) may then extract the substrate 102 from the load lock chamber (e.g., 120, 122, 244, 344, or 444A, 444B), and raise the end effector 140A up to the level of the load lock process chamber (e.g., 252, 352, 452A, 452B) where the substrate 102 is inserted on the lift assembly (e.g., 272, 472) and then lowered onto the pedestal (e.g., 253, 353, 453). This also brings the containment ring into alignment between the showerhead 247 and the pedestal (e.g., 253, 353, 453). The slit valve 133 may then close, and a suitable vacuum for the process may be applied via vacuum pump 255, 455 through common pump port 478 formed in the common body (e.g., 242, 342, 442). After the substrate 102 is heated via the pedestal 253,353, 453 to an appropriate temperature level for the particular process, the substrate 102 may undergo a plasma-assisted process wherein the plasma may be contained by the containment ring (e.g., 475). Following this, slit valve 133 may be opened and the substrate 102 may be removed from the process chamber (e.g., 252, 352, 452A, 452B) and may be transferred by robot 112 to undergo one or more additional processes at one or more of the other process chambers 114, 116, 118. In some embodiments, the process at one or more of the process chambers (e.g., 114, 116, 118) may take place first with subsequent transfer and processing at the process chamber (e.g., 252, 352, 452A, 452B) thereafter.
  • The foregoing description discloses only exemplary embodiments of the invention. Modifications of the above-disclosed systems, apparatus and methods which fall within the scope of the invention will be readily apparent to those of ordinary skill in the art. Accordingly, while the present invention has been disclosed in connection with exemplary embodiments thereof, it should be understood that other embodiments may fall within the scope of the invention, as defined by the following claims.

Claims (13)

The invention claimed is:
1. A substrate lift assembly, comprising:
a lift frame;
a plurality of fingers extending from the frame, the fingers adapted to support a substrate; and
a containment ring supported by the lift frame.
2. The substrate lift assembly of claim 1, wherein the plurality of fingers comprise horizontally extending fingers adapted to contact and lift a substrate, the horizontally extending fingers positioned below the lift frame.
3. The substrate lift assembly of claim 1, wherein the containment ring comprises a cylindrical hoop extending above a top surface of the lift frame.
4. The substrate lift assembly of claim 1, wherein the containment ring comprises a quartz ring.
5. The substrate lift assembly of claim 1, wherein the containment ring comprises an alumina ring.
6. The substrate lift assembly of claim 1, wherein the containment ring comprises an annular shape.
7. The substrate lift assembly of claim 1, wherein the containment ring rests in a pocket formed in the lift frame.
8. The substrate lift assembly of claim 1, comprising a connection flange of the lift frame.
9. The substrate lift assembly of claim 1, wherein the lift frame includes a hoop portion including a pocket and a connection flange.
10. The substrate lift assembly of claim 9, comprising a riser portion coupled to the connection flange.
11. The substrate lift assembly of claim 9, comprising a lift actuator coupled to a connection flange by a riser portion.
12. A substrate lift assembly, comprising:
a lift frame including a hoop portion including a pocket and a connection flange;
a plurality of horizontally extending fingers extending from the frame, the horizontally extending fingers positioned below the lift frame;
a containment ring supported in a pocket formed in the lift frame;
a riser portion coupled to the connection flange; and
a lift actuator operably connected to riser portion.
13. A substrate lift assembly, comprising:
a lift frame including a hoop portion with a pocket formed therein, and a connection flange coupled to the hoop portion;
a plurality of fingers extending from the lift frame, the plurality of fingers extending horizontally inward and positioned below the lift frame;
a containment ring comprising a cylindrical hoop of alumina or quartz material supported in the pocket, the containment ring extending above a top surface of the lift frame;
a riser portion coupled to the connection flange; and
a lift actuator operably connected to riser portion.
US15/139,274 2013-03-15 2016-04-26 Substrate lift assemblies Abandoned US20160240410A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/139,274 US20160240410A1 (en) 2013-03-15 2016-04-26 Substrate lift assemblies

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361786990P 2013-03-15 2013-03-15
US14/203,098 US9355876B2 (en) 2013-03-15 2014-03-10 Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US15/139,274 US20160240410A1 (en) 2013-03-15 2016-04-26 Substrate lift assemblies

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/203,098 Continuation US9355876B2 (en) 2013-03-15 2014-03-10 Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations

Publications (1)

Publication Number Publication Date
US20160240410A1 true US20160240410A1 (en) 2016-08-18

Family

ID=51522148

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/203,098 Active US9355876B2 (en) 2013-03-15 2014-03-10 Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US15/139,274 Abandoned US20160240410A1 (en) 2013-03-15 2016-04-26 Substrate lift assemblies

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/203,098 Active US9355876B2 (en) 2013-03-15 2014-03-10 Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations

Country Status (3)

Country Link
US (2) US9355876B2 (en)
TW (1) TWI618177B (en)
WO (1) WO2014150260A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10361104B2 (en) * 2017-03-03 2019-07-23 Applied Materials, Inc. Ambient controlled transfer module and process system
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5698043B2 (en) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー Semiconductor manufacturing equipment
JP5689294B2 (en) * 2010-11-25 2015-03-25 東京エレクトロン株式会社 Processing equipment
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6165518B2 (en) * 2013-06-25 2017-07-19 株式会社日立ハイテクノロジーズ Plasma processing method and vacuum processing apparatus
US9435025B2 (en) 2013-09-25 2016-09-06 Applied Materials, Inc. Gas apparatus, systems, and methods for chamber ports
CN105580124B (en) 2013-09-26 2018-05-18 应用材料公司 For mixing flatbed devices, system and the method for processing substrate
US10199256B2 (en) 2013-09-28 2019-02-05 Applied Materials, Inc. Methods and systems for improved mask processing
CN105580107B (en) 2013-09-30 2019-02-19 应用材料公司 Transfer chamber gas cleaning plant, electronic equipment processing system and purification method
TWI658531B (en) 2013-11-04 2019-05-01 應用材料股份有限公司 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US9808891B2 (en) 2014-01-16 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool and method of reflow
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
DE202016104588U1 (en) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Multi-chamber system for chemical vapor deposition
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
WO2017066418A1 (en) * 2015-10-15 2017-04-20 Applied Materials, Inc. Substrate carrier system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6294365B2 (en) * 2016-01-29 2018-03-14 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) * 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN107995994A (en) * 2016-12-29 2018-05-04 深圳市柔宇科技有限公司 Dry ecthing equipment
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10796935B2 (en) 2017-03-17 2020-10-06 Applied Materials, Inc. Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in loadlocks
US20180272390A1 (en) * 2017-03-24 2018-09-27 Applied Materials, Inc. Batch processing load lock chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11948810B2 (en) * 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11189635B2 (en) * 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11049740B1 (en) 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
WO2021192001A1 (en) * 2020-03-24 2021-09-30 株式会社日立ハイテク Vacuum processing device
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102590738B1 (en) * 2021-10-19 2023-10-18 주식회사 한화 Apparatus for processing of wafer and method for processing of wafer using the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US20100288728A1 (en) * 2007-12-31 2010-11-18 Charm Engineering Co., Ltd. Apparatus and method for processing substrate
US20110049100A1 (en) * 2008-01-16 2011-03-03 Charm Engineering Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6182376B1 (en) * 1997-07-10 2001-02-06 Applied Materials, Inc. Degassing method and apparatus
JP3286240B2 (en) 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 Load lock device and method for semiconductor processing
US6173938B1 (en) 1998-09-22 2001-01-16 Applied Materials, Inc. Two speed air cylinder for slit valve motion control
US6347918B1 (en) 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
KR20030007938A (en) 2000-06-14 2003-01-23 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
CN100435269C (en) 2001-07-15 2008-11-19 应用材料有限公司 Processing system
US6764265B2 (en) 2002-01-07 2004-07-20 Applied Materials Inc. Erosion resistant slit valve
US6656840B2 (en) 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US7007919B2 (en) 2003-04-17 2006-03-07 Applied Materials, Inc. Slit valve method and apparatus
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7720655B2 (en) 2005-12-20 2010-05-18 Applied Materials, Inc. Extended mainframe designs for semiconductor device manufacturing equipment
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US8991785B2 (en) 2007-10-26 2015-03-31 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
CN105895517A (en) 2008-10-07 2016-08-24 应用材料公司 Apparatus for efficient removal of halogen residues from etched substrates
KR101390900B1 (en) * 2011-05-31 2014-04-30 세메스 주식회사 Apparatus for treating substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US20100288728A1 (en) * 2007-12-31 2010-11-18 Charm Engineering Co., Ltd. Apparatus and method for processing substrate
US20110049100A1 (en) * 2008-01-16 2011-03-03 Charm Engineering Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US11519773B2 (en) 2016-06-27 2022-12-06 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US10361104B2 (en) * 2017-03-03 2019-07-23 Applied Materials, Inc. Ambient controlled transfer module and process system
US10818525B2 (en) * 2017-03-03 2020-10-27 Applied Materials, Inc. Ambient controlled transfer module and process system
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US10916451B2 (en) 2017-06-23 2021-02-09 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
US11837478B2 (en) 2019-01-30 2023-12-05 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods

Also Published As

Publication number Publication date
TWI618177B (en) 2018-03-11
WO2014150260A1 (en) 2014-09-25
US9355876B2 (en) 2016-05-31
US20140262036A1 (en) 2014-09-18
TW201448096A (en) 2014-12-16

Similar Documents

Publication Publication Date Title
US9355876B2 (en) Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US9524889B2 (en) Processing systems and apparatus adapted to process substrates in electronic device manufacturing
US8382088B2 (en) Substrate processing apparatus
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US20130287529A1 (en) Method and apparatus for independent wafer handling
US9177842B2 (en) Degassing apparatus adapted to process substrates in multiple tiers with second actuator
US20200381276A1 (en) Multisubstrate process system
JP2022153414A (en) Ambient controlled transfer module and process system
US20210035830A1 (en) Semiconductor manufacturing apparatus
US20150030771A1 (en) Cobalt substrate processing systems, apparatus, and methods
US6132517A (en) Multiple substrate processing apparatus for enhanced throughput
JPH10107124A (en) Substrate processing device
KR20230017322A (en) High temperature and vacuum isolation processing mini environments
US20230212735A1 (en) Substrate processing system
US11610799B2 (en) Electrostatic chuck having a heating and chucking capabilities
US20220076978A1 (en) Alignment of an electrostatic chuck with a substrate support
JP2004119627A (en) Semiconductor device manufacturing apparatus
US20220068690A1 (en) Substrate transfer devices
JP2023516065A (en) Linear arrangement for substrate processing tools
KR20230066455A (en) Method and Apparatus for Cleaning Substrates After Processing
JP2023530972A (en) Batch wafer degassing chamber and factory interface and integration into mainframe under vacuum
JP2000068216A (en) Substrate processor

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION