CN105580107A - 传送腔室气体净化装置、电子设备处理系统及净化方法 - Google Patents

传送腔室气体净化装置、电子设备处理系统及净化方法 Download PDF

Info

Publication number
CN105580107A
CN105580107A CN201480053795.0A CN201480053795A CN105580107A CN 105580107 A CN105580107 A CN 105580107A CN 201480053795 A CN201480053795 A CN 201480053795A CN 105580107 A CN105580107 A CN 105580107A
Authority
CN
China
Prior art keywords
chamber
transfer chamber
transfer
ingress
entrance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480053795.0A
Other languages
English (en)
Other versions
CN105580107B (zh
Inventor
爱德华·吴
埃里克·A·英格哈特
特雷斯·莫瑞
阿扬·马宗达
史蒂夫·S·洪坎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105580107A publication Critical patent/CN105580107A/zh
Application granted granted Critical
Publication of CN105580107B publication Critical patent/CN105580107B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86292System with plural openings, one a gas vent or access opening

Abstract

公开了传送腔室气体净化装置。该传送腔室气体净化装置具有传送腔室,该传送腔室适于容纳至少一部分的传输机械手,该传送腔室包括侧壁、腔室盖及腔室底面,其中腔室盖具有多个分散的腔室入口。多个分散的腔室入口可包括扩散元件。可在基板上方提供层状净化气体流。公开了包括多个分散的腔室入口的系统及方法、及其他很多方面。

Description

传送腔室气体净化装置、电子设备处理系统及净化方法
相关申请
本申请要求享有于2013年9月30日提交的名称为“TRANSFERCHAMBERGASPURGEAPPARATUS,SYSTEMS,ANDMETHODS(传送腔室气体净化装置、系统及方法)”的美国临时申请第61/884,637号的优先权,为所有目的通过援引将该专利申请结合在此。
技术领域
本发明涉及电子设备制造,更特定而言,涉及传送腔室气体供应装置、系统、及其方法。
背景技术
传统电子设备制造系统可包括一个或更多个处理腔室,这些腔室适于执行任何数量的处理,这些处理诸如是脱气、清洁及预清洁、诸如化学气相沉积(CVD)、物理气相沉积(PVD)或原子层沉积之类的沉积、涂布、氧化、硝化(nitration)、蚀刻(例如等离子体蚀刻)或类似者。可提供一个或更多个装载锁定腔室,以使得基板能够从工厂接口进入及离开。这些处理腔室及装载锁定腔室中的每个腔室可被包括于群集工具中,其中例如多个处理腔室可分散在传送腔室四周。传送机械手可容纳在传送腔室中,以借助一个或更多个终端受动器从各个处理腔室和装载锁定腔室传输基板及将基板传输至各个处理腔室及装载锁定腔室。通常,在传送腔室与各个处理腔室及装载锁定腔室之间提供狭缝阀开口。传送机械手的一个或更多个终端受动器(例如叶片)可通过狭缝阀开口,以放置或提取基板(例如硅晶片、玻璃板或类似物)进或出提供于处理腔室或装载锁定腔室中的支撑件(例如底座或升降杆)。
一旦基板适当地设置在处理腔室中,狭缝阀可关闭,且可开始基板的处理。作为处理的部分,由于系统中的移动部件的缘故可形成颗粒。如果这些微粒停在处理过的基板上,那么此举可影响基板的质量。为了使微粒最小化,现有技术的系统已包括机械手下方的进入传送腔室中的气体入口以及亦在机械手下方的离开传送腔室的气体出口,以完成传送腔室的净化。然而,这些系统一般而言为无效率的。
因此,需要改善的传送腔室气体流动装置、系统及方法。
发明内容
在一个实施方式中,提供一种传送腔室气体净化装置。所述传送腔室气体净化装置包括适于容纳至少一部分的传送机械手的传送腔室,所述传送腔室至少部分地由侧壁、腔室盖及腔室底面(chamberfloor)形成,所述腔室盖具有多个分散的腔室入口。
另一方面,提供一种电子设备处理系统。所述电子设备处理系统包括至少部分地由主机外壳形成的传送腔室,该主机外壳具有侧壁、腔室盖及腔室底面、在所述腔室盖中的多个分散的腔室入口、以及在所述腔室底面中的多个分散的腔室出口。
另一方面,提供一种净化传送腔室的方法。所述方法包括提供至少部分地由腔室盖、侧壁及腔室底面形成的传送腔室,所述传送腔室容纳至少一部分的机械手,所述机械手适于将基板传输至自所述传送腔室进出的腔室及从自所述传送腔室进出的腔室传输基板;及借助净化气体的流入从传送腔室进行净化,所述净化气体是通过所述腔室盖中的多个分散的入口流入的。
根据本发明的这些及其他方面提供很多其他特征。本发明的实施方式的其他特征及方面将从以下的详细说明、所附权利要求书及附图更加显而易见。
附图简要说明
图1A图示根据实施方式的包括传送腔室气体净化装置的电子设备处理系统的示意顶部视图。
图1B图示根据实施方式的包括传送腔室气体净化装置的电子设备处理系统的部分剖面侧视图。
图2图示根据实施方式的包括扩散元件的腔室入口的部分剖面侧视图。
图3图示根据实施方式的传送腔室气体净化装置的腔室盖的顶部视图。
图4A是根据实施方式的传送腔室气体净化装置的排出组件的底部平面视图。
图4B是根据实施方式的传送腔室气体净化装置的排出组件的立体底部视图。
图5图示根据实施方式的传送腔室气体净化装置的部分剖面立体视图。
图6是根据实施方式描绘净化传送腔室的方法的流程图。
具体描述
现存的电子设备制造系统已在传送腔室中使用净化,试图控制微粒。具体而言,现有技术的净化系统已包括入口及出口于传送腔室的底面中。尽管借助此类型的传送腔室净化来提供某些改善,但仍需控制微粒的额外措施,以便进一步改善系统/工具产量。
为了解决此问题,本发明的实施方式提供改善的传送腔室气体净化装置、系统及方法。一方面,提供了一种改善的传送腔室气体净化装置。传送腔室气体净化装置对于净化适于容纳至少部分的传送机械手的传送腔室是有用的,该传送机械手适于搬运(carry)一个或更多个基板。传送腔室包括侧壁、腔室盖及腔室底面。腔室盖中具有多个分散的腔室入口。
在一个或更多个实施方式中,多个分散的腔室入口的某些或所有腔室入口可包括扩散构件,这些扩散构件适于扩散入口净化气体流且具有扩散入口净化气体流的功能。而且,多个分散的腔室出口可包括于腔室底面中。在其他实施方式中,多个分散的腔室入口可包括主要腔室入口及次要腔室入口。在某些实施方式中,这些主要腔室入口及次要腔室入口可独立地控制。因此,特别在当被传送通过传送腔室时基板所被定位的传送腔室的区域中提供改善的传送腔室净化。在某些实施方式中,于基板的上方提供层状净化气体流。
另一方面,提供电子设备处理系统。电子设备处理系统包括传送腔室,该传送腔室适于容纳至少部分的机械手,该机械手搬运基板,其中传送腔室包括腔室盖、侧壁及腔室底面、提供于腔室盖中的多个分散的腔室入口、及包括于腔室底面的多个分散的腔室出口。
图示且描述包括装置、系统及方法方面的本发明的各个方面的示例实施方式的进一步的细节在此处参照图1A-图6进行描述。
图1A及图1B分别图示包括传送腔室气体净化装置101的电子设备处理系统100的示例实施方式的顶部示意视图及剖面侧视图。电子设备处理系统100可适于借助授予基板一种或更多种处理(诸如脱气、清洁及预清洁、诸如化学气相沉积(CVD)、物理气相沉积(PVD)或原子层沉积(ALD)之类的沉积、涂布、氧化、硝化、蚀刻(例如等离子体蚀刻)或类似者)来处理基板(例如含硅晶片、板、盘或类似者)。其他处理亦可由电子设备处理系统100来执行。
所描述的电子设备处理系统100包括具有传送腔室103的主机外壳102,该传送腔室103至少由其侧壁104、腔室盖106及腔室底面107形成。多个处理腔室108A-108F及一个或更多个装载锁定腔室110A、110B可机械地耦接至主机外壳102。亦可包括其他数量的处理腔室及装载锁定腔室。传送腔室103包括机械手112,该机械手112配置成且适于将一个或更多个基板114传送至耦接至主机外壳102的至少两个腔室及从耦接至主机外壳102的至少两个腔室传送一个或更多个基板114。机械手112可进出至少两个腔室,且至少部分的机械手112存在(reside)于传送腔室103中。如此处所使用,“传送腔室”容纳至少部分的机械手112(例如移动臂及附加的终端受动器112E),该机械手112适于将一个或更多个基板114传输至自传送腔室103进出的腔室(例如处理腔室108A-108F)及从自传送腔室103进出的腔室(例如处理腔室108A-108F)传输一个或更多个基板114。电子设备处理系统100亦可包括工厂接口109,该工厂接口109具有与工厂接口109对接(dock)的一个或更多个基板载具111。基板载具111适于搬运一个或更多个基板114于制造环境中(例如在工具之间)。在一个或更多个实施方式中,工厂接口机械手113(如虚线方块所示)可包括在工厂接口109中,且可具有在基板载具111与一个或更多个装载锁定腔室110A、110B之间传送基板114的功能。
在所描述的实施方式中,机械手112可包括传送腔室103内的臂112A、112B、112C、可在传送腔室103外的一个或更多个机械马达112M、及可在上面放置基板114且传输基板114的一个或更多个终端受动器112E。终端受动器112E可固定耦接在一起,或可独立地致动。机械手112可具有任何适合的构造,该构造例如诸如美国专利第5,789,878号、第5,879,127号、第6,267,549号、第6,379,095号、第6,582,175号及第6,722,834号以及美国专利公开第2010/0178147号、第2013/0039726号、第2013/0149076号、第2013/0115028号及第2010/0178146号中所描述。亦可使用其他适合的机械手。
可操作机械手112,以传输基板114至处理腔室108A-108F及从处理腔室108A-108F传输基板114,且传输基板114至一个或更多个装载锁定腔室110A、110B及从一个或更多个装载锁定腔室110A、110B传输基板114。在各个情形中,通过形成于主机外壳102中的开口115(例如狭缝阀开口)进行传送,该开口115通常是狭缝形状的开口,该狭缝形状的开口可具有狭缝阀门(未显示),该狭缝阀门可与开口一起操作,以在基板114已被机械手112放置于腔室中之后密封各腔室。在所描述的实施方式中,提供双腔室(即肩并肩的腔室)。然而,应理解传送腔室气体净化装置101可与其他传送腔室构造一起使用,这些传送腔室构造诸如是包括于七边形、六边形或八边形的主机外壳或类似者中且包括径向进出的处理腔室的构造。亦可使用其他形状的传送腔室103。
如先前所论述,传送腔室气体净化装置101包括适于容纳至少部分的机械手112的传送腔室103,传送腔室103至少部分地由侧壁104、腔室盖106及腔室底面107的互相连接而形成。在所描述的实施方式中,腔室盖106中具有多个分散的腔室入口116,所述多个分散的腔室入口116可包括主要腔室入口116P及次要腔室入口116S。腔室入口116耦接至净化气体供应组件118。净化气体供应组件118可包括诸如加压的含气体容器之类的净化气源120、可包括一个或更多个适于控制净化气体流量的阀或质量流控制器的流量控制组件122、及控制器123。
净化气体供应组件118亦可包括进气歧管(intakemanifold)124,该进气歧管124可为耦接于流量控制组件122与多个腔室入口116之间的气流通路(例如导管)的集合。进气歧管124可包括主要通路124P及次要通路124S。通过主要通路124P及次要通路124S的净化气体流可流体地耦接至主要及次要腔室入口116P、116S,使得流至主要腔室入口116P及次要腔室入口116S的净化气体流可由流量控制组件122独立地控制。净化气体可包括诸如N2之类的惰性气体。可使用其他适合的净化气体。在某些实施方式中,举例而言,传送腔室103可维持在真空下。
腔室盖106可在传送腔室103的顶部处,且通常定位于终端受动器112E及被支撑的基板114的平面(level)上方。在某些实施方式中,腔室盖106可以是可移除的,且可连接至侧壁104。
在所描述的实施方式中,腔室盖106上的多个分散的腔室入口116包括位于传送腔室103中的至少四个主要腔室入口116P。至少某些主要腔室入口116P可定位于当基板114离开各处理腔室108A-108F时基板114的传送路径上方。举例而言,一个或更多个主要腔室入口116P可定位于传送路径125上方。以此方式,净化气体可向下流动且流过基板114,从而当基板114离开各处理腔室108A-108F时用净化气体流覆盖基板114。
类似地,主要腔室入口116P可定位于当基板114从装载锁定腔室110A、110B进入传送腔室103时一个或更多个基板114的装载锁定传送路径125LL的上方。图1A及图1B中所图示的双传送腔室构造中,多个分散的腔室入口116的定位可用来在基板114从处理腔室108A-108F离开时提供改善的净化气体流。
传送腔室气体净化装置101的多个分散的腔室入口116可包括至少四个次要腔室入口116S。至少某些次要腔室入口116S可布置在各个主要腔室入口116P之间。举例而言,次要腔室入口116S可布置成净化定位于开口115之间的传送腔室容积,或在开口之间对装载锁定腔室110A、110B净化。如所显示,可在传送腔室103的物理中心(physicalcenter)处或接近传送腔室103的物理中心处提供可选的主要或次要入口116C。在某些实施方式中,可在腔室盖106中形成多个视窗128(仅标注了几个)。视窗128可包括诸如玻璃面板之类的透明或半透明的面板,且可允许检视传送腔室103中的机械手112及其部件以及基板114。视窗128可布置在各个主要及次要腔室入口116P、116S之间。
在一个或更多个实施方式中,传送腔室气体净化装置101可包括多个腔室出口126。多个腔室出口126可从腔室底面107离开。然而,在某些实施方式中,多个腔室出口可从侧壁104(例如在侧壁104的底部处)离开。在所描述的实施方式中,多个腔室出口126于基板114的下方位置处从传送腔室103的腔室底面107离开。多个腔室出口126可耦接至排出歧管127,该排出歧管127适于使净化气体离开传送腔室103到达诸如工厂排出之类的排出系统。排出歧管127可布置在机械马达112M周围。在某些实施方式中,诸如真空涡轮泵之类的一个或更多个真空源129可耦接至排出歧管127,以在净化处理期间提供所期望的真空等级(levelofvacuum)于传送腔室103中。在某些实施方式中,所述多个腔室出口126中的一个或更多个腔室出口的位置可与所述多个腔室入口116中的一个或更多个腔室入口竖直地成行(inline)定位(例如见图1B中的竖直虚线,该竖直虚线连接主要腔室入口116P及腔室出口126)。举例而言,在所描述的实施方式中,四个腔室入口116P正好竖直地位于四个腔室出口126上方。在其他实施方式中,至少所述多个腔室出口126中的至少某些腔室出口可与所述多个腔室入口116中的一个或更多个腔室入口径向(处于沿着相同的半径的状态)成行地定位。
在所描述的实施方式中,至少某些腔室入口116且优选所有的腔室入口116(例如所图示的腔室入口116P、116S、116C)可包括扩散元件230。包括扩散元件230的示例腔室入口116P图示于图2中。扩散元件230可包括多孔构件,该多孔构件具有相较于耦接至腔室入口116P的进气歧管124的主要通路124P的剖面面积扩大的前表面面积。在所描述的实施方式中,扩散元件230可包括多孔金属盘。腔室入口116P可包括至少部分形成扩散腔室232的入口主体231,该扩散腔室232从进气歧管124的主要通路124P接收净化气体,且扩大剖面面积,以使净化气体通过扩散元件230的入口侧的扩大的入口面积。净化气体接着通过扩散元件230(例如通过扩散元件230的开孔),且可通过至扩展区(expansionzone)234中,该扩展区234可形成于腔室盖106中且位于扩散元件230的下游。在扩展区234中,净化气体流过渡(transition)至传送腔室103中。举例而言,扩展区234可包括一个或更多个截锥形(frustoconical)区段(section)或半径。在所描述的示例中,扩展区234包括具有不同锥角(coneangle)且彼此连接的截锥形区段。可使用其他扩大面积的过渡区结构。
在一个或更多个实施方式中,多个分散的腔室入口116可包括主要腔室入口116P及次要腔室入口116S,这些主要腔室入口116P及次要腔室入口116S在各自至传送腔室103的进口处具有不同的流动面积(见图1B)。具体而言,在某些实施方式中,主要腔室入口116P的面积可比次要腔室入口116S的面积更大。举例而言,主要腔室入口116P及次要腔室入口116S中的每一个腔室入口的面积可介于约10cm2与约100cm2之间。然而,可使用其他尺寸。而且,在某些实施方式中,次要腔室入口116S的尺寸可等于主要腔室入口116P的尺寸。
如传统上,基板114可通过开口115(例如狭缝阀开口)被提供至处理腔室108A-108F且从处理腔室108A-108F被取出(withdraw)。可借助连接于腔室出口126下方的一个或更多个真空源129(例如一个或更多个涡轮泵)的操作在传送腔室103中提供一般等级(generallevel)的真空。
传送腔室气体净化装置101的操作可借助控制信号来调整,这些控制信号从控制器123到达流量控制组件122,以在基板114上方提供层状气体流动模式(pattern)。可借助调整从净化气源120至多个腔室入口116的净化气体的总流率(overallflowrate)来进行气体流量调整。具体而言,可借助调整流量控制组件122来进行净化气体流量调整。流量控制组件122可包括一个或更多个阀、质量流量控制器(MFC)、或其他适合的气体流量调整器。具体而言,提供至主要及次要腔室入口116P、116S的净化气体流例如可借助控制流量控制组件122的流量控制构件(阀、MFC或类似者)来进行独立地控制。
图3图示腔室盖106的示例的顶部视图,该腔室盖106包括与腔室盖106耦接的流量控制组件122及进气歧管124。腔室盖106可从主机外壳102被移除,且借助紧固件固定至主机外壳102。在腔室盖106的底部与主机外壳102之间的密封可借助适合的O形环或其他密封构件来提供。在某些实施方式中,腔室盖106可为枢轴且由升降杆336抬升。
图4A及图4B图示腔室底面107及连接至腔室底面107的传送腔室气体净化装置101的部分的示例实施方式。在所描述的实施方式中,腔室底面107包括第一部分107A及耦接至第一部分107A的第二部分107B。第一部分107A被配置成且适于耦接至主机外壳102的底部。排出歧管127显示为耦接至各腔室出口126,这些腔室出口126包括于腔室底面107的第二部分107B中。
图5图示传送腔室气体净化装置101的实施方式的部分剖面立体视图。传送腔室气体净化装置101包括具有提供净化气体至传送腔室103的多个腔室入口116的腔室盖106,以及具有适于从传送腔室103排出净化气体的多个腔室出口126(图5中仅显示一个)的腔室底面107。腔室入口116可在基板114上方提供进入传送腔室103的气体净化流。净化气体流可为介于基板114与腔室盖106之间的层状。
提供并参照图6描述根据本发明的一个或更多个实施方式的净化传送腔室(例如传送腔室103)的方法600。在602中,方法600包括提供传送腔室(例如传送腔室103),该传送腔室至少部分地由腔室盖(例如腔室盖106)、侧壁(例如侧壁104)及腔室底面(例如107)形成,传送腔室容纳至少部分的机械手(例如机械手112),该机械手适于传输基板(例如基板114)至自传送腔室进出的腔室(例如任何一个或更多个处理腔室108A-108F或装载锁定腔室110A、110B)及从自传送腔室进出的腔室(例如任何一个或更多个处理腔室108A-108F或装载锁定腔室110A、110B)传输基板(例如基板114)。
在604中,方法600包括借助净化气体的流入从传送腔室(例如传送腔室103)进行净化,该净化气体通过腔室盖(例如腔室盖106)中的多个分散的入口(例如多个分散的腔室入口116)流入。
在一个或更多个实施方式中,于606中,净化的步骤可进一步包括通过提供在腔室底面(例如腔室底面107)中的多个分散的腔室出口(例如多个分散的腔室出口126)将净化气体排出。在某些实施方式中,从传送腔室净化的步骤可进一步包括通过多个扩散元件(例如扩散元件230)流入净化气体。在某些实施方式中,从传送腔室103净化的步骤可进一步包括提供净化气体的实质上层状流于基板114上方。可借助调整流体地耦接至腔室盖106中的多个腔室入口116的流量控制组件122来获得为达成在基板114上方的实质上层状净化气体流的净化气体流条件。净化气体流可另外借助提供具有主要腔室入口(例如主要腔室入口116P)及次要腔室入口(例如次要腔室入口116S)的多个分散的腔室入口116及独立地控制到达主要腔室入口116P及次要腔室入口116S的净化气体流来进行控制。举例而言,可提供相对更多的净化气体流至主要腔室入口(例如主要入口116P),且可提供相对更少的净化气体流至次要腔室入口(例如次要腔室入口116S)。而且,在某些实施方式中,可进行净化气体流的调整,以均衡(equalize)传送腔室(例如传送腔室103)中的流动模式。
以上的描述仅公开了本发明的示例实施方式。落入本发明的范围中的对以上所公开的装置、系统及方法的修改对本领域普通技术人员而言将是显而易见的。因此,尽管已经结合本发明的示例实施方式公开了本发明,但是应理解的是其他实施方式可落入如由以下权利要求书所确定的本发明的范围中。

Claims (15)

1.一种传送腔室气体净化装置,所述传送腔室气体净化装置包括:
传送腔室,所述传送腔室适于容纳至少一部分的传送机械手,所述传送腔室至少部分地由侧壁、腔室盖及腔室底面形成,所述腔室盖具有多个分散的腔室入口。
2.如权利要求1所述的传送腔室气体净化装置,其中所述多个分散的腔室入口包括主要腔室入口及次要腔室入口,其中所述主要腔室入口及所述次要腔室入口可独立地控制。
3.如权利要求2所述的传送腔室气体净化装置,其中所述主要腔室入口及所述次要腔室入口耦接至流量控制组件。
4.如权利要求1所述的传送腔室气体净化装置,其中所述多个分散的腔室入口包括至少四个主要腔室入口。
5.如权利要求1所述的传送腔室气体净化装置,包括处理腔室,所述处理腔室耦接至所述传送腔室,其中所述多个分散的腔室入口包括主要腔室入口,所述主要腔室入口定位在随着基板离开所述处理腔室的所述基板的路径上方。
6.如权利要求1所述的传送腔室气体净化装置,包括多个视窗,所述多个视窗形成在所述腔室盖中。
7.如权利要求1所述的传送腔室净化气体装置,其中至少某些所述多个分散的腔室入口包括扩散元件。
8.如权利要求1所述的传送腔室净化气体装置,其中所述多个分散的腔室入口包括主要腔室入口及次要腔室入口,所述主要腔室入口及所述次要腔室入口具有在进入所述传送腔室的各个进口处的不同的入口流动面积。
9.如权利要求1所述的传送腔室净化气体装置,包括多个分散的腔室出口,所述多个分散的腔室出口提供于所述腔室底面中。
10.如权利要求1所述的传送腔室净化气体装置,包括多个分散的腔室出口,所述多个分散的腔室出口提供于所述腔室底面中,其中至少某些所述多个分散的腔室出口与至少某些所述多个分散的腔室入口竖直对齐。
11.一种电子设备处理系统,所述电子设备处理系统包括:
传送腔室,所述传送腔室至少部分地由主机外壳形成,所述主机外壳具有侧壁、腔室盖及腔室底面;
多个分散的腔室入口,所述多个分散的腔室入口在所述腔室盖中;及
多个分散的腔室出口,所述多个分散的腔室出口在所述腔室底面中。
12.一种净化传送腔室的方法,所述方法包括以下步骤:
提供传送腔室,所述传送腔室至少部分地由腔室盖、侧壁及腔室底面形成,所述传送腔室容纳至少一部分的机械手,所述机械手适于将基板传输至自所述传送腔室进出的腔室及从自所述传送腔室进出的腔室传输基板;及
借助净化气体的流入从所述传送腔室进行净化,所述净化气体是通过所述腔室盖中的多个分散的入口流入的。
13.如权利要求12所述的方法,其中所述净化步骤进一步包括以下步骤:通过所述底面中的多个分散的腔室出口排出所述净化气体。
14.如权利要求12所述的方法,其中从所述传送腔室进行净化的步骤进一步包括以下步骤:使所述净化气体通过多个扩散元件流入。
15.如权利要求12所述的方法,其中从所述传送腔室进行净化的步骤进一步包括以下步骤:在所述基板上方提供所述净化气体的实质上层状流。
CN201480053795.0A 2013-09-30 2014-09-26 传送腔室气体净化装置、电子设备处理系统及净化方法 Expired - Fee Related CN105580107B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361884637P 2013-09-30 2013-09-30
US61/884,637 2013-09-30
PCT/US2014/057753 WO2015048470A1 (en) 2013-09-30 2014-09-26 Transfer chamber gas purge apparatus, electronic device processing systems, and purge methods

Publications (2)

Publication Number Publication Date
CN105580107A true CN105580107A (zh) 2016-05-11
CN105580107B CN105580107B (zh) 2019-02-19

Family

ID=52738916

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480053795.0A Expired - Fee Related CN105580107B (zh) 2013-09-30 2014-09-26 传送腔室气体净化装置、电子设备处理系统及净化方法

Country Status (6)

Country Link
US (1) US9441792B2 (zh)
JP (1) JP6573892B2 (zh)
KR (1) KR101770970B1 (zh)
CN (1) CN105580107B (zh)
TW (1) TWI598455B (zh)
WO (1) WO2015048470A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108538747A (zh) * 2017-03-03 2018-09-14 应用材料公司 环境可控的传送模块和处理系统
TWI658164B (zh) * 2016-12-02 2019-05-01 美商應用材料股份有限公司 薄膜封裝處理系統和處理套組
CN109786282A (zh) * 2017-11-15 2019-05-21 台湾积体电路制造股份有限公司 处理衬底或晶圆的装置
CN110838461A (zh) * 2018-08-16 2020-02-25 细美事有限公司 净化处理装置及净化处理方法
US10619235B2 (en) 2016-06-03 2020-04-14 Applied Materials, Inc. Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105706227B (zh) 2013-11-04 2019-11-26 应用材料公司 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
KR20170048787A (ko) * 2015-10-27 2017-05-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US20180185893A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Systems, methods, and apparatus for transfer chamber gas purge of electronic device processing systems
CN111344853A (zh) * 2017-08-18 2020-06-26 东京毅力科创美国制造与工程公司 用于喷射低温流体的装置
DE102018107547A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Vorrichtung zur behandlung von substraten oder waferen
EP3912688A1 (de) * 2020-05-19 2021-11-24 L'air Liquide, Société Anonyme Pour L'Étude Et L'exploitation Des Procédés Georges Claude Sichere inertisierungsvorrichtung
USD973737S1 (en) * 2020-11-17 2022-12-27 Applied Materials, Inc. Mainframe of substrate processing system
USD973116S1 (en) 2020-11-17 2022-12-20 Applied Materials, Inc. Mainframe of substrate processing system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5211733A (en) * 1990-11-16 1993-05-18 Mitsubishi Kasei Corporation Method for producing a high-purity silica glass powder
US5407350A (en) * 1992-02-13 1995-04-18 Tokyo Electron Limited Heat-treatment apparatus
KR20060135447A (ko) * 2005-06-25 2006-12-29 삼성전자주식회사 트랜스퍼 챔버에 디퓨저를 구비한 반도체 식각 장치
CN102373440A (zh) * 2010-08-12 2012-03-14 Snt能源技术有限公司 化学气相沉积装置
CN102741975A (zh) * 2010-04-30 2012-10-17 应用材料公司 双腔室处理系统

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4540326A (en) * 1982-09-17 1985-09-10 Nacom Industries, Inc. Semiconductor wafer transport system
JPH02138420U (zh) * 1989-04-21 1990-11-19
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
JP3176118B2 (ja) * 1992-03-27 2001-06-11 株式会社東芝 多室型基板処理装置
US5433780A (en) * 1992-11-20 1995-07-18 Tokyo Electron Limited Vacuum processing apparatus and exhaust system that prevents particle contamination
JPH07230959A (ja) * 1994-02-17 1995-08-29 Tokyo Electron Ltd 被処理体近傍空間の気流の制御方法及び減圧装置
US5789878A (en) 1996-07-15 1998-08-04 Applied Materials, Inc. Dual plane robot
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
JPH11145241A (ja) * 1997-11-06 1999-05-28 Toshiba Corp マルチチャンバシステムおよび基板検出方法
US6267549B1 (en) 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6379095B1 (en) 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US6582175B2 (en) 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
WO2001096972A2 (en) 2000-06-14 2001-12-20 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
CN100435269C (zh) 2001-07-15 2008-11-19 应用材料有限公司 处理系统
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
KR100621804B1 (ko) 2004-09-22 2006-09-19 삼성전자주식회사 디퓨저 및 그를 구비한 반도체 제조설비
JP2006216710A (ja) * 2005-02-02 2006-08-17 Hitachi High-Technologies Corp 半導体製造装置
KR101147908B1 (ko) * 2005-08-29 2012-05-25 주성엔지니어링(주) 월라이너를 포함하는 기판제조장치
JP5224567B2 (ja) * 2005-11-21 2013-07-03 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
CN103021908B (zh) 2005-12-20 2015-09-30 应用材料公司 用于半导体设备制造装备的延伸主机设计
KR100807031B1 (ko) * 2006-08-24 2008-02-25 동부일렉트로닉스 주식회사 반도체 제조장치의 챔버용 퍼지 시스템
WO2009055507A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
US8784033B2 (en) 2009-01-11 2014-07-22 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates
US9076829B2 (en) 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing
JP2013069818A (ja) * 2011-09-21 2013-04-18 Sharp Corp 気相成長装置および結晶膜の形成方法
US9076830B2 (en) 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
WO2013090181A1 (en) 2011-12-12 2013-06-20 Applied Materials, Inc Fully-independent robot systems, apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US9524889B2 (en) 2013-03-15 2016-12-20 Applied Materials, Inc. Processing systems and apparatus adapted to process substrates in electronic device manufacturing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5211733A (en) * 1990-11-16 1993-05-18 Mitsubishi Kasei Corporation Method for producing a high-purity silica glass powder
US5407350A (en) * 1992-02-13 1995-04-18 Tokyo Electron Limited Heat-treatment apparatus
KR20060135447A (ko) * 2005-06-25 2006-12-29 삼성전자주식회사 트랜스퍼 챔버에 디퓨저를 구비한 반도체 식각 장치
CN102741975A (zh) * 2010-04-30 2012-10-17 应用材料公司 双腔室处理系统
CN102373440A (zh) * 2010-08-12 2012-03-14 Snt能源技术有限公司 化学气相沉积装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10619235B2 (en) 2016-06-03 2020-04-14 Applied Materials, Inc. Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
US10808310B2 (en) 2016-06-03 2020-10-20 Applied Mateirals, Inc. Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
TWI718292B (zh) * 2016-06-03 2021-02-11 美商應用材料股份有限公司 藉由擴散腔室內部的氣流以得較低粒子數及較佳晶圓品質的有效且新穎之設計
TWI744140B (zh) * 2016-06-03 2021-10-21 美商應用材料股份有限公司 藉由擴散腔室內部的氣流以得較低粒子數及較佳晶圓品質的有效且新穎之設計
TWI658164B (zh) * 2016-12-02 2019-05-01 美商應用材料股份有限公司 薄膜封裝處理系統和處理套組
CN108538747A (zh) * 2017-03-03 2018-09-14 应用材料公司 环境可控的传送模块和处理系统
CN108538747B (zh) * 2017-03-03 2024-01-30 应用材料公司 环境可控的传送模块和处理系统
CN109786282A (zh) * 2017-11-15 2019-05-21 台湾积体电路制造股份有限公司 处理衬底或晶圆的装置
CN110838461A (zh) * 2018-08-16 2020-02-25 细美事有限公司 净化处理装置及净化处理方法
CN110838461B (zh) * 2018-08-16 2023-09-08 细美事有限公司 净化处理装置及净化处理方法

Also Published As

Publication number Publication date
TWI598455B (zh) 2017-09-11
JP2016535940A (ja) 2016-11-17
CN105580107B (zh) 2019-02-19
TW201522692A (zh) 2015-06-16
KR20160067212A (ko) 2016-06-13
KR101770970B1 (ko) 2017-08-24
JP6573892B2 (ja) 2019-09-11
WO2015048470A1 (en) 2015-04-02
US9441792B2 (en) 2016-09-13
US20150090341A1 (en) 2015-04-02

Similar Documents

Publication Publication Date Title
CN105580107A (zh) 传送腔室气体净化装置、电子设备处理系统及净化方法
US9091397B2 (en) Shared gas panels in plasma processing chambers employing multi-zone gas feeds
CN107534001B (zh) 负载锁定设备、冷却板组件及电子装置处理系统与方法
US20030213560A1 (en) Tandem wafer processing system and process
TW201350618A (zh) 真空成膜裝置
KR20150126789A (ko) 다공성 베플을 갖는 저 볼륨 샤워헤드
KR20150102998A (ko) 처리 장치와 그 배기 전환 장치 및 배기 전환 유닛과 전환 밸브 박스
CN105420685A (zh) 用于减少背面沉积和减少基片边缘处的厚度变化的系统和方法
JP2015519724A (ja) プラズマ処理システムにおける共有ガスパネル
CN102299043A (zh) 真空处理装置
CN111465714B (zh) 成膜装置
US20180185893A1 (en) Systems, methods, and apparatus for transfer chamber gas purge of electronic device processing systems
KR20130074413A (ko) 기판처리장치
WO2020214618A1 (en) Foreline assembly for quad station process module
TW201207891A (en) Methodologies for rinsing tool surfaces in tools used to process microelectronic workpieces
JPH04243741A (ja) ウエファ−移送方法と装置
JP6888159B2 (ja) チャンバ内部の流れを拡散させることによる低い粒子数及びより良好なウエハ品質のための効果的で新しい設計
JP2019192799A (ja) 基板処理装置、基板処理方法、遅延期間設定方法およびプログラム
KR102143914B1 (ko) 세정 지그 및 기판 처리 장치
KR101884860B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20090214399A1 (en) Vacuum processing apparatus
US20230374657A1 (en) Vapor delivery device
US20230377925A1 (en) Purge flow distribution system for a substrate container and method for performing the same
US20230076790A1 (en) Exhaust assembly, and liquid processing apparatus and substrate processing equipment including the same
CN117476492A (zh) 一种半导体制备系统中的排气单元

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20190219