JP2016535940A - 移送チャンバガスパージ装置、電子デバイス処理システム、及びパージ方法。 - Google Patents

移送チャンバガスパージ装置、電子デバイス処理システム、及びパージ方法。 Download PDF

Info

Publication number
JP2016535940A
JP2016535940A JP2016545241A JP2016545241A JP2016535940A JP 2016535940 A JP2016535940 A JP 2016535940A JP 2016545241 A JP2016545241 A JP 2016545241A JP 2016545241 A JP2016545241 A JP 2016545241A JP 2016535940 A JP2016535940 A JP 2016535940A
Authority
JP
Japan
Prior art keywords
chamber
transfer
transfer chamber
distributed
inlet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016545241A
Other languages
English (en)
Other versions
JP6573892B2 (ja
Inventor
エドワード エング,
エドワード エング,
エリック エー. エンゲルハート,
エリック エー. エンゲルハート,
トラヴィス モーリ,
トラヴィス モーリ,
アヤン マジュムダール,
アヤン マジュムダール,
スティーヴ エス. ホンカム,
スティーヴ エス. ホンカム,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016535940A publication Critical patent/JP2016535940A/ja
Application granted granted Critical
Publication of JP6573892B2 publication Critical patent/JP6573892B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86292System with plural openings, one a gas vent or access opening

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • General Engineering & Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

移送チャンバガスパージ装置が開示されている。移送チャンバガスパージ装置は、移送ロボットの少なくとも一部分を包含するよう適合した移送チャンバであって、側壁と、チャンバリッドと、チャンバフロアとを含む移送チャンバを有し、チャンバリッドは、分散された複数のチャンバ入口を有する。分散された複数のチャンバ入口は、拡散要素を含みうる。基板の上方に層状のパージガス流が提供されうる。多数の他の態様と同様に、分散された複数のチャンバ入口を含むシステム及び方法が開示されている。【選択図】図1B

Description

関連出願
本出願は、あらゆる目的のために参照により本書に組み込まれている、2013年9月30日に出願された「移送チャンバガスパージ装置、システム、及び方法(TRANSFER CHAMBER GAS PURGE APPARATUS, SYSTEMS, AND METHODS)」と題する米国仮特許出願第61/884,637号(代理人整理番号20841USAL)に、優先権を主張する。
本発明は、電子デバイス製造に関し、より具体的には、移送チャンバガス供給装置、システム、及びその方法に関する。
従来型の電子デバイス製造システムは、ガス抜き、洗浄又は予洗浄、化学気相堆積(CVD)、物理的気相堆積(PVD)、又は原子層堆積といった堆積、コーティング、酸化、窒化、エッチング(プラズマエッチング等)などのような、任意の数のプロセスを実施するよう適合している、一又は複数の処理チャンバを含みうる。基板がファクトリインターフェースへの出入りを可能にするために、一又は複数のロードロックチャンバが提供されうる。これらの処理チャンバ及びロードロックチャンバの各々は、例えば、複数の処理チャンバが一移送チャンバの周辺に分布していることがある、クラスタツールに含まれうる。移送ロボットは、移送チャンバの中に収納されて、様々な処理チャンバ及びロードロックに出し入れするように、一又は複数のエンドエフェクタ上で基板を搬送しうる。従来的に、移送チャンバと処理チャンバ及びロードロックチャンバの各々との間に、スリットバルブ開口が提供される。移送ロボットの一又は複数のエンドエフェクタ(例えばブレード)は、スリットバルブ開口を通過して、基板(例えばシリコンウエハ、ガラスプレートなど)を、処理チャンバ又はロードロックチャンバの中に提供された支持体(例えばペデスタル又はリフトピン)内に載置しうるか、又は支持体から取り出しうる。
基板が処理チャンバの中に適切に配置されると、スリットバルブは閉じてよく、基板の処理が始まりうる。処理の一部として、システム内の構成要素の動きにより粒子が形成されうる。かかる粒子が処理された基板上に留まると、このことは基板の品質に影響を与えうる。粒子を最小限にするために、従来のシステムは、移送チャンバのパージを実現するための、ロボットの下方にある移送チャンバ内へのガス入口、並びに、同じくロボットの下にある移送チャンバからのガス出口部を含んでいた。しかし、かかるシステムは概して効果がなかった。
そのため、改良型の移送チャンバガス流装置、システム、及び方法が求められている。
一実施形態では、移送チャンバガスパージ装置が提供される。移送チャンバガスパージ装置は、移送ロボットの少なくとも一部分を包含するよう適合した移送チャンバであって、側壁と、チャンバリッドと、チャンバフロアとによって少なくとも部分的に形成された移送チャンバを含み、チャンバリッドは、分散された複数のチャンバ入口を有する。
別の態様では、電子デバイス処理システムが提供される。電子デバイス処理システムは、側壁、チャンバリッド、及びチャンバフロアを有するメインフレームハウジングと、チャンバリッドに分散された複数のチャンバ入口と、チャンバフロアに分散された複数のチャンバ出口とによって少なくとも部分的に形成された、移送チャンバを含む。
別の態様では、移送チャンバをパージする方法が提供される。方法は、チャンバリッドと、側壁と、チャンバフロアとによって少なくとも部分的に形成された移送チャンバであって、移送チャンバからアクセスされるチャンバに出し入れするように基板を搬送するよう適合したロボットの少なくとも一部分を包含する、移送チャンバを提供することと、チャンバリッドに分散された複数の入口を通るパージガスの流入によって、移送チャンバからのパージングを行うこととを、含む。
本発明の上記の態様及び他の態様により、多数の他の特徴が提供される。本発明の実施形態の他の特徴及び態様は、以下の詳細説明、付随する特許請求の範囲、及び添付図面から、より網羅的に明らかになろう。
実施形態による、移送チャンバガスパージ装置を含む電子デバイス処理システムの概略上面図を示す。 実施形態による、移送チャンバガスパージ装置を含む電子デバイス処理システムの部分側面断面図を示す。 実施形態による、拡散要素を含むチャンバ入口の部分側面断面図を示す。 実施形態による、移送チャンバガスパージ装置のチャンバリッドの上面図を示す。 実施形態による、移送チャンバガスパージ装置の排気アセンブリの底面図である。 実施形態による、移送チャンバガスパージ装置の排気アセンブリの底部斜視図である。 実施形態による、移送チャンバガスパージ装置の部分断面斜視図を示す。 実施形態による、移送チャンバをパージする方法を示すフロー図である。
既存の電子デバイス製造システムは、粒子を制御するための試行において、移送チャンバの中でパージを使用してきた。詳細には、従来技術のパージシステムは、移送チャンバのフロアに入口及び出口を含んでいた。この種の移送チャンバパージによっていくらかの改善がもたらされたが、システム/ツールの歩留りを更に改善するために、粒子を制御するための追加的な手段が求められている。
この問題に対処するために、本発明の実施形態は、改良型の移送チャンバガスパージ装置、システム、及び方法を提供する。一態様では、改良型の移送チャンバガスパージ装置が提供される。移送チャンバガスパージ装置は、一又は複数の基板を運ぶよう適合している移送ロボットの少なくとも一部分を包含するよう適合した、移送チャンバをパージするために役立つ。移送チャンバは、側壁と、チャンバリッドと、チャンバフロアとを含む。チャンバリッドは、そこに分散された複数のチャンバ入口を有する。
一又は複数の実施形態では、分散された複数のチャンバ入口の一部又は全部は、流入パージガス流を拡散させるよう適合し、機能する、拡散部材を含みうる。また更に、分散された複数のチャンバ出口がチャンバフロアに含まれうる。更なる実施形態では、分散された複数のチャンバ入口は、主チャンバ入口と副入口とを含みうる。かかる主チャンバ入口及び副入口は、いくつかの実施形態では、個別に制御可能でありうる。ゆえに、特に移送チャンバの、基板(複数可)が移送チャンバを通って移送される時に位置付けられる領域において、改良型の移送チャンバパージが提供される。いくつかの実施形態では、基板(複数可)の上方に層状のパージガス流が提供される。
別の態様では、電子デバイス処理システムが提供される。電子デバイス処理システムは、基板を運ぶロボットの少なくとも一部分を包含するよう適合した移送チャンバを含み、移送チャンバは、チャンバリッドと、側壁と、チャンバフロアとを含み、分散された複数のチャンバ入口がチャンバリッドに提供され、かつ、分散された複数のチャンバ出口がチャンバフロアに含まれる。
装置の態様、システムの態様、及び方法の態様を含む本発明の様々な態様を図示し、説明する、例示的な実施形態の更なる詳細につき、本書の図1Aから図6を参照して説明する。
図1A及び図1Bはそれぞれ、移送チャンバガスパージ装置101を含む電子デバイス処理システム100の例示的な一実施形態の概略上面図及び側面断面図を示している。電子デバイス処理システム100は、ガス抜き、洗浄又は予洗浄、化学気相堆積(CVD)、物理的気相堆積(PVD)、又は原子層堆積(ALD)といった堆積、コーティング、酸化、窒化、エッチング(プラズマエッチング等)などのような、一又は複数のプロセスを基板(シリコンを含有するウエハ、プレート、ディスクなど)に付与することによって、基板を処理するよう適合しうる。電子デバイス処理システム100によって、他のプロセスが実施されることもある。
図示されている電子デバイス処理システム100は、少なくとも、移送チャンバ103の側壁104と、チャンバリッド106と、チャンバフロア107とによって形成された移送チャンバ103を含む、メインフレームハウジング102を含む。複数の処理チャンバ108A〜108F、及び一又は複数のロードロックチャンバ110A、110Bは、メインフレームハウジング102に機械的に結合されうる。上記以外の数の処理チャンバ及びロードロックチャンバが含まれることもある。移送チャンバ103は、メインフレームハウジング102に結合されている少なくとも2つのチャンバに出し入れするように、一又は複数の基板114を移送するよう構成され、適合している、ロボット112を含む。少なくとも2つのチャンバはロボット112によってアクセス可能であり、ロボット112の少なくとも一部は、移送チャンバ103内に存在する。本書で使用する場合、「移送チャンバ」は、移送チャンバ103からアクセスされるチャンバ(例えば処理チャンバ108A〜108F)に出し入れするように一又は複数の基板114を搬送するよう適合しているロボット112(例えば動作アーム及び取り付けられたエンドエフェクタ112E)の、少なくとも一部分を包含する。電子デバイス処理システム100は、ファクトリインターフェース109にドッキングされた一又は複数の基板キャリア111を有する、ファクトリインターフェース109も含みうる。基板キャリア111は、製造環境の中(例えばツール間)で一又は複数の基板114を運ぶよう適合している。ファクトリインターフェースロボット113(点線の四角で示す)は、一又は複数の実施形態では、ファクトリインターフェース109に含まれてよく、基板キャリア111と一又は複数のロードロックチャンバ110A、110Bと間で基板114を移送する機能を果たしうる。
図示されている実施形態では、ロボット112は、移送チャンバ103の内部のアーム112A、112B、112Cと、移送チャンバ103の外部にありうる一又は複数のロボットモータ112Mと、基板113を上に載せて搬送しうる一又は複数のエンドエフェクタ112Eとを、含みうる。エンドエフェクタ112Eは、1つに剛結合されうるか、又は、個別に作動しうる。ロボット112は、例えば、米国特許第5,789,878号、第5,879,127号、第6,267,549号、第6,379,095号、第6,582,175号、及び、第6,722,834号、並びに、米国特許公報第2010/0178147号、第2013/0039726号、第2013/0149076号、第2013/0115028号、及び、第2010/0178146号に記載されているような、任意の好適な構造のものでありうる。他の好適なロボットが使用されることもある。
ロボット112は、処理チャンバ108A〜108Fに出し入れするように、かつ、一又は複数のロードロックチャンバ110A、110Bに出し入れするように、基板114を搬送するよう動作可能である。移送は都度、メインフレームハウジング102に形成された、概してスリット形状の開口である、開口115(例えばスリットバルブ開口)を通るものであり、開口115は、ロボット112によって基板114がそれぞれのチャンバ内に載置された後にそれぞれのチャンバを密封するよう、開口115と協働可能な、スリットバルブドア(図示せず)を有しうる。図示されている実施形態では、並列チャンバであるツインチャンバが提供される。しかし、移送チャンバガスパージ装置101は、七角形、六角形、又は八角形のメインフレームハウジングなどに含まれる、径方向にアクセスされる処理チャンバを含むもののような、他の移送チャンバ構成と共に使用されうることを、理解すべきである。他の形状の移送チャンバ103が使用されることもある。
上述したように、移送チャンバガスパージ装置101は、ロボット112の少なくとも一部分を包含するよう適合した移送チャンバ103であって、側壁104と、チャンバリッド106と、チャンバフロア107との相互接続によって少なくとも部分的に形成されている、移送チャンバ103を含む。図示されている実施形態では、チャンバリッド106は、主チャンバ入口116Pと副チャンバ入口116Sとを含みうる、チャンバリッド106に分散された複数のチャンバ入口116を有する。チャンバ入口116は、パージガス供給アセンブリ118に結合される。パージガス供給アセンブリ118は、加圧ガス包含容器のようなパージガス源120と、パージガス流を制御するよう適合した一又は複数のバルブ或いは質量流量コントローラを備えうる、流量制御アセンブリ122と、コントローラ123とを含みうる。
パージガス供給アセンブリ118は、流量制御アセンブリ122と複数のチャンバ入口116との間に結合されているガス流経路(例えば導管)の集まりでありうる、取り込みマニホールド124も含みうる。取り込みマニホールド124は、主経路124Pと副経路124Sとを含みうる。流量制御アセンブリ122によって、主チャンバ入口116P及び副チャンバ入口116Sへのパージガス流が個別に制御可能になりうるように、主経路124P及び副経路124Sを通るパージガス流は、主チャンバ入口及び副チャンバ入口116P、116Sと流体結合しうる。パージガスは、Nのような不活性ガスを含みうる。他の好適なパージガスが使用されることもある。いくつかの実施形態では、移送チャンバ103は、例えば真空に維持されうる。
チャンバリッド106は、移送チャンバ103の最上部にあり、かつ、エンドエフェクタ112E及び支持されている基板114の水平面の概して上方に配置されうる。チャンバリッド106は、取り外し可能であってよく、いくつかの実施形態では側壁104に接続可能でありうる。
図示されている実施形態では、チャンバリッド106に分散された複数のチャンバ入口116は、移送チャンバ104内に配置される、少なくとも4つの主チャンバ入口116Pを備える。主チャンバ入口116Pのうちの少なくともいくつかは、基板114が処理チャンバ108A〜108Fのそれぞれを出て行く際の、基板114の移送経路の上方に位置付けられうる。例えば、主チャンバ入口116Pのうちの一又は複数は、移送経路125の上方に位置付けられうる。この様態では、パージガスは、基板114を覆うように下向きに流れ、基板114が処理チャンバ108A〜108Fのそれぞれを出て行く際に、パージガス流で基板114を包み込む。
同様に、主チャンバ入口116Pは、一又は複数の基板114がロードロックチャンバ110A、110Bから移送チャンバ103内に入る際に、一又は複数の基板114のロードロック移送経路125LLの上方に位置付けられうる。図1A及び図1Bに示すツイン移送チャンバ構成では、分散された複数のチャンバ入口116の位置付けは、基板114が処理チャンバ108A〜108Fから出て行く際に、より良いパージガス流を提供することに役立ちうる。
移送チャンバガスパージ装置101の分散された複数のチャンバ入口116は、少なくとも4つの副チャンバ入口116Sを含みうる。副チャンバ入口116Sのうちの少なくともいくつかは、主チャンバ入口116Pのそれぞれの間に配設されうる。例えば、副チャンバ入口116Sは、開口115の間、又は、ロードロックチャンバ110A、110Bへの開口の間に配置されている移送チャンバ容積をパージするよう配設されうる。図示されているように、任意の主入口又は副入口116Cが、移送チャンバ103の物理的中心に、又はその近辺に、提供されうる。いくつかの実施形態では、複数の視認窓128(いくつかを番号表示している)がチャンバリッド106に形成されうる。視認窓128は、ガラスパネルのような透明又は半透明のパネルを含んでよく、ロボット112及びその構成要素、並びに基板114が、移送チャンバ103の中で視認されることを可能にしうる。視認窓128は、主チャンバ入口及び副チャンバ入口116P、116Sのそれぞれの間に配設されうる。
一又は複数の実施形態では、移送チャンバガスパージ装置101は、複数のチャンバ出口126を含みうる。複数のチャンバ出口126は、チャンバフロア107からの出口部でありうる。しかし、複数のチャンバ出口は、いくつかの実施形態では、側壁104からの(例えば側壁104の下部における)出口部でありうる。図示されている実施形態では、複数のチャンバ出口126は、基板114の下の場所における、移送チャンバ103のチャンバフロア107からの出口部である。複数のチャンバ出口126は、移送チャンバ103を出て行くパージガスをファクトリ排気装置のような排気システムに流すよう適合している、排気マニホールド127と結合しうる。排気マニホールド127は、ロボットモータ112Mの周囲に配設されうる。いくつかの実施形態では、パージプロセス中に移送チャンバ103内に所望のレベルの真空を提供するために、真空ターボポンプのような一又は複数の真空源129が、排気マニホールド127に結合されうる。いくつかの実施形態では、複数のチャンバ出口126のうちの一又は複数の場所は、複数のチャンバ入口116のうちの一又は複数と垂直方向に一直線になるよう位置付けられうる(例えば、主チャンバ入口116Pとチャンバ出口126とを接続する、図1Bの点線の垂直線を参照のこと)。例えば、図示されている実施形態では、4つのチャンバ入口116Pは、4つのチャンバ出口126の垂直方向の真上にある。他の実施形態では、複数のチャンバ出口126のうちの少なくともいくつかは、複数のチャンバ入口116のうちの一又は複数と径方向に並んで位置付けられうる(同一半径に沿って並ぶ)。
図示されている実施形態では、チャンバ入口116の少なくとも一部、好ましくは全部(例えば、図示されているチャンバ入口116P、116S、116C)が、拡散要素230を含みうる。拡散要素230を含む例示的なチャンバ入口116Pが、図2に示されている。拡散要素230は、チャンバ入口116Pに結合されている取り込みマニホールド124の主経路124Pの断面積と比較して拡大された正面表面積を有する、多孔性部材を備えうる。図示されている実施形態では、拡散要素230は、多孔性金属ディスクを備えうる。チャンバ入口116Pは、取り込みマニホールド124の主経路124Pからパージガスを受容し、かつ、拡散要素230のエントリ側の拡大されたエントリ領域にパージガスを通すために断面積が広がっている拡散チャンバ232を、少なくとも部分的に形成する、入口本体231を含みうる。パージガスは次いで、拡散要素230を(例えば、拡散要素の開口ポアを通って)通過し、チャンバリッド106内に形成され、かつ拡散要素230の下流に配置されうる、拡張ゾーン234内に移動しうる。拡張ゾーン234の中で、パージガス流は、移送チャンバ104内へと移行する。拡張ゾーン234は、例えば、一又は複数の円錐台形区域、又は一半径範囲を含みうる。図示されている例では、拡張ゾーン234は、異なる円錐角を有する、相互接続された複数の円錐台形区域を含む。その他の、面積を拡大する移行ゾーン構造が使用されることもある。
一又は複数の実施形態では、分散された複数のチャンバ入口116は、主チャンバ入口116Pと副チャンバ入口116Sであって、移送チャンバ103へのそれらの入口部のそれぞれにおいて異なる入口流積を有する(図1Bを参照のこと)、主チャンバ入口116Pと副チャンバ入口116Sとを含みうる。詳細には、いくつかの実施形態では、主チャンバ入口116Pのその面積は、副チャンバ入口116Sのその面積よりも大きいことがある。例えば、主チャンバ入口116Pと副チャンバ入口116Sの各々のその面積は、約10cmから約100cmまででありうる。しかし、それ以外のサイズが使用されることもある。また更に、いくつかの実施形態では、副チャンバ入口116Sは、主チャンバ入口116Pとサイズが等しいこともある。
従来通り、基板114は、開口115(例えばスリットバルブ開口)を通って、処理チャンバ108A〜108Fに提供され、それらから取り出されうる。チャンバ出口126の下に接続された一又は複数の真空源129(例えば一又は複数のターボポンプ)の操作によって、移送チャンバ103内に一般的なレベルの真空が提供されうる。
移送チャンバガスパージ装置101の動作は、コントローラ123から流量制御アセンブリ122への制御信号を介して調整されて、基板114の上方に層状のガス流量パターンを提供しうる。ガス流の調整は、パージガス源120から複数のチャンバ入口116への、パージガスの全体流量を調整することによって行われうる。詳細には、パージガス流の調整は、流量制御アセンブリ122を調整することによって行われうる。流量制御アセンブリ122は、一又は複数のバルブか、質量流量コントローラ(MFC)か、又は他の好適なガス流調整器を備えうる。詳細には、主チャンバ入口と副チャンバ入口116P、116Sに提供されるパージガス流は、例えば流量制御アセンブリ122の流量制御部材(バルブ、MFCなど)を制御することによって、個別に制御されうる。
図3は、取り込みマニホールド124と、それに結合された流量制御アセンブリ122とを含む、チャンバリッド106の一例の上面図を示している。チャンバリッド106は、メインフレームハウジング102から取り外し可能であり、かつ、締め具によってメインフレームハウジング102に固定されうる。好適なOリング又は他の密封部材によって、チャンバリッド106の底部とメインフレームハウジング102との間の密封が提供されうる。いくつかの実施形態では、チャンバリッド106は、旋回軸で回転し、かつ、リフトピン336によって持上げられうる。
図4A及び4Bは、チャンバフロア107、及び、移送チャンバガスパージ装置101のチャンバフロア107に接続されている部分の、例示的な一実施形態を示している。図示されている実施形態では、チャンバフロア107は、第1部分107Aと、第1部分107Aに結合された第2部分107Bとを含む。第1部分107Aは、メインフレームハウジング102の底部と結合するよう構成され、適合している。チャンバフロア107の第2部分107Bに含まれるチャンバ出口126のそれぞれに結合された排気マニホールド127が、図示されている。
図5は、移送チャンバガスパージ装置101の一実施形態の部分断面斜視図を示している。移送チャンバガスパージ装置101は、移送チャンバ103にパージガスを提供する複数のチャンバ入口116を備えた、チャンバリッド106と、移送チャンバ103からパージガスを排気するよう適合した複数のチャンバ出口126(図5では1つしか示されていない)を備えた、チャンバフロア107とを含む。チャンバ入口116は、基板114の上方で移送チャンバ103に入るガスパージ流を提供しうる。パージガス流は、基板114とチャンバリッド106との間で層状になりうる。
本発明の一又は複数の実施形態により、移送チャンバ(例えば移送チャンバ103)をパージする方法600が、図6を参照して提供され、説明される。方法600は、602において、チャンバリッド(例えばチャンバリッド106)と、側壁(例えば側壁104)と、チャンバフロア(例えば107)とによって少なくとも部分的に形成された移送チャンバ(例えば移送チャンバ103)であって、移送チャンバからアクセスされるチャンバ(例えば、一又は複数の処理チャンバ108A〜108F又はロードロックチャンバ110A、110Bのうちの任意のもの)に出し入れするように基板(例えば基板114)を搬送するよう適合したロボット(例えばロボット112)の少なくとも一部分を包含する、移送チャンバを提供することを含む。
方法600は、604において、チャンバリッド(例えばチャンバリッド106)に分散された複数の入口(例えば分散された複数のチャンバ入口116)を通るパージガスの流入によって、移送チャンバ(例えば移送チャンバ103)からのパージングを行うことを含む。
一又は複数の実施形態では、パージすることは更に、606において、チャンバフロア(例えばチャンバフロア107)に提供された、分散された複数のチャンバ出口(例えば分散された複数のチャンバ出口126)を通じて、パージガスを排気することを含みうる。いくつかの実施形態では、移送チャンバからのパージングを行うことは更に、複数の拡散要素(例えば拡散要素230)を通るパージガスの流入を含みうる。移送チャンバ103からのパージングを行うことは更に、いくつかの実施形態では、基板114の上方に実質的に層状のパージガスの流れを提供することを含みうる。基板114の上方で実質的に層状のパージガス流を実現するためのパージガス流の条件は、チャンバリッド106の複数のチャンバ入口116に流体結合された流量制御アセンブリ122を調整することによって満たされうる。パージガス流は、主チャンバ入口(例えば主チャンバ入口116P)と副チャンバ入口(例えば副チャンバ入口116S)とを備えた、分散された複数のチャンバ入口116を提供すること、及び、主チャンバ入口116Pと副チャンバ入口116Sへのパージガスの流れを個別に制御することによって、追加的に制御されうる。例えば、主チャンバ入口(例えば主入口116P)に比較的多くのパージガス流が提供されてよく、副チャンバ入口(例えば副チャンバ入口116S)には比較的少ない流量が提供されうる。更に、パージガス流の調整は、いくつかの実施形態では、移送チャンバ(例えば移送チャンバ103)の中の流量パターンを一様にするために行われうる。
前述の説明は、本発明の例示的な実施形態を開示しているにすぎない。本発明の範囲に含まれる、上記で開示された装置、システム、及び方法の修正例が、当業者には容易に自明となろう。したがって、本発明は、その例示的な実施形態に関連して開示されているが、他の実施形態が、以下の特許請求の範囲によって定義される本発明の範囲に含まれることもあると、理解すべきである。

Claims (15)

  1. 移送ロボットの少なくとも一部分を包含するよう適合した移送チャンバであって、側壁と、チャンバリッドと、チャンバフロアとによって少なくとも部分的に形成された移送チャンバを備え、前記チャンバリッドは、分散された複数のチャンバ入口を有する、移送チャンバガスパージ装置。
  2. 前記分散された複数のチャンバ入口は、主チャンバ入口と副チャンバ入口とを備え、前記主チャンバ入口と前記副チャンバ入口は個別に制御可能である、請求項1に記載の移送チャンバガスパージ装置。
  3. 前記主チャンバ入口及び前記副チャンバ入口は、流量制御アセンブリに結合される、請求項2に記載の移送チャンバガスパージ装置。
  4. 前記分散された複数のチャンバ入口は、少なくとも4つの主チャンバ入口を備える、請求項1に記載の移送チャンバガスパージ装置。
  5. 前記移送チャンバに結合された処理チャンバを備え、前記分散された複数のチャンバ入口は、基板が前記処理チャンバを出て行く際の前記基板の経路の上方に位置付けられた、主チャンバ入口を備える、請求項1に記載の移送チャンバガスパージ装置。
  6. 前記チャンバリッドに形成された複数の視認窓を備える、請求項1に記載の移送チャンバガスパージ装置。
  7. 前記分散された複数のチャンバ入口のうちの少なくともいくつかは、拡散要素を備える、請求項1に記載の移送チャンバパージガス装置。
  8. 前記分散された複数のチャンバ入口は、主チャンバ入口と副チャンバ入口であって、前記移送チャンバ内へのそれらの入口部のそれぞれにおいて異なる入口流積を有する、主チャンバ入口と副チャンバ入口とを備える、請求項1に記載の移送チャンバパージガス装置。
  9. 前記チャンバフロアに提供された、分散された複数のチャンバ出口を備える、請求項1に記載の移送チャンバパージガス装置。
  10. 前記チャンバフロアに提供された、分散された複数のチャンバ出口を備え、前記分散された複数のチャンバ出口のうちの少なくともいくつかは、前記分散された複数のチャンバ入口のうちの少なくともいくつかと、垂直方向に一直線になっている、請求項1に記載の移送チャンバパージガス装置。
  11. 側壁と、チャンバリッドと、チャンバフロアとを有するメインフレームハウジングによって少なくとも部分的に形成された、移送チャンバと、
    前記チャンバリッドに分散された複数のチャンバ入口と、
    前記チャンバフロアに分散された複数のチャンバ出口とを備える、電子デバイス処理システム。
  12. 移送チャンバをパージする方法であって、
    チャンバリッドと、側壁と、チャンバフロアとによって少なくとも部分的に形成された移送チャンバであって、前記移送チャンバからアクセスされるチャンバに出し入れするように基板を搬送するよう適合したロボットの少なくとも一部分を包含する、移送チャンバを提供することと、
    前記チャンバリッドに分散された複数の入口を通るパージガスの流入によって、前記移送チャンバからのパージングを行うこととを含む、方法。
  13. 前記パージすることは更に、前記フロアに分散された複数のチャンバ出口を通じて、前記パージガスを排気することを含む、請求項12に記載の方法。
  14. 前記移送チャンバからのパージングを行うことは更に、複数の拡散要素を通る前記パージガスの流入を含む、請求項12に記載の方法。
  15. 前記移送チャンバからのパージングを行うことは更に、前記基板の上方に実質的に層状の前記パージガスの流れを提供することを含む、請求項12に記載の方法。
JP2016545241A 2013-09-30 2014-09-26 移送チャンバガスパージ装置、電子デバイス処理システム、及びパージ方法。 Expired - Fee Related JP6573892B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361884637P 2013-09-30 2013-09-30
US61/884,637 2013-09-30
PCT/US2014/057753 WO2015048470A1 (en) 2013-09-30 2014-09-26 Transfer chamber gas purge apparatus, electronic device processing systems, and purge methods

Publications (2)

Publication Number Publication Date
JP2016535940A true JP2016535940A (ja) 2016-11-17
JP6573892B2 JP6573892B2 (ja) 2019-09-11

Family

ID=52738916

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016545241A Expired - Fee Related JP6573892B2 (ja) 2013-09-30 2014-09-26 移送チャンバガスパージ装置、電子デバイス処理システム、及びパージ方法。

Country Status (6)

Country Link
US (1) US9441792B2 (ja)
JP (1) JP6573892B2 (ja)
KR (1) KR101770970B1 (ja)
CN (1) CN105580107B (ja)
TW (1) TWI598455B (ja)
WO (1) WO2015048470A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105706227B (zh) 2013-11-04 2019-11-26 应用材料公司 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
KR20170048787A (ko) * 2015-10-27 2017-05-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
JP6948394B2 (ja) * 2016-12-02 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄膜封止処理システムおよびプロセスキット
US20180185893A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Systems, methods, and apparatus for transfer chamber gas purge of electronic device processing systems
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
CN111344853A (zh) * 2017-08-18 2020-06-26 东京毅力科创美国制造与工程公司 用于喷射低温流体的装置
DE102018107547A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Vorrichtung zur behandlung von substraten oder waferen
US11948810B2 (en) * 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
CN110838461B (zh) * 2018-08-16 2023-09-08 细美事有限公司 净化处理装置及净化处理方法
EP3912688A1 (de) * 2020-05-19 2021-11-24 L'air Liquide, Société Anonyme Pour L'Étude Et L'exploitation Des Procédés Georges Claude Sichere inertisierungsvorrichtung
USD973737S1 (en) * 2020-11-17 2022-12-27 Applied Materials, Inc. Mainframe of substrate processing system
USD973116S1 (en) 2020-11-17 2022-12-20 Applied Materials, Inc. Mainframe of substrate processing system

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02138420U (ja) * 1989-04-21 1990-11-19
JPH05275519A (ja) * 1992-03-27 1993-10-22 Toshiba Corp 多室型基板処理装置
JPH07230959A (ja) * 1994-02-17 1995-08-29 Tokyo Electron Ltd 被処理体近傍空間の気流の制御方法及び減圧装置
JPH11145241A (ja) * 1997-11-06 1999-05-28 Toshiba Corp マルチチャンバシステムおよび基板検出方法
JP2006216710A (ja) * 2005-02-02 2006-08-17 Hitachi High-Technologies Corp 半導体製造装置
KR20060135447A (ko) * 2005-06-25 2006-12-29 삼성전자주식회사 트랜스퍼 챔버에 디퓨저를 구비한 반도체 식각 장치
JP2007142284A (ja) * 2005-11-21 2007-06-07 Hitachi Kokusai Electric Inc 基板処理装置
JP2013069818A (ja) * 2011-09-21 2013-04-18 Sharp Corp 気相成長装置および結晶膜の形成方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4540326A (en) * 1982-09-17 1985-09-10 Nacom Industries, Inc. Semiconductor wafer transport system
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5211733A (en) * 1990-11-16 1993-05-18 Mitsubishi Kasei Corporation Method for producing a high-purity silica glass powder
US5407350A (en) * 1992-02-13 1995-04-18 Tokyo Electron Limited Heat-treatment apparatus
US5433780A (en) * 1992-11-20 1995-07-18 Tokyo Electron Limited Vacuum processing apparatus and exhaust system that prevents particle contamination
US5789878A (en) 1996-07-15 1998-08-04 Applied Materials, Inc. Dual plane robot
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6267549B1 (en) 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6379095B1 (en) 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US6582175B2 (en) 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
WO2001096972A2 (en) 2000-06-14 2001-12-20 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
CN100435269C (zh) 2001-07-15 2008-11-19 应用材料有限公司 处理系统
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
KR100621804B1 (ko) 2004-09-22 2006-09-19 삼성전자주식회사 디퓨저 및 그를 구비한 반도체 제조설비
KR101147908B1 (ko) * 2005-08-29 2012-05-25 주성엔지니어링(주) 월라이너를 포함하는 기판제조장치
CN103021908B (zh) 2005-12-20 2015-09-30 应用材料公司 用于半导体设备制造装备的延伸主机设计
KR100807031B1 (ko) * 2006-08-24 2008-02-25 동부일렉트로닉스 주식회사 반도체 제조장치의 챔버용 퍼지 시스템
WO2009055507A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
US8784033B2 (en) 2009-01-11 2014-07-22 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
KR101249999B1 (ko) * 2010-08-12 2013-04-03 주식회사 디엠에스 화학기상증착 장치
US9076829B2 (en) 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing
US9076830B2 (en) 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
WO2013090181A1 (en) 2011-12-12 2013-06-20 Applied Materials, Inc Fully-independent robot systems, apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US9524889B2 (en) 2013-03-15 2016-12-20 Applied Materials, Inc. Processing systems and apparatus adapted to process substrates in electronic device manufacturing

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02138420U (ja) * 1989-04-21 1990-11-19
JPH05275519A (ja) * 1992-03-27 1993-10-22 Toshiba Corp 多室型基板処理装置
JPH07230959A (ja) * 1994-02-17 1995-08-29 Tokyo Electron Ltd 被処理体近傍空間の気流の制御方法及び減圧装置
JPH11145241A (ja) * 1997-11-06 1999-05-28 Toshiba Corp マルチチャンバシステムおよび基板検出方法
JP2006216710A (ja) * 2005-02-02 2006-08-17 Hitachi High-Technologies Corp 半導体製造装置
KR20060135447A (ko) * 2005-06-25 2006-12-29 삼성전자주식회사 트랜스퍼 챔버에 디퓨저를 구비한 반도체 식각 장치
JP2007142284A (ja) * 2005-11-21 2007-06-07 Hitachi Kokusai Electric Inc 基板処理装置
JP2013069818A (ja) * 2011-09-21 2013-04-18 Sharp Corp 気相成長装置および結晶膜の形成方法

Also Published As

Publication number Publication date
CN105580107A (zh) 2016-05-11
TWI598455B (zh) 2017-09-11
CN105580107B (zh) 2019-02-19
TW201522692A (zh) 2015-06-16
KR20160067212A (ko) 2016-06-13
KR101770970B1 (ko) 2017-08-24
JP6573892B2 (ja) 2019-09-11
WO2015048470A1 (en) 2015-04-02
US9441792B2 (en) 2016-09-13
US20150090341A1 (en) 2015-04-02

Similar Documents

Publication Publication Date Title
JP6573892B2 (ja) 移送チャンバガスパージ装置、電子デバイス処理システム、及びパージ方法。
US11742189B2 (en) Multi-zone reactor, system including the reactor, and method of using the same
KR102614522B1 (ko) 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
US20030213560A1 (en) Tandem wafer processing system and process
JP5768713B2 (ja) 多重基板処理チャンバー及びこれを含む基板処理システム
TWI806837B (zh) 用於原子層沉積之設備及方法
JP4634495B2 (ja) 基板処理装置及び半導体装置の製造方法
KR20070052331A (ko) 다중-단일 웨이퍼 처리 장치
JP2009055001A (ja) 垂直反応器におけるバッチ処理のための方法および装置
TW201041069A (en) Substrate processing apparatus
TWI806915B (zh) 半導體加工設備
KR101685096B1 (ko) 기판처리장치 및 이를 이용한 기판처리방법
WO2020086490A1 (en) Side storage pods, equipment front end modules, and methods for operating the same
US8794896B2 (en) Vacuum processing apparatus and zonal airflow generating unit
CN112204169A (zh) 原子层自对准的基板处理和整合式成套工具
US20180185893A1 (en) Systems, methods, and apparatus for transfer chamber gas purge of electronic device processing systems
KR20130074413A (ko) 기판처리장치
US10119191B2 (en) High flow gas diffuser assemblies, systems, and methods
JPWO2019124098A1 (ja) 成膜装置
KR20210070383A (ko) 공간적 증착 툴을 동작시키는 방법들
KR20210066017A (ko) 개선된 온도 균일성을 갖는 공간적 웨이퍼 처리
KR101512140B1 (ko) 원자층 증착 장치 및 방법
TWI838222B (zh) 具有空間分離的單個晶圓處理環境
US20230311153A1 (en) Substrate processing apparatus
TW200924016A (en) Airtight module, and exhausting method for the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170801

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180417

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180419

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180712

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180914

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20181113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190313

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20190320

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190416

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190716

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190730

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190814

R150 Certificate of patent or registration of utility model

Ref document number: 6573892

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees