CN104392947A - 用于大面积基板处理系统的加载锁定腔室 - Google Patents

用于大面积基板处理系统的加载锁定腔室 Download PDF

Info

Publication number
CN104392947A
CN104392947A CN201410587106.6A CN201410587106A CN104392947A CN 104392947 A CN104392947 A CN 104392947A CN 201410587106 A CN201410587106 A CN 201410587106A CN 104392947 A CN104392947 A CN 104392947A
Authority
CN
China
Prior art keywords
vacuum
substrate
load lock
chamber
coupled together
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410587106.6A
Other languages
English (en)
Inventor
M·贝赫加德
栗田真一
稻川真
S·安瓦尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104392947A publication Critical patent/CN104392947A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本发明一般包括用于将大面积基板传送进入真空处理腔室的加载锁定腔室。所述加载锁定腔室可以具有一或多个分隔开且环境隔离的环境。各个处理环境可以具有数个用以抽吸真空的排气端口。所述排气端口可以位于所述处理环境的角落。当基板自工厂界面而置入所述加载锁定腔室时,所述环境可能需要被排空。由于所述排气端口位于所述环境的所述角落,故可能存在的任何微粒或污染物会被抽吸至最接近的角落,而在不会被抽吸跨越所述基板的前提下,离开所述加载锁定腔室。因此,可降低基板的污染。

Description

用于大面积基板处理系统的加载锁定腔室
本发明专利申请是国际申请号为PCT/US2009/055200,国际申请日为2009年8月27日,进入中国国家阶段的申请号为200980134693.0,名称为“用于大面积基板处理系统的加载锁定腔室”的发明专利申请的分案申请。
技术领域
本发明的实施例一般涉及用于将大面积基板传送进真空处理环境中的加载锁定腔室。
背景技术
当处理基板以制造平面显示器、有机发光二极管(OLED)显示器、太阳能板(solar panel)及半导体时,可执行多个工艺以获得期望的最终产物。部分的工艺可在真空下进行。可以使用的真空工艺的实例包括等离子增强化学气相沉积(PECVD)、物理气相沉积(PVD)、蚀刻及其他工艺。
当执行多个真空工艺时,具有耦接至一共同传送腔室的不同腔室是有利的。通过将数个处理腔室耦接至一共同的真空腔室,基板可以在第一腔室中进行第一工艺。接着,基板可移入传送腔室并接着置入进行不同的第二工艺的第二腔室。为了减少抽真空的时间,可以将传送腔室的真空度维持在近似于处理腔室的真空度。
在进行真空工艺之前及/或之后,基板可能会移动通过一非真空环境。基板可能通过一工厂界面(factory interface)而进入及离开系统,而该工厂界面是维持在大气压力下。因此,传送腔室与工厂界面之间的真空度可能存在有大幅度的变化。
加载锁定腔室可用于减少及/或预防此种大幅度的压力变化。加载锁定腔室可以抽气至与传送腔室大体上相同的压力,以允许基板进入及/或离开传送腔室。此外,加载锁定腔室可以通气至大气,因此加载锁定腔室的压力是与工厂界面的压力大体上相同。以此方式,加载锁定腔室可以减少及/或防止工厂界面与传送腔室之间的大幅度压力变化。
因此,该领域中需要一种能够维持真空的加载锁定腔室。
发明内容
本发明一般包括用于将大面积基板传送进入真空处理腔室的加载锁定腔室。所述加载锁定腔室可以具有一或多个分隔开且环境隔离的环境。各个处理环境具有数个用以抽吸真空的排气端口。所述排气端口可位于所述处理环境的角落。当基板自工厂界面而置入所述加载锁定腔室时,环境可能需要被排空(evacuate)。由于所述排气端口位于所述环境的角落,故可能存在的任何微粒或污染物可能会被抽吸至最接近的角落,而在不会被抽吸跨越所述基板的前提下,离开所述加载锁定腔室。因此,可降低基板的污染。
在一个实施例中,本发明一般包括用以将大面积基板传送进入真空处理腔室中的设备。所述设备可以包括具有数个侧面的腔室主体。所述腔室主体的尺寸可承接至少一个基板。可存在有一或多个开口,且所述一或多个开口的尺寸允许所述至少一个基板的置入及移除。数个开口的尺寸可允许腔室主体的排空及通气(vent)。
在另一个实施例中,一设备包括一传送腔室和一加载锁定腔室,所述加载锁定腔室耦接至所述传送腔室。所述加载锁定腔室包括一腔室主体,所述腔室主体具有穿过所述腔室主体而形成的数个开口。第一开口穿过第一壁而形成,且所述第一开口的尺寸允许基板通过其中。第二开口与所述第一开口分隔开,且穿过第二壁而形成,所述第二壁与所述传送腔室耦接,而所述第二开口的尺寸允许基板通过其中。各个第三及第四开口与所述第一及第二开口分隔开,并且穿过所述腔室主体而形成。所述第三及第四开口与一或多个真空泵耦接。
在另一个实施例中,一基板传送腔室包括:一腔室主体,所述腔室主体具有适于耦接至真空腔室的第一侧面、用于耦接至工厂界面的第二侧面、以及耦接所述第一及第二侧面的第三侧面;N个垂直堆栈的单一基板加载锁定腔室,形成一单一主体,并耦接至所述传送腔室,其中N为大于2的整数;N-1个内壁,每一个所述内壁将相邻的基板加载锁定腔室分隔开且环境隔离;以及数个开口,形成在N-1个所述内壁上,且所述开口的尺寸允许所述腔室主体的排空及通气,其中一或多个真空泵在所述数个开口处耦接至所述数个垂直堆栈的单一基板加载锁定腔室。
在另一个实施例中,一方法包括:将一基板放置在一加载锁定腔室中;使所述加载锁定腔室中的压力符合传送腔室中的压力;使用一或多个真空泵并通过位于所述加载锁定腔室中的分隔角落的一或多个开口以排空所述加载锁定腔室,其中气体通过具有最接近的开口的角落以及与所述角落耦接的所述真空泵而排空;以及通过机械手臂而传送来自所述加载锁定腔室的经处理基板。
附图说明
以可以详细地理解本发明的上述特征的方式,通过参考实施方式,可得到上文简要概述的本发明的更加具体的说明,一些实施方式图示于附图中。然而应指出,附图仅仅图示了本发明的典型实施方式并因此不被认为是限制本发明的范围,因为本发明可容许其他等效的实施方式。
图1为用于处理大面积基板的、处理系统150的一个实施例的俯视图;
图2为一多腔室的加载锁定腔室200的一个实施例的横截面图;
图3为根据本发明的一个实施例的加载锁定腔室的组合式片段300的示意图;
图4A为根据本发明的一个实施例的加载锁定腔室400的顶视图;
图4B为根据本发明的另一个实施例的另一个加载锁定腔室420的顶视图;
图5为根据本发明的一个实施例的加载锁定腔室500的示意图;和
图6为根据本发明的另一个实施例的加载锁定腔室600的示意截面图。
具体实施方式
本发明一般包括用于将大面积基板传送进入真空处理腔室的加载锁定腔室。加载锁定腔室可以具有一或多个分隔开且环境隔离的环境。各个处理环境具有数个用以抽吸真空的排气端口。排气端口可位于处理环境的角落。当基板自工厂界面而置入加载锁定腔室时,环境可能需要被排空。由于排气端口位于环境的角落,故可能存在的任何微粒或污染物可能会被抽吸至最接近的角落,而在不会被抽吸跨越基板的前提下,离开加载锁定腔室。因此,可降低基板的污染。
本发明的下文描述是关于加载锁定腔室的,所述加载锁定腔室诸如购自加州圣克拉拉(Santa Clara)的应用材料公司(Applied Materials,Inc.)的子公司-美商业凯科技公司(AKT America,Inc.)。然而,应理解,本发明可用于其他系统腔室中,包括其他制造商所售的系统腔室。
图1为适于处理大面积基板(例如,具有平面面积大于约1.0平方米的基板)的处理系统150的一个实施例的俯视图。处理系统150可包括传送腔室108,该传送腔室108通过加载锁定腔室100而耦接至工厂界面112。传送腔室108可具有设置在其中的至少一个双叶片真空机械手(dualblade vacuum robot)134,而该机械手134适于在数个外接的处理腔室132和加载锁定腔室100之间传送基板。在一个实施例中,诸处理腔室132中的一个为预热腔室,该腔室在处理之前对基板进行热调节(thermallycondition),以提高系统150的生产量。传送腔室108可维持在真空条件下,以消除在各基板传送之后,调整传送腔室108与各处理腔室132之间的压力的必要性。
工厂界面112可包括数个基板储存匣138和一个双叶片大气机械手136。匣138可移动地设置在工厂界面112的一个侧面上的数个隔间140中。大气机械手136可在匣138与加载锁定腔室100之间传送基板110。工厂界面112可以维持在大气压或略高于大气压下。
图2为多腔室的加载锁定腔室200的一个实施例的横截面图。加载锁定腔室200具有一腔室主体212,该腔室主体212包括数个垂直堆栈且环境隔离的腔室,而这些腔室被真空密封的水平内壁214分隔开。虽然图2所绘示的实施例为三个单一基板腔室220、222、224,但可预期加载锁定腔室200的腔室主体212可以包括二或更多个垂直堆栈腔室。举例来说,加载锁定腔室200可包括由N-1个水平内壁214所分隔开的N个基板传送腔室,其中N为大于1的整数。基板腔室220、222、224的各者被配置以容纳一单一大面积基板201,因此各个腔室的体积可以被最小化,以增进快速的抽气及通气循环。
腔室主体212包括第一侧壁202、第二侧壁204、第三侧壁206、底部208及顶部210。主体212可以由适用在真空条件下的刚性材料制成。在一个实施例中,腔室主体212由整块(例如,一片)铝所制成。或者,腔室主体212可以由组合式片段(modular section)制成,各组合式片段包括基板腔室220、222、224中的一个的一部分,并以适于维持真空完整性的方式组合,诸如元件符号218所示的连续式焊接。
在图2所示的实施例中,内壁214以及除了第二侧壁206以外的腔室主体212的其余部分可以由连续的整块材料制成。第二侧壁206可密封地耦接至腔室主体212的其他部分,以利于基板腔室220、222、224的机器加工,并允许在制造与组合期间进入腔室主体212的内部部分。
界定在腔室主体212中的各个基板腔室220、222、224包括两个基板出入端口(access port)。这些出入端口经配置以利于基板201进出加载锁定腔室200。在图2所示的实施例中,设置在腔室主体212的底部208处的第一基板腔室220包括第一基板出入端口230及第二基板出入端口232。第一基板出入端口230穿过腔室主体212的第一侧壁202而形成,并将第一基板腔室220耦接至处理系统的中央传送腔室209。第二基板出入端口232穿过腔室主体212的第二侧壁204而形成,并将第一基板腔室220耦接至工厂界面211。在图2所示的实施例中,基板出入端口230、232设置在腔室主体212的相对侧面上,然而,基板出入端口230、232可选择地设置在腔室主体212的相邻壁上。
基板出入端口230、232的各者可通过各个狭缝阀226、228而选择性密封,狭缝阀226、228调适成选择性地隔离第一基板腔室220与传送腔室209和工厂界面211的环境。狭缝阀226、228通过一致动器而在一开启与关闭位置之间移动。在图2所示的实施例中,各个狭缝阀226、228沿着第一边缘而轴性地耦接至腔室主体212,并通过致动器而在开启与关闭位置之间旋转。
第一狭缝阀226自第一侧壁202的内侧而密封第一基板出入端口230,因而位于第一基板腔室220内,藉此,第一基板腔室220与中央传送腔室的真空环境之间的真空(例如,压力)差协助狭缝阀226抵靠第一侧壁202的装载及密封,因而增强真空密封。在图2所示的实施例中,第一基板腔室220中的内部压力可通过使用通气阀(vent valve)227来维持,而通气阀227可开启及关闭,并允许通气气体(venting gas)通过通气端口229而进入第一基板腔室220中,因而使得加载锁定腔室200的内部体积中的压力升高。在基板经过处理之后,随后可以通过使用真空泵231来降低内部压力及真空环境,以将处理气体通过一或多个真空端口233而从第一基板腔室220排空。相应地,第二狭缝阀228设置在第二侧壁204的外侧并藉此定位,以使得工厂界面的周围环境与第一基板腔室220的真空环境之间的压力差协助第二基板出入端口232的密封。
第二腔室222类似地配置有出入端口234、236与狭缝阀226、228。同样地,第三腔室224配置有出入端口238、240与狭缝阀226、228。
另外参照图2,当传送腔室209与加载锁定腔室200的第一基板腔室220之间的压力为大体上相同时,可开启狭缝阀226,以允许通过第一基板出入端口230由真空机械手将经过处理的基板传送至加载锁定腔室200,并将待处理的基板传送至传送腔室209。将从传送腔室209返回的基板放置在加载锁定腔室200的第一基板腔室220中之后,狭缝阀226关闭,而通气阀227开启,以允许通气气体(例如,N2及/或He)通过通气端口229而进入加载锁定腔室200的第一基板腔室220中,并使加载锁定腔室200的内部体积中的压力升高。在基板被处理过后,通过使用真空泵231而将内部压力再次降低,以将处理气体通过真空端口233从第一基板腔室220排空。一旦第一基板腔室220中的压力大体上等于工厂界面211的压力,狭缝阀228开启,因而允许大气机械手通过第二基板出入端口232而于第一基板腔室220与基板储存匣之间传送基板,所述基板储存匣耦接至工厂界面211。
其他腔室222、224具有相似配置。虽然各个基板腔室220、222、224可以与单独的泵(未图示)耦接,但一或多个基板腔室220、222、224可以共享单一真空泵,该泵配备有适当的流量控制以促进腔室之间的选择性抽气。
图3为根据本发明的一个实施例的加载锁定腔室的组合式片段300的示意图。在图3所示的实施例中,组合式片段300包括一主体302,所述主体302进一步包括基板出入端口304、306以及侧壁308、310。通气端口以及至少一个真空端口位于侧壁308、310的末端,且各真空端口可耦接至真空泵。当启动真空泵以使腔室内的压力达到等于传送腔室的压力级时,微粒可沿着箭头312、314、316和318所指示的方向而通过真空端口离开。在排空期间,在具有多个真空端口的情况下,微粒可能会被抽吸而通过最接近的真空端口离开。因此当排空该腔室时,任何微粒可有效移出腔室而不会通过基板上方。另外,针对真空端口的定位,微粒通过基板表面而至最接近真空端口的距离亦可被减少。因此,基板的污染可以降低。在一个实施例中,微粒移动通过加载锁定腔室而到达最接近的真空端口的距离约为最长壁的1/2。
图4A为根据本发明的一个实施例的加载锁定腔室400的顶视图。在该实施例中,真空端口404、406位于侧壁402上,并且真空端口408、410位于侧壁403上。各个真空端口404、406、408、410彼此分隔设置,并位于侧壁的相对端。各个真空端口404、406、408、410与其各自的真空泵412、414、416、418耦接。当启动真空泵412、414、416、418时,基板腔室内的微粒可能会被排放通过最接近的真空端口404、406、408、410,而不会跨越基板401上方,并且各个真空泵412、414、416、418也能够控制通过与各真空泵412、414、416、418相关的真空端口的流动速率。
微粒的流动方向用箭头405、407、409、411指示。当真空泵412、414、416、418启动时,各个真空泵412、414、416、418可将微粒吸引朝向最接近的真空端口404、406、408、410,如箭头405、407、409、411所指示,并通过真空端口404、406、408、410排出。通过使微粒通过最接近的真空端口而排出,则较少的微粒可能会被吸引跨越基板,因此腔室内微粒对于基板的污染可以被最小化。在一个实施例中,数个真空泵412、414、416、418可大体上相同地配置以在各抽吸位置处抽气至约略相同的真空度。在另一个实施例中,一或多个真空泵412、414、416、418可不同配置以在各抽吸位置处抽气至不同的真空度。
图4B为根据本发明的另一个实施例的另一个加载锁定腔室420的顶视图。在此实施例中,两个真空端口424、426位于侧壁422上,且两个真空端口428、430位于侧壁423上。各个真空端口424、426、428、430彼此分隔设置,并位于侧壁422、423的相对端。各个真空端口424、426、428、430共享一共同的真空泵432。当启动共同的真空泵432时,共同的真空泵432会均匀地抽吸微粒朝向最接近的真空端口424、426、428、430,如流向箭头425、427、429、431所指示,并将微粒通过真空端口424、426、428、430排出而不会跨越基板421上方,因而使得基板受到微粒污染的风险最小化。
图5为根据本发明的一个实施例的加载锁定腔室500的示意图。在图5所示的实施例中,加载锁定腔室500包括腔室主体502,该腔室主体502包括垂直堆栈的组合式片段504、506、508。各个组合式片段504、506、508包括基板出入端口514、516、518。多个真空端口524、526、528位于各组合式片段504、506、508的侧壁上。在一个实施例中,真空端口524、526、528可位于基板平面上方的侧壁上。在另一个实施例中,真空端口524、526、528可位于基板平面下方的侧壁上。真空端口524、526、528可垂直地归为一组,并通过真空管512而连接至真空泵510。在该实施例中,仅图示一个真空泵,并所述真空泵连接至一组真空端口。然而,其他实施例可以针对各组垂直堆栈的真空端口而使用一个真空泵,或是针对各真空端口而使用一个真空泵,这取决于工艺参数而定。另外,通过以垂直方式堆栈组合式片段,可增进组合式片段的完整性,并且还可减少真空抽吸所导致的组合式片段变形现象。
图6为根据本发明的另一个实施例的加载锁定腔室600的示意截面图。加载锁定腔室600包括一腔室主体602,该腔室主体602具有穿过主体602的相对壁而设置的狭缝阀开口608。一或多个基板604可以通过一或多个基板支撑件606而支撑在主体602中。数个开口610可存在于腔室主体602中,以允许处理气体从腔室主体602排空。开口610可以小于狭缝阀开口608,而该狭缝阀开口608的尺寸允许基板进出腔室主体602。开口610可以设置在一或多个基板支撑件606的基板承接表面下方,而基板604支撑在该基板承接表面上。开口610允许处理气体在主体602的角落处从腔室主体602抽吸出,藉此,处理气体以及任何微粒可以经过接近的开口610而抽出腔室主体602外。因此,微粒与处理气体可以自腔室主体抽吸出,藉此,微粒及/或处理气体移动的最大距离约为腔室主体602内最长壁的1/2。另外,通过具有用于排空的数个开口610,可以降低腔室主体的变形量。当数个腔室共享一共同壁时,则当腔室处于不同真空度时,共同壁可能偏斜或变形。数个开口610可降低变形及/或偏斜。
通过在腔室的角落处抽吸真空,腔室中的任何微粒可以通过真空泵抽出,并通过在腔室角落处而与微粒最接近的真空端口而排空。因此,可以降低腔室中可能存在的微粒被抽吸跨越基板的量。通过降低抽吸跨越基板的微粒量,可使基板污染的风险大幅度地最小化。
尽管上述内容针对本发明的实施方式,但可以设计本发明的其他以及进一步的实施方式而不脱离本发明的基本范围,本发明的范围由权利要求书决定。

Claims (15)

1.一种加载锁定,包括:
可抽真空腔室,具有基板支撑件用以支撑一或多个矩形基板,所述可抽真空腔室具有形成四个角落的四个侧壁;以及
四个泵端口,其中每一泵端口靠近所述四个角落中的相应角落。
2.如权利要求1所述的加载锁定,进一步包括:
一或多个真空装置,所述一或多个真空装置与所述泵端口耦接。
3.如权利要求2所述的加载锁定,其中所述一或多个真空装置包括与所有泵端口耦接的一个真空泵。
4.如权利要求2所述的加载锁定,其中所述一或多个真空装置包括多个真空泵,其中分隔的真空泵耦接至所述泵端口中的每一个。
5.如权利要求2所述的加载锁定,进一步包括:
多个通气端口。
6.一种设备,包括:
N个垂直堆栈的单一基板可抽真空加载锁定腔室,形成单一主体,其中N为大于1的整数,且各个所述加载锁定腔室具有用以支撑矩形基板的基板支撑件、形成四个角落的四个侧壁以及四个泵端口,其中各个泵端口靠近所述四个角落中的相应角落;以及
N-1个内壁,各个内壁将相邻的单一基板可抽真空加载锁定腔室分隔开且环境隔离。
7.如权利要求6所述的设备,进一步包括:
一或多个真空装置,耦接至所述泵端口。
8.如权利要求7所述的设备,其中所述一或多个真空装置包括一个与所有泵端口耦接的真空泵。
9.如权利要求7所述的设备,其中所述一或多个真空装置包括多个真空泵,其中分隔的真空泵耦接至各个泵端口。
10.如权利要求6所述的设备,其中N是3。
11.如权利要求10所述的设备,其中各个加载锁定腔室中的所述四个泵端口位于各个加载锁定腔室中的基板承接表面的下方。
12.如权利要求6所述的设备,其中各个加载锁定腔室中的所述四个泵端口位于所述加载锁定腔室中的基板承接表面的下方。
13.如权利要求7所述的设备,其中N是3。
14.如权利要求13所述的设备,其中所述一或多个真空装置包括一个耦接至所有泵端口的真空泵。
15.如权利要求14所述的设备,其中各个加载锁定腔室中的所述四个泵端口位于所述加载锁定腔室中的基板承接表面的下方。
CN201410587106.6A 2008-08-27 2009-08-27 用于大面积基板处理系统的加载锁定腔室 Pending CN104392947A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/199,341 2008-08-27
US12/199,341 US8070408B2 (en) 2008-08-27 2008-08-27 Load lock chamber for large area substrate processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2009801346930A Division CN102138200A (zh) 2008-08-27 2009-08-27 用于大面积基板处理系统的加载锁定腔室

Publications (1)

Publication Number Publication Date
CN104392947A true CN104392947A (zh) 2015-03-04

Family

ID=41722268

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410587106.6A Pending CN104392947A (zh) 2008-08-27 2009-08-27 用于大面积基板处理系统的加载锁定腔室
CN2009801346930A Pending CN102138200A (zh) 2008-08-27 2009-08-27 用于大面积基板处理系统的加载锁定腔室

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2009801346930A Pending CN102138200A (zh) 2008-08-27 2009-08-27 用于大面积基板处理系统的加载锁定腔室

Country Status (6)

Country Link
US (1) US8070408B2 (zh)
JP (1) JP2012501549A (zh)
KR (1) KR20110059728A (zh)
CN (2) CN104392947A (zh)
TW (1) TW201025479A (zh)
WO (1) WO2010025253A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108538747A (zh) * 2017-03-03 2018-09-14 应用材料公司 环境可控的传送模块和处理系统
CN110246789A (zh) * 2016-06-10 2019-09-17 株式会社日本显示器 发光元件的制造方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8757026B2 (en) * 2008-04-15 2014-06-24 Dynamic Micro Systems, Semiconductor Equipment Gmbh Clean transfer robot
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
CN109300806B (zh) * 2010-12-29 2022-04-15 瑞士艾发科技 真空处理设备
WO2013115957A1 (en) * 2012-01-31 2013-08-08 Applied Materials, Inc. Stacked substrate processing chambers
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
CN105453246A (zh) * 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
CN104743351B (zh) * 2013-12-30 2016-09-07 基准精密工业(惠州)有限公司 加工室
WO2015174981A1 (en) * 2014-05-15 2015-11-19 Applied Materials, Inc. Particle removal device and method of operating thereof
US10347516B2 (en) * 2014-11-11 2019-07-09 Applied Materials, Inc. Substrate transfer chamber
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
CN106373907B (zh) * 2015-07-22 2019-01-08 中微半导体设备(上海)有限公司 一种真空锁系统及其对基片的处理方法
KR102625511B1 (ko) * 2016-10-05 2024-01-16 주성엔지니어링(주) 로드락챔버 및 로드락챔버의 이물질 배출방법
DE102018004086A1 (de) * 2018-05-18 2019-11-21 Singulus Technologies Ag Durchlaufanlage und Verfahren zum Beschichten von Substraten
WO2021016115A1 (en) * 2019-07-19 2021-01-28 Applied Materials, Inc. Multi-object capable loadlock system
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
JP7394554B2 (ja) 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
CN112530830A (zh) * 2019-09-18 2021-03-19 中微半导体设备(上海)股份有限公司 基片处理系统、阀板组件及其基片处理系统的工作方法
CN112151430A (zh) * 2020-09-11 2020-12-29 北京北方华创微电子装备有限公司 一种半导体设备中的传输腔室及退火设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0972561A2 (en) * 1998-07-13 2000-01-19 Seiko Seiki Kabushiki Kaisha Vacuum apparatus
JP2002231783A (ja) * 2001-02-01 2002-08-16 Matsushita Electric Ind Co Ltd 半導体製造装置
WO2005055297A1 (ja) * 2003-12-03 2005-06-16 Tokyo Electron Limited 半導体処理装置
CN101145506A (zh) * 2003-10-20 2008-03-19 应用材料股份有限公司 用于大面积基板处理系统的装载锁定室

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753904A (en) * 1995-12-29 1998-05-19 Motorola, Inc. Tool for detecting missing balls using a photodetector
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6291800B1 (en) * 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
KR100561703B1 (ko) 1998-11-12 2006-03-17 동경 엘렉트론 주식회사 처리시스템
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP2000286267A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd 熱処理方法
US6897411B2 (en) * 2002-02-11 2005-05-24 Applied Materials, Inc. Heated substrate support
JP4319434B2 (ja) * 2003-03-11 2009-08-26 東京エレクトロン株式会社 ゲートバルブ及び真空容器
KR20050003272A (ko) 2003-06-30 2005-01-10 엘지.필립스 엘시디 주식회사 멀티 슬랏 로드락 챔버와 그 동작 방법
US7388895B2 (en) * 2003-11-21 2008-06-17 Tsinghua University Corner-pumping method and gain module for high power slab laser
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0972561A2 (en) * 1998-07-13 2000-01-19 Seiko Seiki Kabushiki Kaisha Vacuum apparatus
JP2002231783A (ja) * 2001-02-01 2002-08-16 Matsushita Electric Ind Co Ltd 半導体製造装置
CN101145506A (zh) * 2003-10-20 2008-03-19 应用材料股份有限公司 用于大面积基板处理系统的装载锁定室
WO2005055297A1 (ja) * 2003-12-03 2005-06-16 Tokyo Electron Limited 半導体処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110246789A (zh) * 2016-06-10 2019-09-17 株式会社日本显示器 发光元件的制造方法
CN110246789B (zh) * 2016-06-10 2023-05-23 株式会社日本显示器 发光元件的制造方法
CN108538747A (zh) * 2017-03-03 2018-09-14 应用材料公司 环境可控的传送模块和处理系统
CN108538747B (zh) * 2017-03-03 2024-01-30 应用材料公司 环境可控的传送模块和处理系统

Also Published As

Publication number Publication date
JP2012501549A (ja) 2012-01-19
KR20110059728A (ko) 2011-06-03
CN102138200A (zh) 2011-07-27
US20100054905A1 (en) 2010-03-04
WO2010025253A4 (en) 2010-07-22
WO2010025253A3 (en) 2010-06-03
TW201025479A (en) 2010-07-01
US8070408B2 (en) 2011-12-06
WO2010025253A2 (en) 2010-03-04

Similar Documents

Publication Publication Date Title
CN104392947A (zh) 用于大面积基板处理系统的加载锁定腔室
KR100756110B1 (ko) 게이트 밸브 장치, 처리 시스템 및 밀봉 부재의 교환 방법
US5364219A (en) Apparatus for clean transfer of objects
CN100397570C (zh) 真空处理设备及其操作方法
US5795355A (en) Integrated micro-environment container loader apparatus having a semipermeable barrier
KR200491849Y1 (ko) 슬릿 밸브 도어들을 구비한 로드 락 챔버
US20020159864A1 (en) Triple chamber load lock
KR101238768B1 (ko) 진공처리장치
US10612130B2 (en) Vacuum processing apparatus
US11380564B2 (en) Processing system having a front opening unified pod (FOUP) load lock
CN101567311B (zh) 真空处理设备、真空处理方法、电子装置及其制造方法
JP2001002145A (ja) 容器および容器の封止方法
US6595370B2 (en) Apparatus and method for reducing contamination in a wafer transfer chamber
KR20130087604A (ko) 성막 장치
CN104851830A (zh) 衬底输送机器人和使用该衬底输送机器人的衬底处理设备
CN109314071A (zh) 十二边形传送腔室和具有十二边形传送腔室的处理系统
KR20060026851A (ko) 처리 장치
KR20150085112A (ko) 성막 장치
JP2004087781A (ja) 真空処理装置及び真空処理方法
JP2024006121A (ja) 真空ウエーハ搬送システム
KR101217516B1 (ko) 클러스터 툴
JP2002368075A (ja) 容器および容器の封止方法
JP2012134370A (ja) チャンバ、真空処理装置、基板移載方法
JP2001144162A (ja) 真空処理装置及び方法
JP2001144161A (ja) 真空処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20150304