CN101145506A - 用于大面积基板处理系统的装载锁定室 - Google Patents

用于大面积基板处理系统的装载锁定室 Download PDF

Info

Publication number
CN101145506A
CN101145506A CNA2007101669022A CN200710166902A CN101145506A CN 101145506 A CN101145506 A CN 101145506A CN A2007101669022 A CNA2007101669022 A CN A2007101669022A CN 200710166902 A CN200710166902 A CN 200710166902A CN 101145506 A CN101145506 A CN 101145506A
Authority
CN
China
Prior art keywords
chamber
substrate
load lock
lock chamber
described load
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101669022A
Other languages
English (en)
Other versions
CN101145506B (zh
Inventor
S·库里塔
W·T·布罗尼根
Y·塔纳斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101145506A publication Critical patent/CN101145506A/zh
Application granted granted Critical
Publication of CN101145506B publication Critical patent/CN101145506B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/063Transporting devices for sheet glass
    • B65G49/064Transporting devices for sheet glass in a horizontal position
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/067Sheet handling, means, e.g. manipulators, devices for turning or tilting sheet glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一种装载锁定室和方法,用于传送大面积基板。在一种实施例中,合适用于传送大面积基板的装载锁定室包括多个垂直堆积起来的单基板传送室。这种垂直堆积的单基板传送室结构与现有技术-双槽、双基板设计-相比,尺寸减小、传送量加大。另外,加大的传送量是以减小泵浦量和排放率来实现的,由于颗粒和凝聚的缘故,使得基板遭受污染的可能性减小。

Description

用于大面积基板处理系统的装载锁定室
本申请是提交于2004年10月20日,申请号为200410098143.7,题为“用于大面积基板处理系统的装载锁定室”的发明专利申请的分案申请。
相关申请的交叉引用
本申请要求2003年10月20日提交的美国系列申请NO.60/512,727,题目为“LOAD LOCK CHAMBER FOR LARGE AREA SUBSTRATEPROCESSING SYSTEM”的优先权,在本文中全文引用供参考。
技术领域
本发明的实施方案通常是涉及用于将大面积基板转移到真空处理系统中的装载锁定室及其操作方法。
背景技术
薄膜晶体管(TFT)通常被用于有源阵列显示器,例如,计算机和电视监视器,移动电话显示器,个人数字助理(PDAs)和与日俱增的其它设备。通常,平板屏面包括两个中间夹有一层液晶材料的玻璃板。至少其中一个玻璃板上包括一层置于其上的导电薄膜,该导电薄膜与电源连接。电源提供给导电薄膜的电会改变晶体材料的定向,以产生图案显示。
随着市场对平板屏面技术的认同,对于大显示器、增加产量以及降低生产成本的需求已经驱使设备制造商开发新的系统,以适应平板屏面显示器制造的大尺寸玻璃基板。现行的玻璃加工设备通常能适应高达约1平方米的基板,在不久的将来,可以设想玻璃加工设备能适应高达并超过1-1/2平方米的基板。
装备制造如此大基板的设备对平板显示器制造商来说是相当大的投资。常规的系统要求大而且昂贵的硬件。为了抵消这部分投资,高的基板产量是非常期望的。
图9是从AKT(位于Santa Clara,California的Applied Materlals lnc独立的全支公司)得到的一对双通道装载锁定室900的简化示意图,通常的转移1500×1800mm基板的能力是每小时转移约60个,装载锁定室900包括两个形成于室体906中的基板转移室902、904。每个基板转移室902、904都有大约800升的内部体积,两个基板910置于升降机912上,该升降机在室902、904内垂直移动以便于机器人(没显示)交换基板。
为了获得高的基板产量,装载锁定室,例如上述的一种,要求高性能的真空泵和排气系统。但是,增加如此大体积装载锁定室的产量是很困难的。简单增加抽吸和排气速度并不能提供合适的方案,因为高抽吸速度会在装载锁定室内导致基板的粒子污染。而且,由于清洁室通常在湿度大于50%时操作以使静电最小化,装载锁定室的迅速排气可能导致在装载锁定室内产生不希望的水蒸气凝结。由于设想未来的系统能处理更大尺寸的基板,日益关心改进快速转移大面积基板的装载锁定室能力的需求。
因而,需要一种用于大面积基板的改进的装载锁定室。
发明内容
本文提供了一种用于转移大面积基板的装载锁定室及方法。在一个实施方案中,一个适用于转移大面积基板的装载锁定室包括多个垂直层叠的单个基板转移室。在另一个实施方案中,一个适用于转移大面积基板的装载锁定室包括一个室体,室体有一个适用于连接到真空室的第一侧和适用于连接到工厂界面的第二侧。室体中包括N个垂直层叠的基板转移室,其中N是大于2的整数。邻近的基板转移室通过一个基本水平的内壁分离并与周围环境隔离。
附图说明
上面提到的这种模式中叙述的发明的特征是能够获得并能被具体理解的。对本发明更多的详细描述和上文的简述,也在附图所示的实施方案中涉及。但值得注意的是,附图所示的只是本发明典型的实施方案,因此并不能认为是对发明范围的限制,其它包括同等效果的实施方案也被认为是本发明。
图1是用于处理大面积基板的处理系统的一个实施方案的顶部平面图;
图2是包括多个室的装载锁定室的一个实施方案的侧视图;
图3是装载锁定室沿图2的截面线3-3的剖视图;
图3A所示的是图2中的具有一个共享真空泵的装载锁定室
图4A-B是图3中的装载锁定室的局部剖视图;
图5是定位机构的一个实施方案;
图6-7定位机构的另一个实施方案的剖视图;
图8是装载锁定室的另一个实施方案;和,
图9是现有技术中常规的双通道双基板装载锁定室的一个实施方案。
为了便于理解,在可能的情况下,使用相同的附图标记标注附图中公共的相同组件。
具体实施方式
本发明通常提供一种大体积/高产量的具有多个垂直层叠基板转移室的装载锁定室。下面详细描述了本发明在一个平板屏面处理系统中的利用,例如,那些可以从AKI(位于Santa Clara,California的Applied Materlalslnc独立的全支公司)得到的。但是,应该理解的是,发明可以应用于其它的系统结构中,无论那里,通过大面积基板装载锁定室进行高产量基的基板转移都是被期望的。
图1是一个适用于处理大面积基板(例如,具有平面面积大于约2.7平方米的基板)的处理系统150的顶部平面图。该处理系统150通常具有通过装载锁定室100连接到工厂界面112的转移室108,装载锁定室具有多个基板移室。转移室108具有一个双叶片的真空机器人134置于其中,以适应于在多个外接处理室132和装载锁定室100之间转移基板。在一个实施方案中,其中一个处理室132是预热室,预热室能在处理之前使基板达到热状态以提高系统150的产量。通常,转移室108保持在真空条件下,以排除在每一块基板转移后,调整转移室108和单独的处理室132之间压力的必要性。
工厂界面112通常包括多个基板储存盒138和一个双叶片的气动机器人136,盒子138通常可在形成于工厂界面112一侧的多个台140之间移动。气动机器人136适应于在盒子138和装载锁定室100之间转移基板110。通常,工厂界面112保持在或略高于大气压。
图2是图1中多室装载锁100一个实施方案的剖面图。装载锁定室100有一个室体212,室体包括多个垂直层叠、与周围环境隔离的基板转移室,转移室通过真空压紧的、水平内壁214分离。尽管图2的实施方案中显示了三个单个基板转移室220、222、224,但可以预料的是,装载锁定室100的室体212可以包括两个或多个垂直层叠的基板转移室。例如,装载锁定室100可以包括N个被N-1个水平内壁分离的基板转移室,其中N是大于1的整数。
基板转移室220、222、224使其中每一个都容纳单个大面积基板110,从而使每一个室体积最小化以提高快速抽吸和排气循环。在图2所示的实施方案中,每一个基板转移室220、222、224都有一个等于或小于约1000升的内部体积,以容纳应具有平面表面积2.7平方米的基板。相比较而言,常规的设计的双通道双基板转移室900(图9所示)有大约1600升的内部体积。可以预料的是,可以设置本发明的具有更大宽度和/或长度以及同等高度的基板转移室以容纳更大的基板。
室体212包括第一个侧壁202,第二个侧壁204,第三个侧壁206,底部208和顶210,第四个侧壁302显示在图3中第三个侧壁206的对面。室体212是由一个适合在真空条件下使用的刚性材料制成。在一个实施方案中,室体212是由一个单个铝块(例如一块)制成。或者,室体212可以由模块部分制成,每一个模块部分通常包括基板转移室220、222、224之一的一部分,并以一种适合保持完全真空的方式装配,例如,参见附图标记218所示的连续焊接。
在图2所示的实施方案中,内壁214和室体212中除第二侧壁206的其余部分是由单个相近质量的材料制成。第二侧壁206密封连接室体212的其它部分以便于基板转移室220、222、224的加工,并允许在制造和装配期间进入室体212的内部。
或者,室体212的水平壁214可真空密封到室体212的侧壁,借此使基板转移室220、222、224分离。例如,在装载锁定室100的早期装配阶段,水平壁214可以连续地焊接到室体212,以使室体212有更大的入口。
在室体212中定义的每一个基板转移室220,222,224都包括两个基板转移室进出口。设置该口以便于基板110从装载锁定室100进出,在图2所示的实施方案中,置于室体212底部208的第一个基板转移室220包括宽度大于2000mm的第一个基板进出口230和第二个口基板进出口232,通过室体212的第一个侧壁202形成第一个基板进出口230,并将第一个基板转移室220连接到处理系统150的中心转移室108。通过室体212的第二个壁204形成第二个基板进出口232,并将第一个基板转移室220连接到工厂界面112。在图2所示的实施方案中,基板进出口230、232置于室体212的对立侧,但是,口230、232可以定位于室体212的邻近壁。
每一个基板进出口230、232都可以通过各自的槽阀226、228选择性地密封,槽阀适应于选择性地使第一基板转移室220与转移室108和工厂界面112的周围相隔离。槽阀226、228通过一个执行机构242(在图2的虚线中显示的一个执行机构242通常置于室体212的外部)在打开和关闭的位置之间移动。在图2所示的实施方案中,每一个槽阀226、228沿着第一个边缘枢轴地连接到室体212,并能通过在执行机构242在打开和关闭的位置之间旋转。
第一槽阀226从第一个侧壁202的内侧密封第一基板进出口230,并借此定位在第一基板转移室220内,以使第一基板转移室220和中心基板转移室108的真空环境之间的真空(例如,压力)差别帮助槽阀226逆着第一侧壁202装载和密封,借此增强真空密封。相应地,第二槽阀228置于第二侧壁204的外部并借此定位,以使工厂界面112的周围环境与第一基板转移室220的真空环境之间的压差帮助密封第二基板的进出口232。所用的槽阀的例子获益于1996年12月3日公开的美国专利NO.5579718和2000年4月4日公开的美国专利NO.6045620,在本文中将二者全文引用供参考。
第二个基板转移室222具有类似的构造,带有进出口234、236和槽阀226、228。第三个基板转移室224具有类似的构造,带有进出口238、240和槽阀226、228。
基板110被支撑在第一个基板转移室220的底部208和内壁214的上方,内壁214通过多个基板支撑体244固定第二个和第三个基板转移室222、224的底界。设置基板支撑体244并分开将基板110支撑在底部208(或者壁214)上面一个高度,以免基板和室体212接触。设置基板支撑体244以使刮擦和基板污染达到最小。在图2所示的实施方案中,基板支撑体244是具有圆形的顶部246的不锈钉。在美国专利NO.6528767(2003年5月4日申请),美国专利NO.09/982,406(2001年10月17日申请)和美国专利NO.10/376857(2003年2月27日申请)中,描述了其它适合的基板支撑体,所有这些在此全文引用供参考。
图3是装载锁定室沿图2的截面线3-3的剖视图,每一个基板转移室220、222、224的侧壁包括至少一个通过此处的口,设置口以便于控制每一个室内腔的压力。在图3所示的实施方案中,室体212包括一个通过室体212的第四侧壁302形成的排气口306和一个通过室体212的第三侧壁206形成的真空口304,用于排气和抽吸第一基板转移室220的下部。阀310、312分别连接到排气口304和真空口306以选择性地阻止流体穿过此处流动。真空口306连接到真空泵308,通过泵来选择性地降低第一基板转移室220的内腔压力,以达到与转移室108的压力基本上匹配的水平。
再参考图2,当转移室108和装载锁定室100的第一基板转移室220之间的压力基本上相等时,可以打开槽阀226以允许被处理的基板转移到装载锁定室100中,真空机器人134通过第一个基板进出口230将基板处理转移到转移室108中,放置基板后,从装载锁定室100的第一基板转移室220的转移室108返回,槽阀226关闭并且阀310打开,并由此允许排放气体,例如,N2和/或He,气体通过排气口进入到装载锁定室100的第一基板转移室220内,并升高内腔110的压力。通常,过滤通过排气口304进入到内腔110的排放气体以使基板上的潜在粒子污染降到最低。一旦第一基板转移室220内的压力与工厂界面112的压力基本相等,槽阀224打开,因而使气动机器人136在第一基板转移室220和基板储存盒138之间转移基板,盒138通过第二基板进出口232连接到工厂界面112。
其它的基板转移室222、224具有类似配置。尽管在图3中显示的每一个基板转移室220、222、224都有单独的泵308,一个或更多的基板转移室220、222、224可以共享一个单级真空泵,该泵具有合适的流量控制以便于在图3A所示的室之间选择性地抽吸。
当基板转移室220、222、224设置体积为小于或等于1000公升时,正如上面图9所示,常规双基板双通道装载锁定室900具有每小时转移大约60个基板的转移率,与之相比,装载锁定室100在降低的抽吸率下每小时可以转移大约70个基板。增加装载锁定室900的抽吸速率以提高基板的产量将导致室内冷凝。与装载锁定室900的每次循环为130秒相比较,本发明降低的抽吸速率每次吸/排循环大约在160-180秒之间,基本上更长的循环周期会降低室内的空气速度,因此降低基板上的粒子污染的概率,同时消除了凝结。此外,使用低容积的泵308可以达到更大的基板产量,并能降低系统成本。
而且,由于基板转移室的层叠设置,可以实现更大的基板产量而不必使装载锁定室增加到比转移单个基板所必需的占地面积更多。在降低FAB整体成本过程中非常期望最小化的占地面积。因此,具有三个单个基板转移室220、222、224的装载锁的整体高度低于双室系统700,进而在一个更小、更低成本的部件中提供更大的产量。
第一基板转移室220的底部208和内壁214可能也包括一个或多个形成于其中的凹槽316,内壁214形成第二和第三基板转移室222、224的底界。正如图4A-B中所示,在置于基板支撑体244上的基板110和机器人叶片402之间,设置凹槽316以提供间隙。
叶片402(显示在图4A-B中的一个指状物)被移入凹槽316。一旦到达第一基板转移室220的预定位置,叶片402将升高以从支撑体244上提升基板110。然后,运送基板110的叶片402从第一基板转移室220中缩回。基板100以反转的方式放在基板支撑体244上。
图5是定位机构500的一个实施方案的室体212的局部剖视图,定位机构500可以用来促使基板110进入到第一基板转移室220内的预定位置。第二个定位机构(没显示)置于第一基板转移室220对面的角落,以配合所示的机构500的操作。任选的,在第一基板转移室220内的每个角落均可设置定位机构500。其它的基板转移室222、226也类似配备以使基板定位。
例如,当通过气动机器人136把基板110放在基板支撑体244的位置和基板110相对于基板支撑体244的预定位置(即设计位置)之间有误差时,定位机构500可以校正这个定位误差。与利用气动机器人136调整基板设置的常规校正方法不同,通过装载锁定室100内的定位机构500使基板110定位的方法提供更大的机动性能和较低的系统成本。例如,由于装载锁定室100给基板支撑体244上的基板提供更宽松的位置,因此,带有定位机构500的基板转移室280在装载锁定室100和工厂界面112提供的使用者之间提供更大的兼容性,借此降低高精确度的机器人和/或通过工厂界面提供者产生校正的机器人动作的算法的需要。此外,当机器人定位精度设计标准降低后,可利用低成本的机器人。
在图5的实施方案中,定位机构包括两个滚筒502、504连接到杆508第一末端506。延伸通过槽518的杆508在钉510附近装轴转动,槽518通过侧壁302形成。执行机构512连接杆508以逆着基板110的邻近边缘的方向推动滚筒502、504。执行机构512,例如一个充气的圆筒,通常定位在室体212的外部。外壳520密封置于槽518之上,并包括波纹管或其它适合的密封522以便于执行机构512连接到杆508而没有真空泄漏。定位机构500和反向的定位机构(没有显示)配合操作以将基板定位在第一基板转移室220内预定的位置。其它可以使用的基板定位机构在美国专利申请NO.10/094,156(2002年3月8日申请)和美国专利申请NO.10/084,762(2002年2月22日申请)中有公开,所有这些在此全文引用供参考。
图6-7是定位机构600的另一个实施方案的剖视图。设置定位机构600以进行与上述的定位机构500相似的操作。尽管图6中只显示了一个定位机构600,但定位机构600与设置在室体212对角的另一个定位机构(没有显示)配合操作,任选的,室体212的每一个角落都有一个定位机构。
定位机构600通常包括一个通过轴604连接到执行机构608的内杆602,轴604穿过室体212设置。在图6-7描述的实施方例中,执行机构608通过外杆606连接到轴604,外杆606连接到轴604的柱720上,轴604延伸穿过室体212外壁的凹进处702,执行机构608可以是一个电动机,线性执行机构或适于使旋转运动传递到轴604的其它设备。带有轴604的内杆602旋转,借此移动从杆602延伸的一对滚筒502,504,以推动基板110(在剖视图中显示)进入一个预定的位置。
轴604穿过一个限定在凹进处610底部的水平壁612。一个空外壳614设置轴604,通过多个紧固零件616使空外壳固定在室体212上。一对套管706、712设置在外壳614的孔708上,以便于轴604在外壳614内旋转。密封704设置于外壳614的法兰710之间,使室体212保持完全真空。
在轴604和外壳614之间设置多个密封714以阻止真空损失。在图7所示的实施方案中,密封714包括三个开口端朝外杆606的杯状密封。通过垫片716和定位环718使密封714保持在孔708内。
图8是装载锁定室800的另一个实施方案。装载锁定室800与上述的装载锁定室100类似,并且在基板转移室向下抽吸和/或排气期间,装载锁定室额外配置以提供一个基板110的热处理系统。在图8所示的实施方案中,所示的室体822的一部分有一个详细描述的基板转移室802,而上部和下部的邻近基板转移室804、806可具有相似的结构。
在一个实施方案中,在基板转移室802上设置冷却板810。冷却板810可许适应于冷却处理返回到装载锁定室800的基板,冷却板810可以是一个完整的零件,或者是连接到内壁214。冷却板810包括多个连接到冷却流体源814的个通道812,冷却流体源814适应于使热传递流体循环穿过通道812以调节基板110的温度。
在图8所示的实施方案中,冷却板810连接至少一个执行机构816,其控制板810相对于基板支撑体244上的基板110的高度。通过冷却板810形成间隙818,通过间隙818设置基板支撑体244以使冷却板810垂直移进邻近基板时增强热交换,远离基板时为上述图4A-B中涉及的机器人叶片提供进出口。
执行机构810连接到室体822的外部,并通过连接杆820连接到冷却板810。杆820穿过形成于室体822上的槽824。外壳826置于槽824的上部并通过波纹管828或者类似物密封地连接到执行机构810和杆820,以使执行机构810调整冷却板810的高度而基板转移室没有真空损失。
基板转移室802也可以包括一个设置于顶部边界的(即室体的内壁或顶部,依赖于装载锁定室内的基板转移室的位置)加热部件830。在图8所示的实施方案中,加热部件830连接到电源832并适应预热未处理的基板,在一个实施方案中,加热部件830是一个辐射加热器,例如石英红外线卤素灯或其类似物。可以预料的是,也可以使用其它的加热部件。
因而,本文提供了一种具有垂直层叠单一基板转移室的装载锁定室。与现有常规技术中双通道双基板设计相比,垂直层叠单一基板转移室的结构具有缩小的尺寸和更大的产量。此外,低抽吸和排气率也能增加产量,这也相应的降低了由于粒子和冷凝作用而导致基板污染的可能性。
尽管前述内容涉及本发明的优选实施方案,但可以设计出其它的和进一步的实施方案而不偏离本发明的基本范围。本发明的范围由以下的权利要求书限定。

Claims (37)

1.一种装载锁定室包括:
一个室体,其第一侧适用于连接真空室,第二侧适用于连接工厂界面;
在室体内形成的N个垂直层叠的基板转移室,其中N是大于2的整数;和
N-1个内壁,每一个内壁使邻近的基板转移室分离并与周围环境隔离;
2.权利要求1所述的装载锁定室,其中该室体是由一块材料制成。
3.权利要求1所述的装载锁定室,其中至少第一和第二侧中的一侧是由一块材料制成的内壁制成以形成室部件,室部件的第一和第二侧部与其密封连接。
4.权利要求1所述的装载锁定室,其中该室体进一步包括:
多个模块部分,每一部分包括至少一个基板转移室,其中模块部分是垂直层叠的。
5.权利要求1所述的装载锁定室,其中基板转移室具有小于或等于大约1000立方升的内体积。
6.权利要求1所述的装载锁定室,其中每一个基板转移室进一步包括多个固定的基板支撑体,这些支撑体适应于保持基板在基板转移室内相对于室体空间分离的位置。
7.权利要求1所述的装载锁定室,其中每一个基板转移室适应于容纳一个具有平面面积至少为2.7平方米的基板。
8.权利要求1所述的装载锁定室,其中每一个基板转移室进一步包括:
一个冷却板,设置于室体的至少一个内壁、顶部或底部之上或与之形成整体。
9.权利要求8所述的装载锁定室,其中该冷却板进一步包括:
适应于热传递流体由此流过的多个通道。
10.权利要求8所述的装载锁定室,其中每一个基板转移室进一步包括:
多个固定的基板支撑体,适应于保持基板在基板转移室内相对室体空间分离的位置,至少一个基板支撑体通过冷却板设置;及
一个连接冷却板的执行机构,适应于控制冷却板相对于基板支撑体的远端的高度。
11.权利要求10所述的装载锁定室,其中每一个基板转移室进一步包括:
设置于至少一个基板转移室的顶部或底部的加热器。
12.权利要求1所述的装载锁定室,其中每一个基板转移室进一步包括:
设置于至少一个基板转移室的顶部或底部的加热器。
13.权利要求1所述的装载锁定室,其中每一个基板转移室进一步包括:
一个定位机构,设置在至少基板转移室对角内并适应于在基板转移室中将基板水平地定位于预定的位置。
14.权利要求1所述的装载锁定室,其中每一个基板转移室进一步包括:
排气口和抽吸口。
15.权利要求1所述的装载锁定室,其中每一个基板转移室的抽吸口连接一个单级泵。
16.权利要求1所述的装载锁定室,其中每一个基板转移室的抽吸口连接各自的泵。
17.权利要求1所述的装载锁定室,其中内壁进一步包括:在第一和第二侧之间有多个转动的凹槽,这些凹槽适应于接受基板转移机器人的至少一部分末端执行器。
18.权利要求13所述的装载锁定室,其中该定位机构进一步包括:
一个延伸通过槽的杆,槽穿过室体形成;
连接到杆的第一末端的两个滚筒,及
连接到杆的执行机构,适应于逆着室体内基板的邻近边缘的方向推动滚筒。
19.权利要求18所述的装载锁定室,其中该定位机构进一步包括:
一个外壳,密封地设置于槽的上方;和密封,便于连接执行机构和杆而室体不会产生真空泄漏。
20.权利要求18所述的装载锁定室,其中该杆枢轴地连接到室体。
21.权利要求1所述的装载锁定室,其中每一个基板转移室进一步包括:
两个宽度大于2000mm的基板进出口。
22.一种装载锁定室包括:
一个室体,其第一侧适用于连接真空室,另一个侧适用于连接工厂界面;
形成在室体内的第一室;
连接室体的第一槽阀,将通过室体第一侧形成的第一个基板进出口选择性地密封并连接到第一室;
连接室体的第二槽阀,将通过室体第二侧形成的第二个基板口选择性地密封并连接到第一室;
至少一个形成于室体内的第二个室,并通过一个水平的壁与第一室隔离;
连接室体的第三槽阀,将通过室体第一侧形成的第三个基板进出口选择性地密封并连接到第二室;
连接室体的第四槽阀,将第四个基板进出口选择性地密封,并连接到第二室;
形成于室体内第一室和第二室上方的第三室,通过第二个水平的壁与第二室分离;
连接室体的第五槽阀,将第五个基板进出口选择性地密封并连接到第三室;及连接室体的第六槽阀,将第六个基板进出口选择性地密封并连接到第三室;
23.权利要求21所述的装载锁定室,进一步包括:
在室体内形成的用于接受单个基板的N个室,每一个室通过一个水平的壁与邻近的室分开,其中N是大于3整数。
24.权利要求21所述的装载锁定室,进一步包括:
可通流体地连接到第一室的第一压力操作系统,
可通流体地连接到第二室的第二压力操作系统,第一和第二压力操作系统可独立控制。
25.权利要求24所述的装载锁定室,其中第一压力系统进一步包括:
一个通过排气口连接到第一室的排气阀;和
一个通过抽吸口连接到第一室的泵。
26.权利要求21所述的装载锁定室,进一步包括:
设置在每一个基板转移室的辐射式加热器。
27.权利要求21所述的装载锁定室,进一步包括:
设置在每一个基板转移室的冷却板。
28.一种装载锁定室包括:
一个室体,其第一侧适用于连接真空室,另一个侧适用于连接工厂界面;
形成在室体内的第一室;
连接室体的第一槽阀,将通过室体第一侧形成的第一个基板进出口选择性地密封并连接到第一室;
连接室体的第二槽阀,将通过室体第二侧形成的第二个基板进出口选择性地密封并连接到第一室;
在室体内形成第二个室,并通过一个水平的壁与第一室环境隔离;
第三槽阀,将通过室体第一侧形成的第三个基板进出口选择性地密封并连接到第二室;
连接室体的第四槽阀,将第四个基板口基板进出口选择性地密封并连接到第二室;
形成于室体内的第三室,通过水平的壁与第二室环境分离;
连接室体的第五槽阀,将通过实体第一侧形成的第五个基板进出口选择性地密封并连接到第三室;及
连接室体的第六槽阀,将第六个基板进出口选择性地密封,并连接到第三室,其中每一个室都设置为能容纳具有表面积为2.7平方米的基板,每一个室进一步包括:
一个设置在室底部的冷却设备;
一个设置在室顶部的加热设备;
多个延伸通过室底部的基板支撑体钉;
一个适应于使室内的基板居中的定位机构;
一个排气口;及
一个抽吸口。
29.权利要求28所述的装载锁定室,其中每一个室的抽吸口连接一个单级泵。
30.权利要求28所述的装载锁定室,其中每一个室的抽吸口与各自的泵相连接。
31.权利要求28所述的装载锁定室,其中每一个室进一步包括:
一个连接冷却板的执行机构,适应于控制冷却板相对于基板支撑体远端的高度。
32.权利要求28所述的装载锁定室,其中的定位机构进一步包括:
一个延伸通过槽的杆,槽穿过室体;
连接到杆的第一末端的两个滚筒,及
连接到杆的执行机构,适应于逆着室体内的基板邻近边缘的方向推动滚筒。
33.权利要求28所述的装载锁定室,其中定位机构进一步包括:
密封地设置于槽上方的外壳;和
密封,便于连接执行机构和杆而室体不会产生真空泄漏。
34.权利要求32所述的装载锁定室,其中杆枢轴地连接到室体。
35.权利要求32所述的装载锁定室进一步包括:
一个通过室体连接到杆的轴;和
设置于室体外的第二个杆,连接轴和执行机构。
36.一种大面积的基板处理系统包括:
一个转移室;
一个设置于转移室内的转移机器人;
连接到转移室的多个处理室;和
连接到转移室的多个垂直层叠的单个基板装载锁定室。
37.一种用于在多个装载锁定室内控制压力的方法包括:
使第一个室排气并从那里转移第一块基板,排气并转移定义为第一阶段;
在至少第一阶段的部分时间内,开动泵向下抽吸包含第二基板的第二装载锁定室;
将泵入口从第二锁定室转换到第三锁定室;
当第二块基板从第二装载锁定室转移到真空转移室时,开动泵向下抽吸包含第三基板的第三装载锁定室。
CN2007101669022A 2003-10-20 2004-10-20 用于大面积基板处理系统的装载锁定室 Active CN101145506B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51272703P 2003-10-20 2003-10-20
US60/512,727 2003-10-20
US10/832,795 US7207766B2 (en) 2003-10-20 2004-04-26 Load lock chamber for large area substrate processing system
US10/832,795 2004-04-26

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100981437A Division CN100382234C (zh) 2003-10-20 2004-10-20 用于大面积基板处理系统的装载锁定室

Publications (2)

Publication Number Publication Date
CN101145506A true CN101145506A (zh) 2008-03-19
CN101145506B CN101145506B (zh) 2012-09-05

Family

ID=34396598

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2004100981437A Active CN100382234C (zh) 2003-10-20 2004-10-20 用于大面积基板处理系统的装载锁定室
CN2007101669022A Active CN101145506B (zh) 2003-10-20 2004-10-20 用于大面积基板处理系统的装载锁定室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2004100981437A Active CN100382234C (zh) 2003-10-20 2004-10-20 用于大面积基板处理系统的装载锁定室

Country Status (6)

Country Link
US (2) US7207766B2 (zh)
EP (1) EP1526565A3 (zh)
JP (2) JP2005175440A (zh)
KR (2) KR100929728B1 (zh)
CN (2) CN100382234C (zh)
TW (1) TWI294865B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102356459A (zh) * 2009-03-18 2012-02-15 Oc欧瑞康巴尔斯公司 真空处理装置
CN102803551A (zh) * 2011-02-21 2012-11-28 应用材料公司 用于改善处理室利用的系统及其操作方法
CN103898449A (zh) * 2012-12-27 2014-07-02 北京北方微电子基地设备工艺研究中心有限责任公司 用于调节托盘温度的腔室及半导体加工设备
CN104008945A (zh) * 2013-02-22 2014-08-27 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法
CN104137248A (zh) * 2012-02-29 2014-11-05 应用材料公司 配置中的除污及剥除处理腔室
CN104392947A (zh) * 2008-08-27 2015-03-04 应用材料公司 用于大面积基板处理系统的加载锁定腔室
CN104421437A (zh) * 2013-08-20 2015-03-18 中微半导体设备(上海)有限公司 活动阀门、活动屏蔽门及真空处理系统
CN104733353A (zh) * 2013-12-23 2015-06-24 泰拉半导体株式会社 批处理式基板处理装置
CN104726837A (zh) * 2013-12-18 2015-06-24 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及等离子体加工设备
CN105789091A (zh) * 2016-03-16 2016-07-20 沈阳拓荆科技有限公司 负载腔室及其使用该负载腔室之多腔室处理系统
CN106498366A (zh) * 2015-09-03 2017-03-15 维易科仪器有限公司 多室化学气相沉积系统
CN109786282A (zh) * 2017-11-15 2019-05-21 台湾积体电路制造股份有限公司 处理衬底或晶圆的装置

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
TWI294155B (en) * 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
TWI298895B (en) * 2004-06-02 2008-07-11 Applied Materials Inc Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US8648977B2 (en) * 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US8206075B2 (en) * 2004-06-02 2012-06-26 Applied Materials, Inc. Methods and apparatus for sealing a chamber
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7461794B2 (en) * 2005-08-18 2008-12-09 Applied Materials, Inc. Substrate temperature regulating support pins
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
KR100794649B1 (ko) * 2006-03-02 2008-01-14 브룩스오토메이션아시아(주) 로드락 챔버
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
KR101324288B1 (ko) * 2006-08-23 2013-11-01 주성엔지니어링(주) 유지보수가 간편한 기판 얼라이너
US8920097B2 (en) * 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US20080206020A1 (en) * 2007-02-27 2008-08-28 Smith John M Flat-panel display processing tool with storage bays and multi-axis robot arms
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
KR100858933B1 (ko) * 2007-05-02 2008-09-17 주식회사 에스에프에이 평면디스플레이용 기판 처리 시스템의 로드락 챔버
US8082741B2 (en) * 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
TWI455861B (zh) * 2007-05-18 2014-10-11 Brooks Automation Inc 基板加工工具、半導體加工工具、及基板加工裝置
KR101522324B1 (ko) 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US20090029502A1 (en) * 2007-07-24 2009-01-29 Applied Materials, Inc. Apparatuses and methods of substrate temperature control during thin film solar manufacturing
US20090060687A1 (en) * 2007-08-28 2009-03-05 White John M Transfer chamber with rolling diaphragm
WO2009055507A1 (en) * 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
KR100976400B1 (ko) * 2008-03-26 2010-08-17 주식회사 에스에프에이 화학 기상 증착 장치의 로드락 챔버
JP2009239085A (ja) * 2008-03-27 2009-10-15 Foi:Kk 半導体ウェハ搬送装置および半導体ウェハ搬送方法
US8992153B2 (en) 2008-06-30 2015-03-31 Intevac, Inc. System and method for substrate transport
US20100011785A1 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
US9157145B2 (en) 2008-07-29 2015-10-13 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
JP5225815B2 (ja) * 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
JP2010135536A (ja) * 2008-12-04 2010-06-17 Tokyo Electron Ltd ロードロック装置および真空処理システム
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
JP5501688B2 (ja) * 2009-07-30 2014-05-28 東京エレクトロン株式会社 基板位置合わせ機構、それを用いた真空予備室および基板処理システム
CN102554937B (zh) * 2010-12-20 2015-06-24 理想能源设备(上海)有限公司 搬运机械手及搬运装置
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
CN103370768B (zh) * 2011-03-01 2017-05-31 应用材料公司 具有共享泵的真空腔室
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US20130087309A1 (en) * 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
CN102502253B (zh) * 2011-11-18 2014-09-10 北京七星华创电子股份有限公司 晶圆状物件的输送系统
TWM476362U (en) 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
CN105580124B (zh) 2013-09-26 2018-05-18 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
CN103556126A (zh) * 2013-10-14 2014-02-05 中国科学院半导体研究所 优化配置的多腔室mocvd反应系统
CN105441876B (zh) * 2014-09-02 2019-04-23 北京北方华创微电子装备有限公司 一种薄膜沉积设备
KR101593536B1 (ko) * 2015-04-15 2016-02-16 주식회사 테라세미콘 배치식 기판처리 장치
US9704714B2 (en) * 2015-04-16 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd Method for controlling surface charge on wafer surface in semiconductor fabrication
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
KR20160141244A (ko) * 2015-05-29 2016-12-08 피에스케이 주식회사 기판 처리 장치 및 방법
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
WO2017210590A1 (en) * 2016-06-02 2017-12-07 Applied Materials, Inc. Gate valve for continuous tow processing
CN107958851B (zh) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
US10082104B2 (en) 2016-12-30 2018-09-25 X Development Llc Atmospheric storage and transfer of thermal energy
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10504762B2 (en) * 2018-02-06 2019-12-10 Applied Materials, Inc. Bridging front opening unified pod (FOUP)
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
KR102568797B1 (ko) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7280132B2 (ja) * 2019-07-12 2023-05-23 株式会社アルバック 真空チャンバ及び基板処理装置
CN111633203A (zh) * 2020-06-01 2020-09-08 致恒(天津)实业有限公司 一种带导向的圆弧双侧定向位机构
CN113035752B (zh) * 2021-03-05 2022-11-11 上海广川科技有限公司 负载锁定装置及基片传片方法
WO2024010591A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Alignment mechanism, vacuum chamber with an alignment mechanism and method of aligning a substrate in a vacuum chamber

Family Cites Families (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) * 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5187115A (en) * 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
CH626214GA3 (zh) * 1979-02-07 1981-11-13
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5374147A (en) * 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) * 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4655584A (en) * 1984-05-11 1987-04-07 Nippon Kogaku K. K. Substrate positioning apparatus
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4759681A (en) * 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4880349A (en) * 1986-12-23 1989-11-14 Northern Telecom Limited Method for locating and supporting ceramic substrates
US4784377A (en) * 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4846102A (en) * 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0333058Y2 (zh) 1987-06-26 1991-07-12
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
DE3855871T2 (de) * 1987-09-11 1997-10-16 Hitachi Ltd Vorrichtung zur Durchführung einer Wärmebehandlung an Halbleiterplättchen
US5020475A (en) * 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
FR2621930B1 (fr) * 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
DE3739143A1 (de) * 1987-11-19 1989-06-01 Henkel Kgaa Waessriges weichspuelmittel fuer die behandlung von textilien
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
DE58909880D1 (de) * 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5046909A (en) * 1989-06-29 1991-09-10 Applied Materials, Inc. Method and apparatus for handling semiconductor wafers
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5227708A (en) * 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
EP0858867A3 (en) 1989-10-20 1999-03-17 Applied Materials, Inc. Robot apparatus
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (ja) * 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5131460A (en) * 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
KR100230697B1 (ko) 1992-02-18 1999-11-15 이노우에 쥰이치 감압 처리 장치
JPH07114233B2 (ja) * 1992-04-01 1995-12-06 株式会社ニコン 基板の位置決め装置
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH0616206A (ja) * 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
DE69323716T2 (de) 1993-01-28 1999-08-19 Applied Materials Inc Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
EP0608620B1 (en) 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5421889A (en) * 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
EP0634787B1 (en) 1993-07-15 1997-05-02 Applied Materials, Inc. Subsrate tray and ceramic blade for semiconductor processing apparatus
TW276353B (zh) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) * 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
US5636954A (en) * 1995-05-02 1997-06-10 Jac Products, Inc. Adapter for a vehicle article carrier
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
TW309503B (zh) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) * 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100238998B1 (ko) * 1995-07-26 2000-01-15 우치가사키 기이치로 가열로
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
CH691376A5 (de) * 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
TW318258B (zh) * 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3650495B2 (ja) * 1995-12-12 2005-05-18 東京エレクトロン株式会社 半導体処理装置、その基板交換機構及び基板交換方法
US5793050A (en) * 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
TW372926B (en) * 1996-04-04 1999-11-01 Applied Materials Inc Method and system of processing semiconductor workpieces and robot for use in said system
JPH09284344A (ja) * 1996-04-11 1997-10-31 Fujitsu Ltd Atm/stm混在ネットワークシステム
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) * 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5795355A (en) * 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
JP3549141B2 (ja) * 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) * 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3286240B2 (ja) 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
JP4386983B2 (ja) * 1998-02-25 2009-12-16 キヤノンアネルバ株式会社 基板処理装置、マルチチャンバー基板処理装置及び電子デバイス製作方法
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
DE19829580A1 (de) * 1998-07-02 2000-01-05 Bosch Gmbh Robert Vorrichtung zur mechanischen Ausrichtung eines Trägersubstrats für elektronische Schaltungen
US6431807B1 (en) * 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
JP2000114149A (ja) * 1998-10-07 2000-04-21 Hitachi Ltd ガラス基板保持装置
KR100561703B1 (ko) * 1998-11-12 2006-03-17 동경 엘렉트론 주식회사 처리시스템
JP3420712B2 (ja) * 1998-11-12 2003-06-30 東京エレクトロン株式会社 処理システム
JP3439358B2 (ja) * 1998-11-27 2003-08-25 株式会社大廣製作所 自動洗髪機
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP2000200755A (ja) * 1999-01-06 2000-07-18 Kokusai Electric Co Ltd チャンバ装置
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6435686B1 (en) * 1999-02-26 2002-08-20 The Ohtsu Tire & Rubber Co., Ltd. Light conducting plate for a back lighting device and back lighting device
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2000323551A (ja) * 1999-05-11 2000-11-24 Anelva Corp 基板処理装置
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
JP4336003B2 (ja) * 1999-07-28 2009-09-30 三井造船株式会社 真空容器ロードロック装置
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
JP4316752B2 (ja) * 1999-11-30 2009-08-19 キヤノンアネルバ株式会社 真空搬送処理装置
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2004523880A (ja) * 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
JP2002158273A (ja) * 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) * 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
KR100398877B1 (ko) * 2001-05-09 2003-09-19 삼성전자주식회사 현상기 소음 및 진동방지구조를 갖는 화상형성장치
CN1309859C (zh) 2001-05-17 2007-04-11 东京电子株式会社 基于圆筒的等离子体处理系统
JP2003007797A (ja) * 2001-06-20 2003-01-10 Sharp Corp 真空処理装置
WO2003038145A2 (en) 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
US6824343B2 (en) * 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
US7104535B2 (en) * 2003-02-20 2006-09-12 Applied Materials, Inc. Methods and apparatus for positioning a substrate relative to a support stage
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104392947A (zh) * 2008-08-27 2015-03-04 应用材料公司 用于大面积基板处理系统的加载锁定腔室
CN102356459A (zh) * 2009-03-18 2012-02-15 Oc欧瑞康巴尔斯公司 真空处理装置
CN102356459B (zh) * 2009-03-18 2014-05-14 Oc欧瑞康巴尔斯公司 真空处理装置
CN102803551A (zh) * 2011-02-21 2012-11-28 应用材料公司 用于改善处理室利用的系统及其操作方法
CN102803551B (zh) * 2011-02-21 2016-03-16 应用材料公司 用于改善处理室利用的系统及其操作方法
CN104137248A (zh) * 2012-02-29 2014-11-05 应用材料公司 配置中的除污及剥除处理腔室
CN104137248B (zh) * 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US10943788B2 (en) 2012-02-29 2021-03-09 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US10566205B2 (en) 2012-02-29 2020-02-18 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
CN103898449A (zh) * 2012-12-27 2014-07-02 北京北方微电子基地设备工艺研究中心有限责任公司 用于调节托盘温度的腔室及半导体加工设备
CN103898449B (zh) * 2012-12-27 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 用于调节托盘温度的腔室及半导体加工设备
CN104008945A (zh) * 2013-02-22 2014-08-27 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法
CN104008945B (zh) * 2013-02-22 2016-06-01 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法
CN104421437A (zh) * 2013-08-20 2015-03-18 中微半导体设备(上海)有限公司 活动阀门、活动屏蔽门及真空处理系统
CN104726837A (zh) * 2013-12-18 2015-06-24 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及等离子体加工设备
CN104726837B (zh) * 2013-12-18 2018-05-25 北京北方华创微电子装备有限公司 反应腔室及等离子体加工设备
CN104733353B (zh) * 2013-12-23 2020-01-07 圆益Ips股份有限公司 批处理式基板处理装置
CN104733353A (zh) * 2013-12-23 2015-06-24 泰拉半导体株式会社 批处理式基板处理装置
TWI698944B (zh) * 2013-12-23 2020-07-11 南韓商圓益Ips股份有限公司 批量式基板處理裝置
CN106498366A (zh) * 2015-09-03 2017-03-15 维易科仪器有限公司 多室化学气相沉积系统
CN105789091A (zh) * 2016-03-16 2016-07-20 沈阳拓荆科技有限公司 负载腔室及其使用该负载腔室之多腔室处理系统
CN109786282A (zh) * 2017-11-15 2019-05-21 台湾积体电路制造股份有限公司 处理衬底或晶圆的装置

Also Published As

Publication number Publication date
US20070140814A1 (en) 2007-06-21
JP2009200518A (ja) 2009-09-03
KR20070075368A (ko) 2007-07-18
KR20050037964A (ko) 2005-04-25
TWI294865B (en) 2008-03-21
TW200530105A (en) 2005-09-16
KR100978708B1 (ko) 2010-08-31
US7207766B2 (en) 2007-04-24
CN101145506B (zh) 2012-09-05
EP1526565A2 (en) 2005-04-27
CN1638025A (zh) 2005-07-13
CN100382234C (zh) 2008-04-16
KR100929728B1 (ko) 2009-12-03
EP1526565A3 (en) 2011-07-06
US7651315B2 (en) 2010-01-26
JP2005175440A (ja) 2005-06-30
US20050095088A1 (en) 2005-05-05

Similar Documents

Publication Publication Date Title
CN100382234C (zh) 用于大面积基板处理系统的装载锁定室
CN101496158B (zh) 具有分离间隙阀门密封隔间的负载锁定室
US6824343B2 (en) Substrate support
CN102230155B (zh) 可分离式腔体
US20070006936A1 (en) Load lock chamber with substrate temperature regulation
US7665951B2 (en) Multiple slot load lock chamber and method of operation
US8382088B2 (en) Substrate processing apparatus
KR101046966B1 (ko) 튜브에 히터를 구비한 로드락 챔버
US7467916B2 (en) Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant