JP2009200518A - 大面積基板を搬送するための方法 - Google Patents

大面積基板を搬送するための方法 Download PDF

Info

Publication number
JP2009200518A
JP2009200518A JP2009109713A JP2009109713A JP2009200518A JP 2009200518 A JP2009200518 A JP 2009200518A JP 2009109713 A JP2009109713 A JP 2009109713A JP 2009109713 A JP2009109713 A JP 2009109713A JP 2009200518 A JP2009200518 A JP 2009200518A
Authority
JP
Japan
Prior art keywords
substrate
chamber
transfer chamber
slit valve
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009109713A
Other languages
English (en)
Inventor
Shinichi Kurita
クリタ シンイチ
Wendell T Blonigan
ティ. ブロニガン ウェンデル
Yoshiaki Tanase
タナセ ヨシアキ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009200518A publication Critical patent/JP2009200518A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/063Transporting devices for sheet glass
    • B65G49/064Transporting devices for sheet glass in a horizontal position
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/067Sheet handling, means, e.g. manipulators, devices for turning or tilting sheet glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】大面積基板を高速で搬送するためのロードロックチャンバ及び方法を提供する。
【解決手段】大面積基板110を搬送するのに適したロードロックチャンバは、複数の垂直に積み重ねられた単一基板搬送チャンバを含んでいる。大面積基板を搬送する為の方法は、チャンバ本体内に置かれた第一処理チャンバ内に配置された基板支持体244まで第一スリットバルブを通して第一ロボットブレードから大面積基板を搬送するステップと、基板に対して平行な平面内の直線経路で一以上のアクチュエータ512を移動させるステップと、一以上のアクチュエータの動きに応じて直線経路の前記平面に対して直交する回転軸510周りに一以上のレバーアーム508を水平に回転させるステップと、一以上のレバーアームを水平に回転させるステップによって、基板支持体上の所定位置へと基板を押し付けるステップと、を備える。
【選択図】図5

Description

関連出願の説明
[0001]本出願は、2003年10月20日出願の“大面積基板処理システムのためのロードロックチャンバ”と称する米国仮特許出願第60/512,727号の恩典を主張する。この開示内容は本明細書に全体で援用されている。
本発明の背景
本発明の分野
[0002]本発明の実施態様は、大面積基板を真空処理システムへ搬送するロードロックチャンバ及びその作動方法に関する。
関連技術の説明
[0003]薄膜トランジスタ(TFT)は、アクティブマトリクスディスプレイ、例えば、コンピューターやテレビのモニタ、携帯電話のディスプレイ、携帯情報端末(PDA)、及び増加している他の多くのデバイスに一般に用いられている。一般的には、フラットパネルは、それらの間にはさまれた液晶材料の層を持った二枚のガラス板を含んでいる。ガラス板の少なくとも一つは、電源と結合したその上に配置された一導電膜を含んでいる。電源から導電薄膜に供給される電力は、結晶材料の配向を変化させ、パターンディスプレイを作る。
[0004]フラットパネル技術の市場の受け入れにつれて、量産性に優れ、製造コストの少ない大面積ディスプレイの需要が、フラットパネルディスプレイ組立加工業者のためにより大きなサイズのガラス基板を収容する新しいシステムを装置製造業者に開発させている。現在のガラス処理装置は、一般的に約1平方メートルまでの基板を収容するように構成されている。1-1/2平方メートルまで及びそれを超えるサイズの基板を収容するように構成された処理装置が近い将来にできると予想される。
[0005]そのような大きな基板を作るための装置は、フラットパネルディスプレイ組立加工業者に対する実質的な投資を表わしている。従来のシステムは、大きく高価なハードウェアを必要とする。この投資を埋め合わせるために、高基板処理能力が非常に望ましい。
[0006]図9は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社が全面的に所有している部局であるAKTから得られるダブルデュアルスロットロードロックチャンバ900の簡易略図であり、現在、毎時約60枚の基板の速度で1500×1800mm基板を搬送することができる。ロードロックチャンバ900は、チャンバ本体906に形成された二枚の基板の搬送チャンバ902、904を含んでいる。各基板搬送チャンバ902、904の内部容積は、約800リットルである。二枚の基板910は、搬送ロボットに基板の交換を容易にするためにチャンバ902、904内を垂直移動できるエレベーター912上に配置されている(図示されていない)。
[0007]高基板処理能力を達成するために、上記のようなロードロックチャンバには高能力真空ポンプと通気システムが必要である。しかしながら、そのような大容積ロードロックチャンバの処理能力を増加することは課題となっている。高ポンプ速度がロードロックチャンバ内の基板の微粒子汚染に寄与することがあるので、ポンプ速度と通気速度の単純な増加は受け入れることができる解決法を与えない。更に、クリーンルームが静電気を最小限にするために一般的に50%より高い湿度レベルで作動するので、ロードロックチャンバの高速通気は、ロードロックチャンバ内の水蒸気の凝縮という望まない結果を引き起こしてしまう。将来の処理システムはより大きなサイズの基板さえも処理することが予想されるので、大面積基板を高速搬送できる改善されたロードロックチャンバの必要性にますます関心がもたれる。
[0008]したがって、大面積基板のために改善されたロードロックチャンバが求められている。
[0009]大面積基板を搬送するためのロードロックチャンバ及び方法が提供される。一実施態様においては、大面積基板を搬送するのに適したロードロックチャンバは、複数の垂直に積み重ねられた単一基板搬送チャンバを含んでいる。大面積基板を搬送する為の方法は、チャンバ本体内に置かれた第一処理チャンバ内に配置された基板支持体まで第一スリットバルブを通して第一ロボットブレードから大面積基板を搬送するステップと、前記基板に対して平行な平面内の直線経路で一以上のアクチュエータを移動させるステップと、前記一以上のアクチュエータの動きに応じて前記直線経路の前記平面に対して直交する回転軸周りに一以上のレバーアームを水平に回転させるステップと、一以上のレバーアームを水平に回転させるステップによって、前記基板支持体上の所定位置へと前記基板を押し付けるステップと、を備える。
[0010]本発明の上記特徴が得られる方法が詳細に理解され得るように、上で簡単に纏めた本発明を、添付の図面に示される実施態様によって更に詳しく説明することができる。しかしながら、添付の図面は本発明の典型的な実施態様のみを示しているので、本発明が他の同様に効果的な実施態様を認めてもよいことから、本発明の範囲を制限するとみなされるべきでないことは留意されるべきである。
[0020]理解を容易にするために、図に共通の同一要素を示すように可能ならどこでも同一の符号が用いられている。
大面積基板を処理するための処理システムの一実施態様の平面図である。 多重チャンバのロードロックチャンバの一実施態様の側断面図である。 図2の切断線3-3に沿って切ったロードロックチャンバの断面図である。 図3Aは、共有する真空ポンプを持った図2のロードロックチャンバである。 図4Aは、図3のロードロックチャンバの部分断面図である。 図4Bは、図3のロードロックチャンバの部分断面図である。 アライメント機構の一実施態様である。 アライメント機構の他の実施態様の断面図である。 アライメント機構の他の実施態様の断面図である。 ロードロックチャンバの他の実施態様である。 従来技術の慣用のデュアルスロット二基板ロードロックチャンバの一実施態様である。
詳細な説明
[0021]本発明は、一般的には、多数積み重ねられた基板搬送チャンバを持った高容積/高処理能力ロードロックチャンバを提供する。本発明は、下で説明的に記載され、カリフォルニア州サンタクララにあるアプライドマテリアルズ社の部局のAKTから入手できるようなフラットパネル処理システムに用いられている。しかしながら、本発明は、大面積基板のロードロックチャンバを通って高処理能力基板搬送が所望されるところであれば他のシステム構成において有用である事を理解すべきである。
[0022]図1は、大面積基板(例えば、約2.7平方メートルより大きい面積を持った基板)を処理するのに適した処理システム150の一実施態様の平面図である。処理システム150は、典型的には、複数の単一基板搬送チャンバを持ったロードロックチャンバ100によってファクトリ・インタフェース112に結合した搬送チャンバ108を含んでいる。搬送チャンバ108は、複数の取り囲んでいる処理チャンバ132とロードロックチャンバ100との間に基板を搬送するように適応された、少なくとも1つのデュアルブレード真空ロボット134がその中に配置されている。一実施態様においては、処理チャンバ132の1つは、処理システム150の処理能力を高めるために処理する前に基板を熱的に調節する予熱チャンバである。典型的には、搬送チャンバ108は、各基板搬送後に、搬送チャンバ108と個々の処理チャンバ132の間の圧力調整の必要を取り除くために真空条件に維持される。
[0023]ファクトリ・インタフェース112は、一般的には、複数の基板貯蔵カセット138と大気中のデュアルブレードロボット136を含んでいる。基板貯蔵カセット138は、ファクトリ・インタフェース112の片面に形成された複数のベイ140に通常は取り外し可能に配置されている。大気中のデュアルブレードロボット136は、基板貯蔵カセット138とロードロックチャンバ100の間に基板110を搬送するように適応されている。典型的には、ファクトリ・インタフェース112は、大気圧で或いはそれよりわずかに高く維持されている。
[0024]図2は、図1のマルチチャンバロードロック100の一実施態様の断面図である。ロードロックチャンバ100は、真空気密の水平内壁214によって分けられた複数の垂直に積み重ねられた環境的に分離された基板搬送チャンバを含んでいるチャンバ本体212を持っている。3つの単一基板搬送チャンバ220、222、224が図2に示された実施態様に示されているが、ロードロックチャンバ100のチャンバ本体212は2つ以上の垂直に積み重ねられた基板搬送チャンバを含むことができることが企図される。例えば、ロードロックチャンバ100は、N−1個の水平内壁214によって分けられたN個の基板搬送チャンバを含むことができる。
[0025]基板搬送チャンバ220、222、224は、単一大面積基板110を収容するように各々構成され、各チャンバの容積は高速の排気と通気サイクルを高めるために最小化されてもよい。図2に示された実施態様においては、各基板搬送チャンバ220、222、224は、約2.7平方メートルの平面積を持つ基板を収容するために約1000リットル以下の内部容積を持っている。比較のために、従来の設計のデュアルスロット二基板搬送チャンバ900(図9に示されている)の内容積は、約1600リットルである。幅の広い及び/又は長い且つ同じ高さの本発明の基板搬送チャンバは、更に大きな基板を収容するように構成されてもよいことが企図される。
[0026]チャンバ本体212は、第一側壁202、第二側壁204、第三側壁206、底部208、最上部210を含んでいる。第四側壁302は、図3において第三側壁206の反対側に示されている。チャンバ本体212は、真空条件下での使用に適する剛性材料から作られる。一実施態様においては、チャンバ本体212は、アルミニウムの単一ブロック(たとえば、ワンピース)から作られる。或いは、チャンバ本体212は各モジュール部分から作られてもよく、各モジュラー部分は、一般的には、基板搬送チャンバ220、222、224の1つの一部から成り、符号218によって示される連続溶接部のような完全真空を維持するのに適した方法で組み立てられている。
[0027]図2に示された実施態様においては、水平内壁214と第二側壁20以外のチャンバ本体212の残りの部分は、単一の相接する材料物質から作られている。第二側壁20は、基板搬送チャンバ220、222、224の整合を容易にするとともに製造と組立の間にチャンバ本体212の内部に到達することができるようにチャンバ本体212の他の部分に密封で結合される。
[0028]或いは、チャンバ本体212の水平壁214は、チャンバ本体212の側壁に真空密封されてもよく、それにより基板搬送チャンバ220、222、224を離させる。例えば、水平壁214は、ロードロックチャンバ100の初期の組立段階の間にチャンバ本体212の内部全体に著しく接近することができるようにチャンバ本体212に連続溶接することができる。
[0029]チャンバ本体212内に画成された基板搬送チャンバ220、222、224の各々は、2つの基板アクセスポートを含んでいる。そのポートは、ロードロックチャンバ100から大面積基板110の出入を容易にするように構成されている。図2に示された実施態様においては、チャンバ本体212の底部208に配置された第一基板搬送チャンバ220は、第一基板アクセスポート230と幅が2000mmより大きい第二基板アクセスポート232を含んでいる。第一基板アクセスポート230は、チャンバ本体212の第一側壁202を通って形成され、第一基板搬送チャンバ220を処理システム150の搬送チャンバ108に結合する。第二基板アクセスポート232は、チャンバ本体212の第二側壁204を通って形成され、第一搬送チャンバ220をファクトリ・インタフェース112に結合する。図2に示された実施態様においては、基板アクセスポート230、232は、チャンバ本体212の反対側に配置されが、その基板アクセスポート230、232は、チャンバ本体212の隣接した壁上に配置されてもよい。
[0030]基板アクセスポート230、232は、搬送チャンバ108の環境及びファクトリ・インタフェース112から第一基板搬送チャンバ220を選択的に分離させるように適応されたそれぞれのスリットバルブ226、228で選択的に密封されている。スリットバルブ226、228は、アクチュエータ242によって開閉位置の間で移動する(図2での想像線に示される一アクチュエータ242は、通常チャンバ本体212の外部に位置する)。図2に示された実施態様においては、スリットバルブ226、228の各々は、第一エッジに沿ってチャンバ本体212にピボット結合され、アクチュエータ242によって開閉位置の間で回転する。
[0031]第一スリットバルブ226は、第一側壁202の内部壁から第一基板アクセスポート230を密封し、よって第一基板搬送チャンバ220内に位置し、第一基板搬送チャンバ220と搬送チャンバ108の真空環境との間の真空(圧力)差が第一側壁202対してスリットバルブ226を装填し密封させることを援助し、よって真空密封が高められる。対応して、第二スリットバルブ228は、第二側壁204の外部に配置され、よってファクトリ・インタフェース112の周囲環境と基板搬送チャンバ229の真空環境との間の圧力差が、第二基板アクセスポート232を密封することを援助するように位置する。本発明から利益を得るように適応することができるスリットバルブの例は、Freeksの1996年12月3日発行の米国特許第5,579,718号やTepmanらの2000年4月4日発行の米国特許第6,045,620号に記載され、これらの開示内容は本明細書に全体で援用されている。
[0032]第二基板搬送チャンバ222は、同様にアクセスポート234、236とスリットバルブ226、228で構成されている。第三基板搬送チャンバ224は、同様にアクセスポート238、240とスリットバルブ226、228で構成されている。
[0033]基板110は、第一基板搬送チャンバ220の底部208と第二、第三の基板搬送チャンバ222、224の底部を結んでいる水平内壁214の上に複数の基板支持体244によって支持される。基板支持体244は、基板とチャンバ本体212との接触を避けるために底部208(又は水平内壁214)より上の高い位置に基板110を支持するために構成され隔置される。基板支持体244は、基板を擦ることや汚染を最少にするように構成される。図2に示された実施態様においては、基板支持体244は、丸い上端246を持ったステンレスピンである。他の適した基板支持体は、2003年3月4日出願の米国特許出願第6,528,767号;2001年10月17日出願の米国特許出願第09/982,406号;2003年9月27日出願の米国特許出願第10/376,857号に記載され、これらの開示内容はすべて本明細書に全体で援用されている。
[0034]図3は、図2の切断線3-3に沿って切ったロードロックチャンバ100の断面図である。基板搬送チャンバ220、222、224の各々の側壁は、各チャンバの内部容積内の圧力を制御しやすくするために、そこを通って配置された少なくとも1つのポートを含んでいる。図3に示された実施態様においては、チャンバ本体212は、第四側壁302を通って形成されたベントポート304と、第一基板搬送チャンバ220を通気と排気するチャンバ本体212の第三側壁206を通って形成された真空ポート306を含んでいる。バルブ310、312は、そこを通る流れを選択的に防止するためにベントポート304と真空ポート306に丁寧に結合されている。真空ポート306は、搬送チャンバ108の圧力とほぼ一致するレベルまで第一基板搬送チャンバ220の内部容積内の圧力を選択的に低下させるように用いられる真空ポンプ308に結合されている。
[0035]図2を更に参照すると、ロードロックチャンバ100の搬送チャンバ108と第一搬送チャンバ220との間の圧力がほぼ等しいとき、スリットバルブ226は、処理される基板をロードロックチャンバ100に搬送させ、処理すべき基板を第一基板アクセスポート230を通ってデュアルブレード真空ロボット134によって搬送チャンバ108に搬送させることができるように開放することができる。ロードロックチャンバ100の第一基板搬送チャンバ220内に搬送チャンバ108から戻ってくる基板を配置した後、スリットバルブ226は閉鎖され、バルブ310が開放され、よってベントポートを通ってロードロックチャンバ100の第一基板搬送チャンバ220へ通気ガス、例えば、N2及び/又はHeを入れるとともに内容積内の圧力を上昇させる。典型的には、ベントポート304を通って内容積110に入る通気ガスを、基板の潜在的微粒子汚染を最小限にするためにろ過する。一旦第一基板搬送チャンバ220内の圧力がファクトリ・インタフェース112の圧力とほぼ等しくなると、スリットバルブ224は開放するので、第一基板搬送チャンバ220と第二基板アクセスポート232を通ってファクトリ・インタフェース112に結合した基板貯蔵カセット138との間に基板を大気中のデュアルブレードロボット136が搬送させることができる。
[0036]もう一方の基板搬送チャンバ222、224も同様に構成されている。基板搬送チャンバ220、222、224の各々は、個別ポンプ308と共に図3に示されているが、1つ以上の基板搬送チャンバ220、222、224は、図3Aに示されるようにチャンバ間の選択的排気を容易にするために適切なフローコントロールを備えた単一真空ポンプを共有することができる。
[0037]基板搬送チャンバ220、224、226は、約1000リットル以下の容積で構成されているので、ロードロックチャンバ100は、基板搬送速度が毎時約60枚である、上記図9のような従来の二基板デュアルスロットロードロックチャンバ900と比較して低ポンプ流量で毎時約70枚の基板を搬送させることができる。処理能力を高めるためにロードロックチャンバ900のポンプ流量を増加させることにより、チャンバ内に生じる凝縮が引き起こされる。本発明のポンプ流量の減少は、ロードロックチャンバ900のサイクル当たり約130秒に比べて、ポンプ/通気サイクル当たり約160秒〜約180秒である。かなり長いサイクルは、チャンバ内の気流速度を低下させ、よって凝縮を排除しつつ基板の粒子汚染の確率を低下させる。更に、より高い基板処理能力が能力の低いポンプ308を用いて達成され、システムコストを削減に寄与する。
[0038]更に、基板搬送チャンバの積み重ねられた構造のために、高い基板処理能力が、単一基板を搬送するために必要するものを超えるロードロックチャンバのフットプリントを増加させずに可能になる。最小化フットプリントは、FABの全体のコストを低下させるのに非常に望ましい。更に、単一基板搬送チャンバ220、222、224が3つのロードロックの全体の高さは、デュアルチャンバシステム700より低く、更に小さく費用のかからない包装で処理能力が高くなる。
[0039]第一基板搬送チャンバ220の底部208と第二、第三の基板搬送チャンバ222、224の底部を結んでいる水平内壁214は、その中に形成された1つ以上の溝316を含むことができる。図4A-図4Bに示されるように、溝316は、基板支持体244上に配置された基板110とロボットブレード402との間に隙間を与えるように構成される。
[0040]ロボットブレード402(図4A-図4Bに示されている1フィンガ)は、溝316へ移動する。第一基板搬送チャンバ220内の予め決められた位置では、基板支持体244から基板110を持ち上げるためにロボットブレード402が上昇する。次に基板110を運ぶロボットブレード402を第一基板搬送チャンバ220から引き込まれる。基板110は、逆の方法で基板支持体244上に載置される。
[0041]図5は、第一基板搬送チャンバ220内の予め決められた位置へ基板110を押し付けるために用いることができるアライメント機構500の一実施態様を示しているチャンバ本体212の部分断面図である。第二アライメント機構(図示されていない)は、図示されたアライメント機構500と呼応して動作する第一基板搬送チャンバ220の反対の角に配置される。場合によっては、一アライメント機構500は、第一基板搬送チャンバ220の各角に配置されてもよい。一方の基板搬送チャンバ222、224は、基板を整列させるために同様に備えられる。
[0042]例えば、アライメント機構500は、基板支持体244上に大気中のデュアルブレードロボット136によって配置された基板110の堆積位置と基板支持体244に関係する基板110の予め決められた(即ち、設計された)位置との間の位置の不正確さを修正することができる。基板配置を調整するために大気中のデュアルブレードロボット136を使う従来の修正法に依存しないロードロックチャンバ100内のアライメント機構500によって基板110の位置が整列されたことにより、高可撓性と低システムコストが可能になる。例えば、ロードロックチャンバ100が基板支持体244上の基板位置により許容されるので、アライメント機構500を持った基板搬送チャンバ280は、ロードロックチャンバ100と使用者供給ファクトリ・インタフェース112との間に適合性が大きくなり、よってファクトリ・インタフェースプロバイダーによって生じる非常に正確なロボット及び/又は修正ロボット動作アルゴリズムの要求が減少する。更に、大気中のデュアルブレードロボット136の基準を設計した位置の精度が落ちるにつれて、低コストのロボットを使うことができる。
[0043]図5の実施態様においては、アライメント機構は、レバー508の第一端506に結合した2つのローラ502、504を含んでいる。第四側壁302を通って形成されたスロット518まで伸びているレバー508は、ピン510の周りを旋回する。アクチュエータ512は、レバー508に結合し、ローラ502、504は基板110の隣接エッジ514、516に対して押し付けることができる。アクチュエータ512は、例えば、空気シリンダは、一般的には、チャンバ本体212の外部に位置している。ハウジング520は、スロット518の上に密封して配置され、ベローズ又は真空漏れがなくアクチュエータ512をレバー508を結合することを容易にする他の適切なシール522を含んでいる。アライメント機構500と反対側のアライメント機構(図示されていない)は、第一基板搬送チャンバ220内の予め決められた位置に基板を配置するために呼応して作動する。使うことができる他の基板アライメント機構は、2002年3月8日出願の米国特許出願第10/094,156号や2002年9月22日出願の米国特許出願第10/084,762号に記載され、これらの開示内容はすべて本明細書に全体で援用されている。
[0044]図6−図7は、アライメント機構600の他の実施態様の断面図である。アライメント機構600は、上記のアライメント機構500と同様に作動するように構成されている。アライメント機構600は図6に一つだけ示されているが、アライメント機構600は、チャンバ本体212の反対の角に配置された他のアライメント機構(図示されていない)と呼応して作動する。場合によっては、チャンバ本体212のそれぞれの角は、アライメント機構を含むことができる。
[0045]アライメント機構600は、一般的は、チャンバ本体212を通って配置されたシャフト604によってアクチュエータ608と結合した内部レバー602を含んでいる。図6−図7に示された実施態様においては、アクチュエータ608は、外部レバー606によってシャフト604に結合している。外部レバー606は、チャンバ本体212の外部壁に画成された溝702内に伸びたシャフト604のポスト720に結合している。アクチュエータ608は、モータ、リニアアクチュエータ又はシャフト604に回転運動を与えるのに適した他のデバイスであってもよい。内部レバー602は、シャフト604と回転し、よって予め決められた位置へ基板110(想像線で示された)を押し付けるために内部レバー602から伸びている一組のローラ502、504が移動する。
[0046]シャフト604は、溝610の底部を画成している水平壁612を通過する。シャフト604は、複数のファスナ616によってチャンバ本体212に固定される中空ハウジング614を通って配置されている。一組のブシュ706、712は、中空ハウジング614内のシャフト604の回転を容易にするために中空ハウジング614の穴708に配置されている。シール704は、チャンバ本体212の完全な真空を維持するために中空ハウジング614のフランジ710の間に配置されている。
[0047]複数のシール714は、真空低下を防止するためにシャフト604と中空ハウジング614との間に配置されている。図7に示される実施態様においては、シール714は、開放端が外部レバー606に向いた3つのカップシールを含んでいる。シール714は、ワッシャ716と保持リング718によって穴708内に保持されている。
[0048]図8は、ロードロックチャンバ800を示す他の実施態様である。ロードロックチャンバ800は、上記ロードロックチャンバ100と似ており、更に基板搬送チャンバの排気及び/又は通気の間、基板110を熱処理するように構成されている。図8に示された実施態様においては、チャンバ本体822の一部は、一基板搬送チャンバ802が一部詳述して示されているが、上下の隣接した基板搬送チャンバ804、806は、同様に構成されていてもよい。
[0049]一実施態様においては、冷却プレート810は、基板搬送チャンバ802内に配置されている。冷却プレート810は、ロードロックチャンバ800に戻る処理基板を冷却するように適応されてもよい。冷却プレート810は、不可欠な部分であっても内壁214に結合されていてもよい。冷却プレート810は、冷却流体源814に結合した複数の通路812を含んでいる。冷却流体源814は、基板110の温度を調節するために通路812を通って熱伝達流体を循環させるために適応される。
[0050]図8に示された実施態様においては、冷却プレート810は、基板支持体244上に配置された基板110に相対してプレート810の上昇を制御する少なくとも1つのアクチュエータ816に結合されている。基板支持体244は、熱伝達を高めた基板の密接な接近へ垂直に、また、上記図4A-図4Bによって記載されたロボットのブレードに接近するように基板から離れて冷却プレート810が移動することができるように、冷却プレート810を通って形成されたアパーチャ818を通って配置される。
[0051]アクチュエータ816は、チャンバ本体822の外部に結合し、接続ロッド820によって冷却プレート810に結合されている。ロッド820は、チャンバ本体822内に形成されたスロット824を通過する。ハウジング826は、スロット824の上に配置され、アクチュエータ816とロッド820にベローズ828等によって密封で結合され、アクチュエータ816が基板搬送チャンバ802から真空を低下させずに冷却プレート810の上昇を調整させることができる。
[0052]基板搬送チャンバ802は、最上境界(即ち、ロードロックチャンバ内の基板搬送チャンバの位置に依存する、内壁或いはチャンバ本体の最上部)に隣接して配置された加熱素子830を含むことができる。図8に示された実施態様においては、加熱素子830は、電源832に結合され、処理されていない基板を予熱するように適応され、一実施態様においては、輻射ヒータ、例えば、石英赤外線ハロゲンランプ等である。他の加熱素子が使われてもよいことは企図される。
[0053]従って、単一基板搬送チャンバが垂直に積み重ねられたロードロックチャンバが提供される。垂直に積み重ねられた単一基板搬送チャンバの構造は、当該技術の従来の状態、デュアルスロット二基板設計と比較して、サイズが小さくなり処理能力が高くなることに寄与している。更に、処理能力の増大は、微粒化や凝縮による基板汚染の確率の低下に対応する、ポンプ流量と通気流量の減少で可能になる。
[0054]上記は本発明の好適実施態様に関するが、更に多くの本発明の実施態様を本発明の基本的な範囲から逸脱することなく講じることができる。本発明の範囲は、次の特許請求の範囲によって決定される。
100…ロードロックチャンバ、108…搬送チャンバ、110…基板、112…ファクトリ・インタフェース、132…処理チャンバ、134…デュアルブレード真空ロボット、136…大気中のデュアルブレードロボット、138…基板貯蔵カセット、140…ベイ、150…処理システム、202…側壁、206…側壁、208…底部、210…最上部、212…チャンバ本体、214…水平内壁、218…溶接部、220、222、224…基板搬送チャンバ、226、228…スリットバルブ、230、232…基板アクセスポート、234、236…アクセスポート、242…アクチュエータ、244…基板支持体、302…第四側壁、304…ベントポート、306…真空ポート、310、312…バルブ、280…基板搬送チャンバ、アライメント装置…500、502、504…ローラ、506…第一端、508…レバー、512…アクチュエータ、514、516…エッジ、520…ハウジング、522…シール、600…アライメント機構、604…シャフト、606…外部レバー、608…アクチュエータ、616…ファスナ、702…溝、706…ブシュ、708…穴、710…フランジ、712…ブシュ、714…シール、716…ワッシャ、718…保持リング、720…ポスト、800…ロードロックチャンバ、802…基板搬送チャンバ、804、806…基板搬送チャンバ、810…冷却プレート、814…冷却流体源、816…アクチュエータ、818…アパーチャ、820…接続ロッド、822…チャンバ本体、826…ハウジング、828…ベローズ、830…加熱素子、832…電源。

Claims (22)

  1. 大面積基板を搬送する為の方法であって、
    チャンバ本体内に置かれた第一処理チャンバ内に配置された基板支持体まで第一スリットバルブを通して第一ロボットブレードから大面積基板を搬送するステップと、
    前記基板に対して平行な平面内の直線経路で一以上のアクチュエータを移動させるステップと、
    前記一以上のアクチュエータの動きに応じて前記直線経路の前記平面に対して直交する回転軸周りに一以上のレバーアームを水平に回転させるステップと、
    一以上のレバーアームを水平に回転させるステップによって、前記基板支持体上の所定位置へと前記基板を押し付けるステップと、
    を備える、前記方法。
  2. 一以上のレバーアームを水平に回転させるステップは、前記基板の少なくとも一組の向かい合う角に接触する工程を更に備える、請求項1に記載の方法。
  3. 一以上のレバーアームを水平に回転させるステップは、前記レバーアームの回転軸に対し実質的に平行な回転軸を有するローラを用いて前記基板を接触させる工程を更に備える、請求項1に記載の方法。
  4. 前記チャンバ本体内に置かれた第二チャンバに第2の大面積基板を搬送するステップを更に備える、請求項1に記載の方法。
  5. 一以上のレバーアームを水平に回転させるステップは、前記基板と接触する前記第二チャンバ
  6. 前記第一処理チャンバ内部の圧力を変更するステップと、
    第二スリットバルブを通して前記第一処理チャンバへ伸びる第二ロボットブレードまで前記基板支持体から前記基板を搬送するステップと、
    を更に備える、請求項1に記載の方法。
  7. 前記チャンバの前記圧力を上昇させる為に、少なくとも1つのNまたはHeを前記チャンバに入れるステップを更に備える、請求項6に記載の方法。
  8. 大面積基板を搬送する為の方法であって、
    ロードロックチャンバ本体内に形成された第一チャンバの中に第一基板を搬送するステップと、
    前記基板に対して平行な平面内の直線経路でアクチュエータを移動させるステップと、
    前記第一基板と接触する前記チャンバ本体の垂直壁と同一平面上の軸周りにレバーアームを回転させるステップと、
    前記第一チャンバ内部で前記第一基板を側面から位置するステップと、
    を備える、前記方法。
  9. 前記レバーアームを回転させるステップは、前記基板の隣接側面に接触する工程を更に備える、請求項8に記載の方法。
  10. 前記基板の隣接する側面に接触する工程は、
    前記レバーアームの前記回転軸に対して実質的に平行な回転軸を有する第一ローラで前記基板の第一側面に接触すること、
    前記レバーアームの前記回転軸に対し実質的に平行な回転軸を有する第二ローラで前記基板の第二側面に接触すること、
    を更に備える、請求項9に記載の方法。
  11. 前記ロードロックチャンバ本体内部に形成された第二チャンバ内に第二基板を搬送するステップを更に備える、請求項8に記載の方法。
  12. 前記第二基板と接触する前記チャンバ本体の前記垂直壁と同一平面上の軸周りに第二レバーアームを回転させるステップと、
    前記第二チャンバ内部で前記第二基板を側面から位置するステップと、
    を更に備える、請求項11に記載の方法。
  13. 大面積基板を搬送する方法であって、
    第一搬送チャンバと第二搬送チャンバとの間の第一スリットバルブを開くステップと、
    前記第一搬送チャンバから第二搬送チャンバの中に基板を置くステップと、
    前記基板に対して平行な面内の直線経路でアクチュエータを移動させるステップと、
    前記直線経路の前記平面に対して直交する回転軸周りで一以上のレバーアームを水平に回転させるステップと、
    前記レバーアームの前記回転軸に対して実質的に水平な回転軸を有するローラで前記基板に接触することにより、前記基板支持体上の前記基板を側面から位置するステップと、
    前記第一スリットバルブを閉じるステップと、
    前記第二搬送チャンバの前記圧力を上昇させる為に前記第二搬送基板に通気ガスを入れるステップと、
    第二スリットバルブを開くステップと、
    前記第二搬送チャンバから基板貯蔵カセットまで前記基板を搬送するステップと、
    を備える、前記方法。
  14. 前記第一搬送チャンバと第三搬送チャンバとの間の第三スリットバルブを開くステップと、
    前記第一搬送チャンバから前記第三搬送チャンバの中に基板を置くステップと、
    前記第三スリットバルブを閉じるステップと、
    前記第三搬送チャンバの前記圧力を上昇させる為に前記第三搬送チャンバに通気ガスを入れるステップと、
    第四スリットバルブを開くステップと、
    前記第三搬送チャンバから基板貯蔵カセットまで前記基板を搬送するステップと、
    を更に備える、請求項13に記載の方法。
  15. 放射熱で前記基板を加熱するステップを更に備える、請求項14に記載の方法。
  16. 前記第一搬送チャンバと第四搬送チャンバとの間の第五スリットバルブを開くステップと、
    前記第一搬送チャンバから前記第四搬送チャンバの中に基板を置くステップと、
    前記第五スリットバルブを閉じるステップと、
    前記第四搬送チャンバの前記圧力を上昇させる為に前記第四搬送チャンバに通気ガスを入れるステップと、
    第六スリットバルブを開くステップと、
    前記第四搬送チャンバから基板貯蔵カセットまで前記基板を搬送するステップと、
    を更に備える、請求項14に記載の方法。
  17. 前記第四搬送チャンバ、第二搬送チャンバ、第三搬送チャンバは、それぞれが別個の真空ポンプに結合されている、請求項16に記載の方法。
  18. 前記第四搬送チャンバ、第2搬送チャンバ、第三搬送チャンバのうち少なくとも2つは、単一の真空ポンプを共有する、請求項16に記載の方法。
  19. 前記第四搬送チャンバ、第2搬送チャンバ、第三搬送チャンバは、単一の真空ポンプを共有する、請求項18に記載の方法。
  20. 放射熱で前記基板を加熱するステップを更に備える、請求項16に記載の方法。
  21. 前記通気ガスは、NまたはHeのうち少なくとも1つである、請求項13に記載の方法。
  22. 放射熱で前記基板を加熱するステップを更に備える、請求項13に記載の方法。
JP2009109713A 2003-10-20 2009-04-28 大面積基板を搬送するための方法 Pending JP2009200518A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US51272703P 2003-10-20 2003-10-20
US10/832,795 US7207766B2 (en) 2003-10-20 2004-04-26 Load lock chamber for large area substrate processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2004306067A Division JP2005175440A (ja) 2003-10-20 2004-10-20 大面積基板処理システムのためのロードロックチャンバ

Publications (1)

Publication Number Publication Date
JP2009200518A true JP2009200518A (ja) 2009-09-03

Family

ID=34396598

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004306067A Pending JP2005175440A (ja) 2003-10-20 2004-10-20 大面積基板処理システムのためのロードロックチャンバ
JP2009109713A Pending JP2009200518A (ja) 2003-10-20 2009-04-28 大面積基板を搬送するための方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2004306067A Pending JP2005175440A (ja) 2003-10-20 2004-10-20 大面積基板処理システムのためのロードロックチャンバ

Country Status (6)

Country Link
US (2) US7207766B2 (ja)
EP (1) EP1526565A3 (ja)
JP (2) JP2005175440A (ja)
KR (2) KR100929728B1 (ja)
CN (2) CN100382234C (ja)
TW (1) TWI294865B (ja)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
TWI294155B (en) * 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
TWI298895B (en) * 2004-06-02 2008-07-11 Applied Materials Inc Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US8648977B2 (en) * 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US8206075B2 (en) * 2004-06-02 2012-06-26 Applied Materials, Inc. Methods and apparatus for sealing a chamber
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7461794B2 (en) * 2005-08-18 2008-12-09 Applied Materials, Inc. Substrate temperature regulating support pins
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
KR100794649B1 (ko) * 2006-03-02 2008-01-14 브룩스오토메이션아시아(주) 로드락 챔버
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
KR101324288B1 (ko) * 2006-08-23 2013-11-01 주성엔지니어링(주) 유지보수가 간편한 기판 얼라이너
US8920097B2 (en) * 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US20080206020A1 (en) * 2007-02-27 2008-08-28 Smith John M Flat-panel display processing tool with storage bays and multi-axis robot arms
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
KR100858933B1 (ko) * 2007-05-02 2008-09-17 주식회사 에스에프에이 평면디스플레이용 기판 처리 시스템의 로드락 챔버
US8082741B2 (en) * 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
TWI455861B (zh) * 2007-05-18 2014-10-11 Brooks Automation Inc 基板加工工具、半導體加工工具、及基板加工裝置
KR101522324B1 (ko) 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US20090029502A1 (en) * 2007-07-24 2009-01-29 Applied Materials, Inc. Apparatuses and methods of substrate temperature control during thin film solar manufacturing
US20090060687A1 (en) * 2007-08-28 2009-03-05 White John M Transfer chamber with rolling diaphragm
WO2009055507A1 (en) * 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
KR100976400B1 (ko) * 2008-03-26 2010-08-17 주식회사 에스에프에이 화학 기상 증착 장치의 로드락 챔버
JP2009239085A (ja) * 2008-03-27 2009-10-15 Foi:Kk 半導体ウェハ搬送装置および半導体ウェハ搬送方法
US8992153B2 (en) 2008-06-30 2015-03-31 Intevac, Inc. System and method for substrate transport
US20100011785A1 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
US9157145B2 (en) 2008-07-29 2015-10-13 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP5225815B2 (ja) * 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
JP2010135536A (ja) * 2008-12-04 2010-06-17 Tokyo Electron Ltd ロードロック装置および真空処理システム
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
WO2010105967A2 (en) * 2009-03-18 2010-09-23 Oc Oerlikon Balzers Ag Vacuum treatment apparatus
JP5501688B2 (ja) * 2009-07-30 2014-05-28 東京エレクトロン株式会社 基板位置合わせ機構、それを用いた真空予備室および基板処理システム
CN102554937B (zh) * 2010-12-20 2015-06-24 理想能源设备(上海)有限公司 搬运机械手及搬运装置
EP2489759B1 (en) * 2011-02-21 2014-12-10 Applied Materials, Inc. System for utilization improvement of process chambers and method of operating thereof
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
CN103370768B (zh) * 2011-03-01 2017-05-31 应用材料公司 具有共享泵的真空腔室
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US20130087309A1 (en) * 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
CN102502253B (zh) * 2011-11-18 2014-09-10 北京七星华创电子股份有限公司 晶圆状物件的输送系统
CN104137248B (zh) * 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
TWM476362U (en) 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
CN103898449B (zh) * 2012-12-27 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 用于调节托盘温度的腔室及半导体加工设备
CN104008945B (zh) * 2013-02-22 2016-06-01 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法
CN104421437B (zh) * 2013-08-20 2017-10-17 中微半导体设备(上海)有限公司 活动阀门、活动屏蔽门及真空处理系统
CN105580124B (zh) 2013-09-26 2018-05-18 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
CN103556126A (zh) * 2013-10-14 2014-02-05 中国科学院半导体研究所 优化配置的多腔室mocvd反应系统
CN104726837B (zh) * 2013-12-18 2018-05-25 北京北方华创微电子装备有限公司 反应腔室及等离子体加工设备
TWI698944B (zh) * 2013-12-23 2020-07-11 南韓商圓益Ips股份有限公司 批量式基板處理裝置
CN105441876B (zh) * 2014-09-02 2019-04-23 北京北方华创微电子装备有限公司 一种薄膜沉积设备
KR101593536B1 (ko) * 2015-04-15 2016-02-16 주식회사 테라세미콘 배치식 기판처리 장치
US9704714B2 (en) * 2015-04-16 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd Method for controlling surface charge on wafer surface in semiconductor fabrication
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
KR20160141244A (ko) * 2015-05-29 2016-12-08 피에스케이 주식회사 기판 처리 장치 및 방법
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
CN105789091B (zh) * 2016-03-16 2020-04-24 沈阳拓荆科技有限公司 负载腔室及其使用该负载腔室之多腔室处理系统
WO2017210590A1 (en) * 2016-06-02 2017-12-07 Applied Materials, Inc. Gate valve for continuous tow processing
CN107958851B (zh) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
US10082104B2 (en) 2016-12-30 2018-09-25 X Development Llc Atmospheric storage and transfer of thermal energy
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US11948810B2 (en) * 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
US10504762B2 (en) * 2018-02-06 2019-12-10 Applied Materials, Inc. Bridging front opening unified pod (FOUP)
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
KR102568797B1 (ko) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7280132B2 (ja) * 2019-07-12 2023-05-23 株式会社アルバック 真空チャンバ及び基板処理装置
CN111633203A (zh) * 2020-06-01 2020-09-08 致恒(天津)实业有限公司 一种带导向的圆弧双侧定向位机构
CN113035752B (zh) * 2021-03-05 2022-11-11 上海广川科技有限公司 负载锁定装置及基片传片方法
WO2024010591A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Alignment mechanism, vacuum chamber with an alignment mechanism and method of aligning a substrate in a vacuum chamber

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09223727A (ja) * 1995-12-12 1997-08-26 Tokyo Electron Ltd 半導体処理装置、その基板交換機構及び基板交換方法
WO2002023597A2 (en) * 2000-09-15 2002-03-21 Applied Materials, Inc. Double dual slot load lock for process equipment

Family Cites Families (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) * 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5187115A (en) * 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
CH626214GA3 (ja) * 1979-02-07 1981-11-13
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5374147A (en) * 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) * 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4655584A (en) * 1984-05-11 1987-04-07 Nippon Kogaku K. K. Substrate positioning apparatus
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4759681A (en) * 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4880349A (en) * 1986-12-23 1989-11-14 Northern Telecom Limited Method for locating and supporting ceramic substrates
US4784377A (en) * 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4846102A (en) * 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0333058Y2 (ja) 1987-06-26 1991-07-12
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
DE3855871T2 (de) * 1987-09-11 1997-10-16 Hitachi Ltd Vorrichtung zur Durchführung einer Wärmebehandlung an Halbleiterplättchen
US5020475A (en) * 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
FR2621930B1 (fr) * 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
DE3739143A1 (de) * 1987-11-19 1989-06-01 Henkel Kgaa Waessriges weichspuelmittel fuer die behandlung von textilien
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
DE58909880D1 (de) * 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5046909A (en) * 1989-06-29 1991-09-10 Applied Materials, Inc. Method and apparatus for handling semiconductor wafers
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5227708A (en) * 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
EP0858867A3 (en) 1989-10-20 1999-03-17 Applied Materials, Inc. Robot apparatus
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (ja) * 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5131460A (en) * 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
KR100230697B1 (ko) 1992-02-18 1999-11-15 이노우에 쥰이치 감압 처리 장치
JPH07114233B2 (ja) * 1992-04-01 1995-12-06 株式会社ニコン 基板の位置決め装置
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH0616206A (ja) * 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
DE69323716T2 (de) 1993-01-28 1999-08-19 Applied Materials Inc Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
EP0608620B1 (en) 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5421889A (en) * 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
EP0634787B1 (en) 1993-07-15 1997-05-02 Applied Materials, Inc. Subsrate tray and ceramic blade for semiconductor processing apparatus
TW276353B (ja) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) * 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
US5636954A (en) * 1995-05-02 1997-06-10 Jac Products, Inc. Adapter for a vehicle article carrier
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
TW309503B (ja) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) * 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100238998B1 (ko) * 1995-07-26 2000-01-15 우치가사키 기이치로 가열로
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
CH691376A5 (de) * 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
TW318258B (ja) * 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5793050A (en) * 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
TW372926B (en) * 1996-04-04 1999-11-01 Applied Materials Inc Method and system of processing semiconductor workpieces and robot for use in said system
JPH09284344A (ja) * 1996-04-11 1997-10-31 Fujitsu Ltd Atm/stm混在ネットワークシステム
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) * 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5795355A (en) * 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
JP3549141B2 (ja) * 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) * 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3286240B2 (ja) 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
JP4386983B2 (ja) * 1998-02-25 2009-12-16 キヤノンアネルバ株式会社 基板処理装置、マルチチャンバー基板処理装置及び電子デバイス製作方法
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
DE19829580A1 (de) * 1998-07-02 2000-01-05 Bosch Gmbh Robert Vorrichtung zur mechanischen Ausrichtung eines Trägersubstrats für elektronische Schaltungen
US6431807B1 (en) * 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
JP2000114149A (ja) * 1998-10-07 2000-04-21 Hitachi Ltd ガラス基板保持装置
KR100561703B1 (ko) * 1998-11-12 2006-03-17 동경 엘렉트론 주식회사 처리시스템
JP3420712B2 (ja) * 1998-11-12 2003-06-30 東京エレクトロン株式会社 処理システム
JP3439358B2 (ja) * 1998-11-27 2003-08-25 株式会社大廣製作所 自動洗髪機
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP2000200755A (ja) * 1999-01-06 2000-07-18 Kokusai Electric Co Ltd チャンバ装置
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6435686B1 (en) * 1999-02-26 2002-08-20 The Ohtsu Tire & Rubber Co., Ltd. Light conducting plate for a back lighting device and back lighting device
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2000323551A (ja) * 1999-05-11 2000-11-24 Anelva Corp 基板処理装置
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
JP4336003B2 (ja) * 1999-07-28 2009-09-30 三井造船株式会社 真空容器ロードロック装置
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
JP4316752B2 (ja) * 1999-11-30 2009-08-19 キヤノンアネルバ株式会社 真空搬送処理装置
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2002158273A (ja) * 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) * 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
KR100398877B1 (ko) * 2001-05-09 2003-09-19 삼성전자주식회사 현상기 소음 및 진동방지구조를 갖는 화상형성장치
CN1309859C (zh) 2001-05-17 2007-04-11 东京电子株式会社 基于圆筒的等离子体处理系统
JP2003007797A (ja) * 2001-06-20 2003-01-10 Sharp Corp 真空処理装置
WO2003038145A2 (en) 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
US6824343B2 (en) * 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
US7104535B2 (en) * 2003-02-20 2006-09-12 Applied Materials, Inc. Methods and apparatus for positioning a substrate relative to a support stage
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09223727A (ja) * 1995-12-12 1997-08-26 Tokyo Electron Ltd 半導体処理装置、その基板交換機構及び基板交換方法
WO2002023597A2 (en) * 2000-09-15 2002-03-21 Applied Materials, Inc. Double dual slot load lock for process equipment

Also Published As

Publication number Publication date
US20070140814A1 (en) 2007-06-21
KR20070075368A (ko) 2007-07-18
KR20050037964A (ko) 2005-04-25
TWI294865B (en) 2008-03-21
CN101145506A (zh) 2008-03-19
TW200530105A (en) 2005-09-16
KR100978708B1 (ko) 2010-08-31
US7207766B2 (en) 2007-04-24
CN101145506B (zh) 2012-09-05
EP1526565A2 (en) 2005-04-27
CN1638025A (zh) 2005-07-13
CN100382234C (zh) 2008-04-16
KR100929728B1 (ko) 2009-12-03
EP1526565A3 (en) 2011-07-06
US7651315B2 (en) 2010-01-26
JP2005175440A (ja) 2005-06-30
US20050095088A1 (en) 2005-05-05

Similar Documents

Publication Publication Date Title
JP2009200518A (ja) 大面積基板を搬送するための方法
KR100848899B1 (ko) 분리된 챔버 바디
KR100960773B1 (ko) 처리 장비용 더블 이중 슬롯 로드록
US20070006936A1 (en) Load lock chamber with substrate temperature regulation
JP5072958B2 (ja) マルチスロットロードロックチャンバおよび操作方法
CN101496158B (zh) 具有分离间隙阀门密封隔间的负载锁定室
KR101046966B1 (ko) 튜브에 히터를 구비한 로드락 챔버
US20020005168A1 (en) Dual wafer load lock
US20140076494A1 (en) Processing system
JP2009062604A (ja) 真空処理システムおよび基板搬送方法
US7282097B2 (en) Slit valve door seal
JP2006005348A (ja) 湾曲したスリットバルブドア
KR20090094054A (ko) 기판 처리 장치, 기판 처리 방법 및, 컴퓨터 판독 가능 기억 매체
JPH08111449A (ja) 処理装置
JP2007023380A5 (ja)
TW201701514A (zh) 用於oled應用之封裝膜堆疊
JP2012501549A (ja) 大面積基板処理システム用ロードロックチャンバ
US6896513B2 (en) Large area substrate processing system
JP2003179120A (ja) 処理装置
JP2005285576A (ja) インライン式有機エレクトロルミネセンス製造装置
JP2019520701A (ja) 12面形の移送チャンバ、及び、かかる移送チャンバを有する処理システム
JP2021529438A (ja) 基板処理装置及び基板処理方法
JP2000054130A (ja) ロードロック室及び真空処理装置
JP2001160583A (ja) 基板反転機構、成膜装置及び基板処理装置

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111025

A524 Written submission of copy of amendment under section 19 (pct)

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20120124

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120522