TWI294865B - Load lock chamber for large area substrate processing system - Google Patents

Load lock chamber for large area substrate processing system Download PDF

Info

Publication number
TWI294865B
TWI294865B TW093131870A TW93131870A TWI294865B TW I294865 B TWI294865 B TW I294865B TW 093131870 A TW093131870 A TW 093131870A TW 93131870 A TW93131870 A TW 93131870A TW I294865 B TWI294865 B TW I294865B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
processing chamber
load lock
processing
Prior art date
Application number
TW093131870A
Other languages
English (en)
Other versions
TW200530105A (en
Inventor
Shinichi Kurita
Wendell T Blonigan
Yoshiaki Tanase
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200530105A publication Critical patent/TW200530105A/zh
Application granted granted Critical
Publication of TWI294865B publication Critical patent/TWI294865B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/063Transporting devices for sheet glass
    • B65G49/064Transporting devices for sheet glass in a horizontal position
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/067Sheet handling, means, e.g. manipulators, devices for turning or tilting sheet glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

1294865 玖、發明說明: 【發明所屬之技術領域】 送 本發明的實施例一般是涉及用於將大面積基村傳、 到真空處理系統中的負載鎖定室及其操作$ & ° 【先前技術】 薄膜電晶體(TFT )通常被用於主動陣列顯示器’例 如計算機和電視監視器、移動電話顯示器、個人數位 (PDAs )和與日倶增的其它設備。通常,平板面板包括兩 個中間夾有一層液晶材料的玻璃板。至少其中一玻璃板上 包括一層置於其上的導電薄膜,該導電薄膜與電源連接° 電源提供給導電薄膜的電會改變晶體材料的定向’以產生 圖案顯示。 隨著市場對平板面板技術的普及,對於大顯示器、增 加產量以及降低生產成本的需求已經驅使設備製造商開發 新的系統,以適應平板面板顯示器製造的大尺寸玻璃基 材。現行的玻璃加工設備通常能適應高達約1平方米的基 材’在不久的將來,可以設想玻璃加工設備能適應兩達並 超過1-1/2平方米的基材。 裝備製造如此大基材的設備對平板顯示器製造商來 說是相當大的投資。常規的系統要求大而且昂貴的硬體。 為了抵消這部分投資,高基材產量是非常期望的。 第9圖疋AKT (其係由位於加州聖塔克拉拉的應用材 1294865 料公司所擁有的分公司)所上市的一對雙通道 900的簡化示意圖,一般傳送1 500x 1 800mm基材 小時傳送約6 0個,負載鎖定室9 0 0包括兩個形成 體906中的基材傳送室902、904。每個基材傳送 都有大約800升的内部體積,兩個基材910置方 上,該升降機在處理室902、904内垂直移動以 (未示出)交換基材。 為了獲得高的基材產量,負載鎖定室(例 種)通常會要求高性能的真空幫浦和排氣系統。 如此大體積負載鎖定室的產量並不容易。簡單 排氣速度並不能提供合適的方案,因為高抽吸 载鎖定室内導致基材的粒子污染。而且,由於 在濕度大於5 0%下操作以便使靜電最小化,因 至的迅速排氣可能導致在負載鎖定室内產生不 氣凝結。由於設想未來的系統能處理更大尺寸 於改進快速傳送大面積基材的負載鎖定室能力 益提昇。 因而,需要一種用於大面積基材的改進 室0 【發明内容】 本文提供了一種用於傳送大面積基材的 及方法。在一實施例中,一適用於傳送大面積 負載鎖定室 的能力是每 於處理室本 室 902、904 卜升降機912 便於機器人 如上述的一 但是,增加 增加抽吸和 速度會在負 清潔室通常 此負載鎖定 希望的水蒸 的基材,對 的需求將日 的負載鎖定 負載鎖定室 基材的負載 4 1294865 鎖定室包栝多個垂直層疊的單個基材傳送室。在另一實施 例中,一適用於傳送大面積基材的負载鎖定室包括一處理 室本體,處理室本體有一適用於連接到真空室的第一側和 適用於連接到工廠界面的第二側。處理室本體中包括N個 垂直層疊的基材傳送室,其中N是大於2的整數°鄰近的基 材傳送室係透過一大致水平之内壁分離並與周遭環境隔 離。 【實施方式】 本發明通常提供一種大體積/高產量的具有多個垂直 層疊基材傳送室的負載鎖定室。下面詳細描述了本發明在 一平板面板處理系統中的利用,例如,該等由AKI (其係 由位於加州聖塔克拉拉的應用材料公司所擁有的分公司) 所上市者。然應可理解的是,本發明亦可應用於其它的系 統架構中,因為無論何種情況下,經由大面積基材負載鎖 定室進行高產量基的基材傳送都是吾人所樂見的。 第1圖是一適用於處理大面積基材(例如,具有平面 面積大於約2.7平方米的基材)的處理系統15〇的頂部平面 圖。該處理系統150通常具有透過負載鎖定室1〇〇連接到工 廠界面112的傳送室1〇8,負載鎖定室具有多個基材移室。 傳送室108具有一雙葉片的真空機器人134置於其中,以適 應於在多個外接處理室132和負載鎖定室1〇〇之間傳送基 材°在一實施例中,其中一處理室132是預熱室,預熱室能 Ϊ294865 在處理之前使基材達到熱狀態以提升系統丨5 〇的產量。通 常,傳送室108都保持在真空條件下,以排除在每一塊基材 傳送後需調整傳送室108和單獨的處理室ι32之間壓力的需 要。 工廠界面112通常包括多個基材儲存盒138和一雙葉 片的氣動機器人136,盒子138通常可在形成於工廠界面112 一側的多個台140之間移動。氣動機器人136適應於在盒子 138和負載鎖定室100之間傳送基材110。通常,工廠界面U2 保持在或略高於大氣壓。 第2圖是第1圖中多處理室之負載鎖定室1〇〇之一實施 例的剖面圖。負載鎖定室1〇〇具有一處理室本體212,該處 理室本體包括多個垂直層疊、且與周遭環境隔離的基材傳 送室,傳送室透過真空壓緊的、水平内壁214分離。儘管第 2圖的實施例中顯示了三個單個基材傳送室220、222、224, 但應可以預期的是,負載鎖定室100的處理室本體212可以 包括兩個或多個垂直層疊的基材傳送室。例如,負載鎖定 室100可以包括N個被N-1個水平内壁分離的基材傳送室, 其中N是大於1的整數。 基材傳送室220、222、224使其中每一個都容納單個 大面積基材11〇,從而使每一個處理室本體積最小化以提升 快速抽吸和排氣循環。在第2圖所示的實施例中,每一個基 材傳送室220、222、224都有一等於或小於約1〇〇〇升的内部 體積,以容納應具有平面表面積2·7平方米的基材。相較而 6 1294865 言,常規的設計的雙通道雙基材傳送室900 (如第9圖所示) 有大約1600升的内部體積。可以預料的是,可以設置本發 明的具有更大寬度和/或長度以及同等高度的基材傳送室 以容納更大的基材。 處理室本體212包括第一侧壁202,第二侧壁2〇4 ,第 三側壁206,底部208和頂210,第四侧壁302顯示在第3圖中 第三侧壁206的對面。處理室本體212是由一適合在真空條 件下使用的剛性材料製成。在一實施例中,處理室本體2 i 2 是由一單個鋁塊(例如一塊)製成。或者,處理室本體2 i 2 可以由模塊部分製成,每一模塊部分通常包括基材傳送室 220、222、224之一的一部分,並以一種適合保持完全真空 的模式裝配,例如,參見附圖標記2 1 8所示的連續焊接。 在第2圖所示的實施例中,内壁214和處理室本體212 中除第二側壁206的其餘部分是由單個相近質量的材料製 成。第二側壁206密封連接處理室本體212的其它部分以便 於基材傳送室220、222、224的加工,並允許在製造和裝配 期間進入處理室本體2 1 2的内部。 或者,處理室本體212的水平壁21 4可真空密封到處理 室本體212的側壁,藉此使基材傳送室22〇、222、224分離。 例如,在負載鎖定室100的早期裝配階段,水平壁214可以 連續地焊接到處理室本體212,以使處理室本體212有更大 的入口0 在處理室本體2i2中定義的每一基材傳送室22〇, 1294865 222,224都包括兩個基材傳送室存取槔。設置該埠口以便 於基材110從負載鎖定室1〇〇進出,在第2圖所示的實施例 中,置於處理室本體212底部208的第一基材傳送室220包括 寬度大於2000mm的第一基材存取埠230和第二基材存取埠 232,透過處理室本體212的第一側壁202形成第一基材存取 埠23 0,並將第一基材傳送室220連接到處理系統15〇的中心 傳送室108。透過處理室本體212的第二壁204形成第二基材 存取埠232,並將第一基材傳送室220連接到工廠界面112。 在第2圖所示的實施例中,基材存取埠230、232置於處理室 本體2 1 2的對立侧,但是,埠口 2 3 0、2 3 2可以定位於處理室 本體212的鄰近壁。 每一基材存取埠230、232都可以透過各自的槽閥 226、228選擇性地密封,槽閥適應於選擇性地使第一基材 傳送室220與傳送室108和工廠界面112的周遭相隔離。槽闊 226、228透過一致動器242 (在第2圖的虛線中顯示的一致 動器242通常置於處理室本體212的外部)在打開和關閉的 位置之間移動。在第2圖所示的實施例中,每一槽閥226、 228沿著第一邊緣樞軸地連接到處理室本體2 1 2,並能透過 在致動器242在打開和關閉的位置之間旋轉。 第一槽閥226從第一側壁202的内側密封第一基材存 取埠230,並藉此定位在第一基材傳送室220内,以使第一 基材傳送室220和中心基材傳送室108的真空環境之間的真 空(例如,壓力)差別幫助槽閥226逆著第一侧壁202裝載 1294865 和密封’藉此增強真空密封。相應地,第二槽闊228置於第 側壁2〇4的外部並藉此定位,以使工廠界面1 1 2的周遭環 境與第基材傳送室220的真空環境之間的壓差幫助密封 第土材的存取埠232。所用的槽閥的例子可獲益於1996 年12月3日授與的美國專利第5,579,7 1 8和2000年4月4日授 與的美國專利第6,G45,62G,在本文中將二者全文引用供參 第二基材傳送室222具有類似的構造,設有存取埠 234、236和槽閥226、228。第三基材傳送室224具有類似的 構造’其並設有存取埠238、240和槽閥226、228。 基材110被支撐在第一基材傳送室220的底部208和内 壁214的上方’内壁214透過多個基材支撐體2 44固定第二和 第二基材傳送室222、224的底界。設置基材支撐體2 44並分 開將基材1 10支撐在底部20 8 (或者壁214)上面一高度,以 免基材和處理室本體212接觸。設置基材支撐體244以使刮 擦和基材污染達到最小。在第2圖所示的實施例中,基材支 撐體244是具有圓形的頂部246的不鏽鋼銷。其它適合的基 材支撐體則描述於美國專利第6,528,767號(於2003年5月4 曰所申請)、美國專利中請案第09/982,406號(於2001年 10月17曰所申請)以及美國專利申請案第1 0/376857號(於 20 03年2月27曰所申請)中,該等全文係合併於此以供參考。 1294865
處的埠口,設置埠口以便於控制每一室内腔的壓力。在第3 圖所示的實施例中,處理室本體212包括一透過處理室本體 212的第四側壁302形成的排氣口 306和一透過處理室本體 212的第三側壁206形成的真空口 3 04,用於排氣和抽吸第一 基材傳送室22 0的下部。閥3 1 0、3 1 2分別連接到排氣口 3 04 和真空口 3 06以選擇性地阻止流體穿過此處流動。真空口 306連接到真空幫浦3 08,透過幫浦來選擇性地降低第一基 材傳送室220的内腔壓力,以達到與傳送室1〇8的壓力基本 上匹配的位準。
再參考第2圖,當傳送室1〇8和負載鎖定室100的第一 基材傳送室220之間的壓力基本上相等時,可以打開槽閥 22 6以允許被處理的基材傳送到負載鎖定室1〇〇中,真空機 器人134透過第一基材存取埠230將基材處理傳送到傳送室 108中,放置基材後,從負載鎖定室1〇〇的第一基材傳送室 220的傳送室108返回,槽閥226關閉並且閥310打開,並由 此允許排放氣體,例如,N2和/或He,氣體透過排氣口進 入到負載鎖定室100的第一基材傳送室220内,並升高内腔 110的壓力。通常,過濾透過排氣口 304進入到内腔110的排 放氣體以使基材上的潛在粒子污染降到最低。一旦第一基 材傳送室220内的壓力與工廠界面112的壓力大致相等時, 槽閥224會打開而使氣動機器人i36在第一基材傳送室220 和基材儲存盒138之間傳送基材,盒138則透過第二基材存 取埠232連接到工廠界面112。 10 1294865 其它的基材傳送室222、224具有類似配置。儘管在第 3圖中顯示的每一基材傳送室220、222、224都有單獨的幫 浦3 08,然一或更多的基材傳送室22 0、22 2、224可以共享 一個單級真空幫浦,該幫浦具有合適的流量控制以便於在 第3 A圖所示的室之間選擇性地抽吸。 當基材傳送室220、222、224設置體積為小於或等於 1000公升時,正如上面第9圖所示,常規雙基材雙通道負載 鎖定室900具有每小時傳送大約60個基材的傳送率,與之相 比,負載鎖定室1 0 0在降低的抽吸率下每小時可以傳送大約 70個基材《增加負載鎖定室900的抽吸速率以提升基材的產 量將導致室内冷凝。與負載鎖定室900的每次循環為130秒 相比較,本發明降低的抽吸速率每次吸/排循環大約在 160-1 80秒之間,基本上更長的循環週期會降低室内的空氣 速度,因此降低基材上的粒子污染的機率,同時消除了凝 結。此外,使用低容積的幫浦3 08可以達到更大的基材產 量,並能降低系統成本。 而且,由於基材傳送室的層疊設置,可以實現更大的 基材產量而不必使負載鎖定室增加到比傳送單個基材所必 需的占地面積更多。在降低FAB整體成本過程中非常期望 最小化的占地面積。因此,具有三個單個基材傳送室220、 222、224的負載鎖定室的整體高度低於雙室系統700,進而 在一個更小、更低成本的部件中提供更大的產量。 第一基材傳送室220的底部208和内壁214可能也包括 11 1294865 一或多個形成於其中的凹槽316,内壁214形成第二和第三 基材傳送室222、224的底界。正如第4 A-B圖中所示,在置 於基材支撐體244上的基材n〇和機器人葉片402之間,設置 凹槽3 1 6以提供間隙。 葉片402 (顯示在第4A_b圖中的一指狀物)被移入凹 槽3 16。一旦到達第一基材傳送室220的預定位置,葉片402 將升高以從支撐體244上提升基材110。然後,運送基材110 的葉片402從第一基材傳送室220中縮回。基材100以反轉的 模式放在基材支撐體244上。 第5圖是校準機構5 〇〇的一實施例的處理室本體212的 局部剖視圖,校準機構5 〇〇可以用來促使基材1 1 〇進入到第 一基材傳送室220内的預定位置。第二個校準機構(未示出) 置於第一基材傳送室220對面的角落,以配合所示的機構 500的操作。或者,在第一基材傳送室220内的每個角落均 可設置校準機構500。其它的基材傳送室222、226也類似配 備以使基材定位。 例如,當透過氣動機器人136把基材110放在基材支撐 體244的位置和基材n〇相對於基材支撐體244的預定位置 (即設計位置)之間有誤差時,校準機構500可以校正這個 定位誤差。與利用氣動機器人13 6調整基材設置的常規校正 方法不同,透過負載鎖定室i 〇〇内的校準機構5 00使基材u〇 校準的方法提供更大的機動性能和較低的系統成本。例 如’由於負載鎖定室100給基材支撐體244上的基材提供更 12 1294865 寬鬆的位置,因此,設有校準機構50Q的基材傳送室280在 負載鎖定室1〇〇和工廠界面112提供的使用者之間提供更大 的兼容性,藉此降低高精確度的機器人和/或透過工廠界面 提供者產生校正的機器人動作的算法的需要。此外,當機 器人定位精度設計標準降低後,可利用低成本的機器人。
在第5圖的實施例中,校準機構包括兩個滾筒5 02、5 04 連接到桿508第一末端506。延伸透過槽518的桿508在銷510 附近裝軸轉動,槽518透過側壁302形成。致動器512連接桿 508以抵靠著基材11〇的鄰近邊緣的方向推展滾筒502、 504。致動器512,例如一充氣的圓筒,通常定位在處理室 本體212的外部。外殼520密封置於槽518之上,並包括波紋 管或其它適合的密封件522以便於致動器512連接到桿508 而沒有真空洩漏。校準機構500和反向的校準機構(未示出) 配合操作以將基材校準在第一基材傳送室220内預定的位 置。其它可以使用的基材校準機構則揭示於美國專利申請 案第10/094,156號(於2002年3月8日申請)和美國專利申 請案第1〇/〇84,762號(於2002年2月22日中請)中,該等全 文係合併於此以供參考。 第6-7圖是校準機構600的另一實施例的刮視圖。設置 校準機構600以進行與上述的校準機構500相似的操作。儘 管第6圖中只顯示了一校準機構600,但校準機構600與設置 在處理室本體2 1 2對角的另一校準機構(沒有顯示)配合操 作,或者,處理室本體212的每一角落都有一校準機構。 13 1294865 校準機構600通常包括一透過軸604連接到致動器6〇8 的内桿6 02,軸604穿過處理室本體212設置。在第6_7圖描 述的實施例中,致動器608透過外桿606連接到軸604 ,外桿 606連接到軸604的柱720上,軸604延伸穿過處理室本體212 外壁的凹槽702,致動器608可以是一個電動機,線性致動 器或適於使旋轉運動傳遞到轴604的其它設備。内桿6〇2係 與軸604旋轉,藉此移動一對滾筒502,504,使之從桿6〇2 延伸以迫使基材1 1 〇(在剖視圖中顯示)進入一預定的位置。 轴604穿過一限定在凹槽610底部的水平壁612。一空 外殼614設置軸604’透過多個緊固零件616使空外殼固定在 處理室本體212上。一對套管706、712設置在外殼614的孔 708上’以便於軸604在外殼614内旋轉。密封件704設置於 外殼614的凸緣710之間,使處理室本體212保持完全真空。 在軸6 0 4和外殼6 1 4之間設置多個密封件7 1 4以阻止真 空損失。在第7圖所示的實施例中,密封件7 1 4包括三個開 口端朝外桿606的杯狀密封。透過墊片716和定位環718使密 封件714保持在孔708内。 第8圖是負載鎖定室8 00的另一實施例。負載鎖定室 8 〇〇與上述的負載鎖定室1〇〇類似,並且在基材傳送室向下 抽吸和/或排氣期間,負載鎖定室額外配置以提供一基材 1 1 〇的熱處理系統。在第8圖所示的實施例中,所示的處理 室本體822的一部分有一詳細描述的基材傳送室8〇2,而上 部和下部的鄰近基材傳送室804、806可具有相似的架構。 14 1294865 在一實施例中,在基材傳送室802上設置冷卻板810。 冷卻板8 1 0可許適應於冷卻處理返回到負載鎖疋至8 〇 〇的基 材,冷卻板810可以是一完整的零件’或者是連接到内壁 214。冷卻板810包括多個連接到冷卻流體源814的個通道 8 1 2 ,冷卻流體源8 1 4適應於使熱傳遞流體循環穿過通道8 1 2 以調節基材1 1 〇的溫度。 在第8圖所示的實施例中,冷卻板8 1 0連接至少一致動 器8 16,該機構係用以控制該板810相對於基材支撐體244 上該基材110的高度。該基材支撐體244係設置穿過該形成 於冷卻板8 1 0中的間隙8 1 8,以讓該冷卻板8 1 0可垂直移動至 接近該基材處而增強熱交換、或垂直移動至遠離該基材以 存取上述第4A-B圖中所提及的機器人葉片。 致動器810連接到處理室本體822的外部,並透過連接 桿820連接到冷卻板810。桿820穿過形成於處理室本體822 上的槽824。外殼826置於槽824的上部並透過波紋管828或 者類似物密封地連接到致動器810和桿820,以使致動器810 調整冷卻板810的高度而基材傳送室沒有真空損失。 基材傳送室802也可以包括一設置於頂部邊界的(即 處理室本體的内壁或頂部,倚賴於負載鎖定室内的基材傳 送室的位置)加熱部件8 3 0。在第8圖所示的實施例中,加 熱部件830連接到電源832並適應預熱未處理的基材,在一 實施例中,加熱部件8 3 0是一輻射加熱器,例如石英紅外線 鹵素燈或其類似物。可以預料的是,也可以使用其它的加 15 1294865 熱部件。 因而,本文提供了一種具有垂直層疊單一基材傳 的負載鎖定室。與現有常規技術中雙通道雙基材設 比,垂直層疊單一基材傳送室的架構具有縮小的尺寸 大的產量。此外,低抽吸和排氣率也能增加產量,這 應的降低了由於粒子和冷凝作用而導致基材污染的 性。 儘管前述内容涉及本發明的優選實施例,但可以 出其它的和進一步的實施例而不偏離本發明的基本範 本發明的範圍由以下的申請專利範圍所界定。 【圖式簡單說明】 上面提到的這種模式中敘述的發明的特徵是能 得並能被具體理解的。對本發明更多的詳細描述和上 簡述,也在附圖所示的實施例中涉及。但值得注意的 附圖所示的只是本發明典型的實施例,因此並不能認 對發明範圍的限制,其它包括同等效果的實施例也應 是本發明之範圍。 第1圖是用於處理大面積基材的處理系統的一實 的頂部平面圖; 第2圖是包括多個室的負載鎖定室的一實施例之 面 · 圃,
第3圖是負载鎖定室沿第2圖的截面線3-3的剖視I 送室 計相 和更 也相 可能 設計 圍0 夠獲 文的 是, 為是 視為 施例 側視 16 1294865 第3A圖所示的是第2圖中的具有一共享真空幫满的 負載鎖定室; 第4A-B圖是第3圖中的負載鎖定室的局部剖視圖; 第5圖是校準機構的一實施例; 第6-7圖校準機構的另一實施例的剖視圖; 第8圖是負載鎖定室的另一實施例;和, 第9圖是現有技術中常規的雙通道雙基材負載鎖定室 的一實施例。 為了便於理解,在可能的情況下,均使用相同的附圖 標記標注附圖中共用的相同組件。 【主要元件符號說明】 100 負 載 鎖 定 室 106 基 材 108 傳 送 室 110 大 面 積 基 材 112 工 廠 介 面 132 處 理 室 134 雙 葉 片 真 空 機 器人 136 雙 葉 片 氣 動 機 器 138 儲 存 匣 140 台 150 製 程 系 統 202 側 壁 204 側 壁 206 側 壁 208 底 部 210 頂 部 212 處 理 室 本 體 214 水 平 内 壁 218 連 續 焊 接 220 單 — 基 材 傳 送 室 222 單 一 基 材 傳 送 室 224 單 一 基 材 傳 送 室 17 1294865 226 狹 閥 228 狹 闊 230 基 材 存 取 埠 232 基 材 存 取 埠 234 基 材 存 取 埠 236 基 材 存 取 埠 238 基 材 存 取 埠 240 基 材 存 取 瑋 242 致 動 器 244 基 材 支 撐 體 246 圓 形 頂 部 302 側 壁 304 排 氣 埠 306 真 空 淳 308 真 空 幫 浦 310 閥 312 閥 3 16 溝 槽 402 機 器 人 葉 片 500 才父 準 機 構 5 02 滾 筒 504 滚 筒 506 末 端 508 桿 510 銷 512 致 動 器 514 邊 緣 516 邊 緣 518 槽 520 外 殼 522 密 封 件 600 校 準 機 構 602 内 桿 604 轴 606 外 桿 608 致 動 器 610 凹 槽 612 水 平 壁 614 中 空 外 殼 616 固 定 物 700 雙 槽 式 雙 基材傳送室 702 凹 槽 704 密 封 件 706 套 管 708 孔 710 凸 緣 712 套 管 714 密 封 件 18 1294865 716 墊 片 718 定 位 環 720 柱 800 負 載 鎖 定 室 802 基 材 傳 送 室 804 基 材 傳 送 室 806 基 付 傳 送 室 810 冷 卻 板 812 通 道 814 冷 卻 流 體 源 816 致 動 器 820 連 接 桿 822 處 理 室 本 體 824 槽 826 外 殼 828 波 紋 管 830 加 熱 件 832 電 源 900 一 對 雙 通 道負 載鎖定室902 基 材 傳 送 室 904 基 材 傳 送 室 906 處 理 室 本 體 910 基 材 912 升 降 機 19

Claims (1)

  1. 第q Ή予丨义7〇號專^案抑年7月修正 拾、申請專利範圍:1. 一種負載鎖定室包括:一處理室本體,其具有一適於連接一真空處理室之第 本 室 8工 51 處 該 ; 於 側成 二形 第係 之其 面 , 介室 廠送 工傳 一材 接基 連 的 於疊 適層 一 直 及垂 以個 , Ν 側 煩請委員明示,本集修正 基之 的 壁 疊 側 層 一 直 少 垂至 等之 該 室 少送 至傳 中材 其 基 , 該 數於 整置 的配 2 有 於4 大? 是具 N 一 中之 其 室 , 送 内傳 體材 並 分 室 送 材 基 的 近 鄰 及使 以可 ; 壁 口 内 埠一 吸每 抽 , 。 1 壁離 及内隔 口個境 埠-1環 氣N遭 排 周 一 與 Su!s 處 該 中 其 室 定 鎖 載 負 的 述 所 。 項成 II製 第 圍 範 利 專 請 申 如 由 是 體 本 2.室 料 材 ;原實質内言 第 和 一 成 第形 該以 中成 其 製 , 壁 室 内 定的 鎖成 載製 負料 的材 述塊 所一 項由 1 係 第者 圍 一 Λ-巳 I少 利至 專 請 申 如 側 與 部 側二 第 和 1 第 的 件 部 次 室 Quia 處 該 且 件 部 。 次接 室 連 理封 處 密 一 其 理 處 該 中 其 室 定 鎖 载 J3 負 的 述 所 項 11 第 圍 範 利括 專包 請更 申體 如本 4 室 其 室 送 傳 材 基 1 少 至 括 包 分 咅 一 每 分 部 塊 模 個 多 的 疊 層 直 垂 是 分 部 塊 模 該 中 20 1294865 5.如申請專利範圍第1項所述的負載鎖定室,其中該基材 傳送室具有一小於或等於約1000立方公升的内體積。
    6.如申請專利範圍第1項所述的負載鎖定室,其中每一基 材傳送室更包括多個固定的基材支撐體,該等支撐體係適 於將基材傳送室中之一基材維持在與處理室本體呈空間分 離的位置。 7.如申請專利範圍第1項所述的負載鎖定室,其中每一基 材傳送室適於容納一平面面積至少為2.7平方米的基材。 8.如申請專利範圍第1項所述的負載鎖定室,其中每一基 材傳送室更包括:
    一冷卻板,設置於該處理室本體的至少一内壁、頂部 或底部之上或與之一體成形。 9.如申請專利範圍第8項所述的負載鎖定室,其中該冷卻 板更包括: 多個通道,適於一熱傳遞流體流經其間。 10.如申請專利範圍第8項所述的負載鎖定室,其中每一基 材傳送室更包括: 21 1294865 多個固定的基材支撐體,適於將基材傳送室内之一基 材維持在與處理室本體呈空間分離的位置’且至少一基材 支撐體係穿設經該冷卻板;及 一致動器,其連接至該冷卻板’並適於控制該冷卻板 相對於該基材支撐體之一末端的高度。 1 1 ·如申請專利範圍第1 0項所述的負載鎖定室,其中每一 基材傳送室更包括: 一加熱器,設置於該基材傳送室之至少一頂部或底 部。 1 2 ·如申請專利範圍第1項所述的負載鎖定室,其中每一基 材傳送室更包括: 一加熱器,設置於該基材傳送室之至少一頂部或底 部。 1 3.如申請專利範圍第1項所述的負載鎖定室,其中每一基 材傳送室更包括: 一校準機構,設置在該基材傳送室之至少數個對角 内,並適應於在基材傳送室中將基材水平地校準於一預定 方位。 1 4 ·如申請專利範園第1項所述的負載鎖定室,其中每一基 22 1294865 材傳送室更包括: 一排氣埠口及一抽吸埠口。 1 5.如申請專利範圍第1項所述的負載鎖定室,其中每一基 材傳送室的抽吸埠口係連接一單一幫浦。
    1 6.如申請專利範圍第1所述的負載鎖定室,其中每一基材 傳送室的抽吸埠口係連接各自的幫浦。 1 7.如申請專利範圍第1項所述的負載鎖定室,其中該内壁 更包括: 多個凹槽,其等係連設(running)在該第一及第二側之 間,該等凹槽適於接受一基材傳送機器人之一末端執行器 的至少一部分。
    1 8.如申請專利範圍第1 3項所述的負載鎖定室,其中該校 準機構更包括: 一桿,延伸經過一形成於該處理室本體之槽; 兩個滾筒,連接到該桿之一第一端;以及 一致動器,連接至該桿,該致動器適於驅使該等滾筒 鄰靠該處理室本體内一基材之邊緣。 1 9.如申請專利範圍第1 8項所述的負載鎖定室,其中該校 23 1294865 準機構更包括: 一外殼,密封地設置於該槽上方;以及 一密封件,其助於將該致動器連接至該桿而不會由該 處理室本體產生真空洩漏。 2 0.如申請專利範圍第18項所述的負載鎖定室,其中該桿 樞軸地連接到該處理室本體。
    2 1 .如申請專利範圍第1項所述的負載鎖定室,其中每一基 材傳送室更包括: 兩個基材存取埠,其寬度大於至少2000mm。 22. —種負載鎖定室,其至少包括: 一處理室本體,其具有一適於連接一真空處理室之第 一側,以及一適於連接一工廉界面之第二側;
    一第一處理室,形成在該處理室本體内; 一第一槽閥,其連接至處理室本體,用以選擇性密封 形成於該處理室本體第一側之一第一基材存取埠; 一第二槽閥,其連接至處理室本體,用以選擇性密封 形成於該處理室本體第二側之一第二基材存取埠; 至少一第二處理室,形成於處理室本體内,並藉由一 水平壁與該第一處理室隔離; 一冷卻板,設置於該第二處理室; 24 1294865 一致動為’連接至該冷卻板’且配置來控制在該第二 處理内之該冷卻板的高度;一第三槽閥,其連接至該第二 處理室,用以選擇性密封形成於該處理室本體第一側之一 第二基材存取崞; 一第四槽閥,其連接炱該處理室本體,用以選擇性密 封一連接至該第二處理室之第四基材存取埠; 一第三處理室,形成於該第一及第二處理室上方之該 處理室本體内,並藉由一第二水平壁與該第二處理室分離; 一第五槽閥,其連接i該處理室本體,用以選擇性密 封一連接至該第三處理室之第五基材存取埠;以及 一第六槽閥,其連接至該處理室本體,用以選擇性密 封一連接至該第三處理室之第六基材存取埠。 23 ·如申請專利範圍第2 1項所述的負载鎖定室,更包括: N個處理室,其形成於該處理室本體内,用於接受一 單一基材,每一室係藉由一水平壁與鄰近處理室分開,其 中N是大於3的整數。 24.如申請專利範圍第2 1項所述的負載鎖定室,更包括: 一第一壓力作業系統,可流體地連通到該第一處理 室;以及 一第二壓力作業系統,可流體地連通到該第二處理 室,該第一和第二壓力作業系統可獨立控制。 25 1294865 2 5.如申請專利範圍第24項所述的負載鎖定室,其中該第 一壓力作業系統更包括: 一排氣閥,經由一排氣口連接到該第一處理室;以及 一幫浦,經由一抽吸口連接到該第一處理室。 2 6.如申請專利範圍第21項所述的負載鎖定室,更包括:
    一輻射式加熱器,設置在每一基材傳送室中。 27.如申請專利範圍第21項所述的負載鎖定室,更包括: 一冷卻板,設置在每一基材傳送室中。 28. —種負載鎖定室,其至少包括: 一處理室本體,其具有一適於連接一真空處理室之第 一側,以及一適於連接一工廠界面之第二側;
    一第一處理室,形成在處理室本體内; 一第一槽閥,連接至該處理室本體,用以選擇性密封 一形成於該處理室本體第一側之第一基材存取埠; 一第二槽閥,連接至該處理室本體,用以選擇性密封 一形成於該處理室本體第二側之第二基材存取埠; 一第二處理室,形成於該處理室本體内,並藉由一水 平壁與該第一處理室環境隔離; 一第三槽閥,連接至該第二處理室,用以選擇性密封 26 1294865 一形成於該處理室本體第一側之第三基材存取埠; 一第四槽闊,連接至該處理室本體,用以選擇性密封 一連接至該第二處理室之第四基材存取埠; 一第三處理室,形成於該處理室本體内,其並藉由一 水平壁與該第二處理室環境隔離; 一第五槽閥,連接至該第三處理室,用以選擇性密封 一形成於該處理室本體第一側之第五基材存取埠;及 一第六槽閥,連接至該處理室本體,用以選擇性密封 一連接至該第三處理室之第六基材存取埠,其中每一室都 設置為能容納具有表面積至少為2.7平方米的基材,每一室 更包括: 一冷卻設備,設置在該處理室之一底部; 一加熱設備,設置在該處理室之一頂部; 多個基材支撐銷,由該處理室之該底部延伸; 一校準機構,適於使一基材位於該處理室内中央 處; 一排氣口;及 一抽吸口。 2 9.如申請專利範圍第28項所述的負載鎖定室,其中每一 處理室之該抽吸口係連接一單一幫浦。 30.如申請專利範圍第28項所述的負載鎖定室,其中每一 27 1294865 處理室之該抽吸口係與各自的幫浦相連接。 3 1 .如申請專利範圍第2 8項所述的負載鎖定室,其中每一 室更包括: 一致動器,連接至該冷卻板,且適於控制該冷卻板相 對於基材支撐體之一末端的高度。
    3 2.如申請專利範圍第2 8項所述的負載鎖定室,其中該校 準機構更包.括: 一桿,延伸經過一穿設於該處理室本體之槽; 兩個滾筒,連接到該桿之一第一末端,以及 一致動器,連接至該桿,該致動器適於驅使該等滾筒 靠抵該處理室本體内一基材之鄰近邊緣。
    3 3.如申請專利範圍第28項所述的負載鎖定室,其中該校 準機構更包括: 一外殼,密封地設置於該槽上方;以及 一密封件,其助於將該致動器連接至該桿以避免該處 理室本體不會產生真空洩漏。 34.如申請專利範圍第32項所述的負載鎖定室,其中該桿 係樞軸地連接至該處理室本體。 28 1294865 3 5 .如申請專利範圍第3 2項所述的負載鎖定室,其更包 括: 一軸,連接至該桿並穿設於該處理室本體;以及 一第二桿,設置於該處理室本體外,並連接該軸及該 致動器。 36. —種大面積之基材處理系統,其至少包括:
    一傳送室; 一傳送機器人,設於該傳送室内; 多個製程處理室,連接至該傳送室;以及 多個垂直層疊之單一基材負載鎖定室,連接至該傳送 室,其中各基材傳送室都有一等於或小於約1〇〇〇升的内部 體積,以容納應具有平面表面積2.7平方米的基材。 37. 如申請專利範圍第1項所述的負載鎖定室,其中該抽 吸埠口和該排氣埠口係配置在相反的側壁上。
    3 8 .如申請專利範圍第22項所述的負載鎖定室,其中更包 含·· 一第二冷卻板,配置在該第三處理室中;以及 一第二致動器,連接至該第二冷卻板並配置來控制在該 第二處理室内之該第二冷卻板的高度。 39. —種負載鎖定室,包含: 29 1294865 一處理室本體,具有被界定在其中之一基材傳送室; 至少二存取埠,被界定貫穿該處理室本體並適合將— 基材傳送出或傳送入該處理室本體; 一基材支撐體,配置在該基材傳送室中; 一第一校準機構及一第二校準機構,配置在該基材傳 送室中,設計成可以選擇性移動一基材至該基材支撐體的 預定位置; 一第一致動器,配置於該基材傳送室的外側,並連接 該第一校準機構。 4 0 ·如申請專利範圍第3 9項所述的負載鎖定室,其中該第 校準機構更包含: 一桿,延伸經過一穿設於該處理室本體之槽並連接該 第一致動之一第一末端; 兩個滾筒,具有垂直於該基材支撐體之一平面的轉動 轴,該等滾筒連接到該桿之一第二末端。 4 1.如申請專利範圍第3 9項所述的負載鎖定室,其中該第 —校準機構更包含: 一外殼,密封地設置於該槽上方;以及 一密封件,其有助於將該致動态連接至該桿而不會由 該處理室本體產生真空洩漏。 30 1294865 42.如申請專利範圍第40項所述的負載鎖定室,其中該桿 樞軸地連接到該處理室本體。 43.如申請專利範圍第39項所述的負載鎖定室,其中更包 含:
    一第二基材傳送室,界定在該本體中;以及 一第三基材傳送室,界定在該本體中,其中該等基材 傳送室各自設計成能容納一具有一平面面積2.7平方米之 基材,並具有一等於或小於約1000升的内部體積。 44.如申請專利範圍第43項所述的負載鎖定室,其中該等 等基材傳送室各自更包含: 一排氣埠口,形成於貫穿該處理室本體之一側壁。
    45.如申請專利範圍第43項所述的負載鎖定室,其中該致 動器適合以對該基材支樓體的一平面垂直之轉動軸來轉動 該第一校準機構。 31 1294865 柒、指定代表圖: (一) 、本案指定代表圖為:第2圖。 (二) 、本代表圖之元件代表符號簡單說明: 2 1 2處理室本體 100負載鎖定室 106基材 1 0 8傳送室 110大面積基材 1 1 2工廠介面 1 3 2處理室 134雙葉片真空機器人 136雙葉片氣動機器人 1 3 8儲存[£ 140台 1 5 〇製程系統 2 02側壁 2 04側壁 2 06側壁 2〇8底部 210頂部 2 1 4水平内壁 2 1 8連續焊接 220單一基材傳送室 222單一基材傳送室 224單一基材傳送室 226狹閥 228狹閥 230基材存取埠 232基材存取埠 234基材存取埠 236基材存取埠 2 3 8基材存取埠 240基材存取埠 242致動器 244基材支撐體 246圓形頂部 捌 無 以以,時,調不取能願示
TW093131870A 2003-10-20 2004-10-20 Load lock chamber for large area substrate processing system TWI294865B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US51272703P 2003-10-20 2003-10-20
US10/832,795 US7207766B2 (en) 2003-10-20 2004-04-26 Load lock chamber for large area substrate processing system

Publications (2)

Publication Number Publication Date
TW200530105A TW200530105A (en) 2005-09-16
TWI294865B true TWI294865B (en) 2008-03-21

Family

ID=34396598

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093131870A TWI294865B (en) 2003-10-20 2004-10-20 Load lock chamber for large area substrate processing system

Country Status (6)

Country Link
US (2) US7207766B2 (zh)
EP (1) EP1526565A3 (zh)
JP (2) JP2005175440A (zh)
KR (2) KR100929728B1 (zh)
CN (2) CN101145506B (zh)
TW (1) TWI294865B (zh)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
CN100423179C (zh) * 2002-06-21 2008-10-01 应用材料股份有限公司 用于真空处理系统的传送处理室
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP4280249B2 (ja) * 2004-06-02 2009-06-17 アプライド マテリアルズ インコーポレイテッド チャンバをシールするための方法及び装置
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US8648977B2 (en) * 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7255747B2 (en) * 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US7461794B2 (en) * 2005-08-18 2008-12-09 Applied Materials, Inc. Substrate temperature regulating support pins
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
KR100794649B1 (ko) * 2006-03-02 2008-01-14 브룩스오토메이션아시아(주) 로드락 챔버
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
KR101324288B1 (ko) * 2006-08-23 2013-11-01 주성엔지니어링(주) 유지보수가 간편한 기판 얼라이너
US8920097B2 (en) * 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080206020A1 (en) * 2007-02-27 2008-08-28 Smith John M Flat-panel display processing tool with storage bays and multi-axis robot arms
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
KR100858933B1 (ko) * 2007-05-02 2008-09-17 주식회사 에스에프에이 평면디스플레이용 기판 처리 시스템의 로드락 챔버
US8082741B2 (en) * 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
JP5795162B2 (ja) 2007-05-18 2015-10-14 ブルックス オートメーション インコーポレイテッド ロードロック高速排気および通気
TWI455861B (zh) * 2007-05-18 2014-10-11 Brooks Automation Inc 基板加工工具、半導體加工工具、及基板加工裝置
TW200908363A (en) * 2007-07-24 2009-02-16 Applied Materials Inc Apparatuses and methods of substrate temperature control during thin film solar manufacturing
US20090060687A1 (en) * 2007-08-28 2009-03-05 White John M Transfer chamber with rolling diaphragm
WO2009055507A1 (en) * 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
KR100976400B1 (ko) * 2008-03-26 2010-08-17 주식회사 에스에프에이 화학 기상 증착 장치의 로드락 챔버
JP2009239085A (ja) * 2008-03-27 2009-10-15 Foi:Kk 半導体ウェハ搬送装置および半導体ウェハ搬送方法
US8992153B2 (en) 2008-06-30 2015-03-31 Intevac, Inc. System and method for substrate transport
US20100011785A1 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
US9157145B2 (en) 2008-07-29 2015-10-13 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP5225815B2 (ja) * 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
JP2010135536A (ja) * 2008-12-04 2010-06-17 Tokyo Electron Ltd ロードロック装置および真空処理システム
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
KR102027108B1 (ko) * 2009-03-18 2019-10-01 에바텍 아크티엔게젤샤프트 진공처리 장치
JP5501688B2 (ja) * 2009-07-30 2014-05-28 東京エレクトロン株式会社 基板位置合わせ機構、それを用いた真空予備室および基板処理システム
CN102554937B (zh) * 2010-12-20 2015-06-24 理想能源设备(上海)有限公司 搬运机械手及搬运装置
EP2489759B1 (en) * 2011-02-21 2014-12-10 Applied Materials, Inc. System for utilization improvement of process chambers and method of operating thereof
KR101904146B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 및 라디칼 구속을 위한 방법 및 장치
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN103370768B (zh) * 2011-03-01 2017-05-31 应用材料公司 具有共享泵的真空腔室
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
US20130087309A1 (en) * 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
CN102502253B (zh) * 2011-11-18 2014-09-10 北京七星华创电子股份有限公司 晶圆状物件的输送系统
CN106847737B (zh) 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
TWM476362U (en) 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
CN103898449B (zh) * 2012-12-27 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 用于调节托盘温度的腔室及半导体加工设备
CN104008945B (zh) * 2013-02-22 2016-06-01 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法
CN104421437B (zh) * 2013-08-20 2017-10-17 中微半导体设备(上海)有限公司 活动阀门、活动屏蔽门及真空处理系统
KR102714118B1 (ko) 2013-09-26 2024-10-04 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
CN103556126A (zh) * 2013-10-14 2014-02-05 中国科学院半导体研究所 优化配置的多腔室mocvd反应系统
CN104726837B (zh) * 2013-12-18 2018-05-25 北京北方华创微电子装备有限公司 反应腔室及等离子体加工设备
TWI698944B (zh) * 2013-12-23 2020-07-11 南韓商圓益Ips股份有限公司 批量式基板處理裝置
CN105441876B (zh) * 2014-09-02 2019-04-23 北京北方华创微电子装备有限公司 一种薄膜沉积设备
KR101593536B1 (ko) * 2015-04-15 2016-02-16 주식회사 테라세미콘 배치식 기판처리 장치
US9704714B2 (en) * 2015-04-16 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd Method for controlling surface charge on wafer surface in semiconductor fabrication
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
KR20160141244A (ko) * 2015-05-29 2016-12-08 피에스케이 주식회사 기판 처리 장치 및 방법
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
CN105789091B (zh) * 2016-03-16 2020-04-24 沈阳拓荆科技有限公司 负载腔室及其使用该负载腔室之多腔室处理系统
TWI739846B (zh) * 2016-06-02 2021-09-21 美商應用材料股份有限公司 用於連續牽引處理的閘閥
CN107958851B (zh) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
US10082104B2 (en) 2016-12-30 2018-09-25 X Development Llc Atmospheric storage and transfer of thermal energy
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US11948810B2 (en) * 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
US10504762B2 (en) * 2018-02-06 2019-12-10 Applied Materials, Inc. Bridging front opening unified pod (FOUP)
US10720348B2 (en) 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
KR102568797B1 (ko) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7280132B2 (ja) * 2019-07-12 2023-05-23 株式会社アルバック 真空チャンバ及び基板処理装置
CN111633203A (zh) * 2020-06-01 2020-09-08 致恒(天津)实业有限公司 一种带导向的圆弧双侧定向位机构
KR20220158829A (ko) * 2020-07-01 2022-12-01 어플라이드 머티어리얼스, 인코포레이티드 재료 증착을 위한 장치, 기판 프로세싱 시스템, 및 기판 프로세싱 방법
CN113035752B (zh) * 2021-03-05 2022-11-11 上海广川科技有限公司 负载锁定装置及基片传片方法
WO2024010591A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Alignment mechanism, vacuum chamber with an alignment mechanism and method of aligning a substrate in a vacuum chamber

Family Cites Families (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
CH626214GA3 (zh) 1979-02-07 1981-11-13
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5374147A (en) * 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4655584A (en) * 1984-05-11 1987-04-07 Nippon Kogaku K. K. Substrate positioning apparatus
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4759681A (en) 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US5224809A (en) 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4687542A (en) 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4784377A (en) * 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4880349A (en) * 1986-12-23 1989-11-14 Northern Telecom Limited Method for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0333058Y2 (zh) 1987-06-26 1991-07-12
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
EP0306967B1 (en) 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
US5020475A (en) 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
DE3739143A1 (de) * 1987-11-19 1989-06-01 Henkel Kgaa Waessriges weichspuelmittel fuer die behandlung von textilien
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
DE58909880D1 (de) 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5046909A (en) * 1989-06-29 1991-09-10 Applied Materials, Inc. Method and apparatus for handling semiconductor wafers
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
EP0858867A3 (en) 1989-10-20 1999-03-17 Applied Materials, Inc. Robot apparatus
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (ja) 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5131460A (en) 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5376212A (en) 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JPH07114233B2 (ja) * 1992-04-01 1995-12-06 株式会社ニコン 基板の位置決め装置
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH0616206A (ja) 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
DE69323716T2 (de) 1993-01-28 1999-08-19 Applied Materials Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
US5352294A (en) * 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
DE69304038T2 (de) 1993-01-28 1996-12-19 Applied Materials Inc Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5421889A (en) 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
EP0634787B1 (en) 1993-07-15 1997-05-02 Applied Materials, Inc. Subsrate tray and ceramic blade for semiconductor processing apparatus
TW276353B (zh) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
US5636954A (en) * 1995-05-02 1997-06-10 Jac Products, Inc. Adapter for a vehicle article carrier
JP3288200B2 (ja) 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
TW309503B (zh) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100238998B1 (ko) 1995-07-26 2000-01-15 우치가사키 기이치로 가열로
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
CH691376A5 (de) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
TW318258B (zh) * 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3650495B2 (ja) * 1995-12-12 2005-05-18 東京エレクトロン株式会社 半導体処理装置、その基板交換機構及び基板交換方法
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5793050A (en) * 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
TW372926B (en) * 1996-04-04 1999-11-01 Applied Materials Inc Method and system of processing semiconductor workpieces and robot for use in said system
JPH09284344A (ja) * 1996-04-11 1997-10-31 Fujitsu Ltd Atm/stm混在ネットワークシステム
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
JP3549141B2 (ja) * 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) * 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
EP2099061A3 (en) * 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3286240B2 (ja) 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
JP4386983B2 (ja) * 1998-02-25 2009-12-16 キヤノンアネルバ株式会社 基板処理装置、マルチチャンバー基板処理装置及び電子デバイス製作方法
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
DE19829580A1 (de) * 1998-07-02 2000-01-05 Bosch Gmbh Robert Vorrichtung zur mechanischen Ausrichtung eines Trägersubstrats für elektronische Schaltungen
US6431807B1 (en) * 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
JP2000114149A (ja) * 1998-10-07 2000-04-21 Hitachi Ltd ガラス基板保持装置
KR100561703B1 (ko) * 1998-11-12 2006-03-17 동경 엘렉트론 주식회사 처리시스템
JP3420712B2 (ja) * 1998-11-12 2003-06-30 東京エレクトロン株式会社 処理システム
JP3439358B2 (ja) * 1998-11-27 2003-08-25 株式会社大廣製作所 自動洗髪機
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP2000200755A (ja) * 1999-01-06 2000-07-18 Kokusai Electric Co Ltd チャンバ装置
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6435686B1 (en) * 1999-02-26 2002-08-20 The Ohtsu Tire & Rubber Co., Ltd. Light conducting plate for a back lighting device and back lighting device
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2000323551A (ja) * 1999-05-11 2000-11-24 Anelva Corp 基板処理装置
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
JP4336003B2 (ja) * 1999-07-28 2009-09-30 三井造船株式会社 真空容器ロードロック装置
JP4316752B2 (ja) * 1999-11-30 2009-08-19 キヤノンアネルバ株式会社 真空搬送処理装置
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
TW512421B (en) * 2000-09-15 2002-12-01 Applied Materials Inc Double dual slot load lock for process equipment
JP2002158273A (ja) * 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) * 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
KR100398877B1 (ko) * 2001-05-09 2003-09-19 삼성전자주식회사 현상기 소음 및 진동방지구조를 갖는 화상형성장치
WO2002093605A2 (en) 2001-05-17 2002-11-21 Tokyo Electron Limited Cylinder-based plasma processing system
JP2003007797A (ja) * 2001-06-20 2003-01-10 Sharp Corp 真空処理装置
US6902624B2 (en) * 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US6824343B2 (en) * 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
US7104535B2 (en) * 2003-02-20 2006-09-12 Applied Materials, Inc. Methods and apparatus for positioning a substrate relative to a support stage
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system

Also Published As

Publication number Publication date
EP1526565A3 (en) 2011-07-06
EP1526565A2 (en) 2005-04-27
US7651315B2 (en) 2010-01-26
TW200530105A (en) 2005-09-16
JP2005175440A (ja) 2005-06-30
CN1638025A (zh) 2005-07-13
US7207766B2 (en) 2007-04-24
KR20070075368A (ko) 2007-07-18
JP2009200518A (ja) 2009-09-03
KR100929728B1 (ko) 2009-12-03
CN100382234C (zh) 2008-04-16
KR100978708B1 (ko) 2010-08-31
US20070140814A1 (en) 2007-06-21
KR20050037964A (ko) 2005-04-25
CN101145506B (zh) 2012-09-05
US20050095088A1 (en) 2005-05-05
CN101145506A (zh) 2008-03-19

Similar Documents

Publication Publication Date Title
TWI294865B (en) Load lock chamber for large area substrate processing system
TWI384574B (zh) 去耦合之腔體
US20070006936A1 (en) Load lock chamber with substrate temperature regulation
JP4821074B2 (ja) 処理システム
TWI394699B (zh) 多狹槽負載鎖定室及其操作方法
JP6024372B2 (ja) 基板処理装置および基板処理チャンバモジュール
WO2003071600A1 (fr) Mecanisme de transport pour substrats, utilise dans un systeme de traitement de semi-conducteurs
JP2005175440A5 (zh)
JP2003059999A (ja) 処理システム
US6896513B2 (en) Large area substrate processing system
US20070040041A1 (en) Substrate temperature regulating support pins
JP2019520701A (ja) 12面形の移送チャンバ、及び、かかる移送チャンバを有する処理システム
JP2021529438A (ja) 基板処理装置及び基板処理方法
TWI229405B (en) Substrate alignment apparatus
JP2021050403A (ja) 真空処理装置
KR102670276B1 (ko) 기판 처리 장치
JP2022112466A (ja) 基板処理装置
JP2022139929A (ja) 基板処理装置及び基板処理装置の制御方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees