TWI384574B - 去耦合之腔體 - Google Patents

去耦合之腔體 Download PDF

Info

Publication number
TWI384574B
TWI384574B TW095146935A TW95146935A TWI384574B TW I384574 B TWI384574 B TW I384574B TW 095146935 A TW095146935 A TW 095146935A TW 95146935 A TW95146935 A TW 95146935A TW I384574 B TWI384574 B TW I384574B
Authority
TW
Taiwan
Prior art keywords
cavity
plate
chamber
spacers
disposed
Prior art date
Application number
TW095146935A
Other languages
English (en)
Other versions
TW200733291A (en
Inventor
Jae-Chull Lee
Shinichi Kurita
John M White
Suhail Anwar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200733291A publication Critical patent/TW200733291A/zh
Application granted granted Critical
Publication of TWI384574B publication Critical patent/TWI384574B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1313Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells specially adapted for a particular application
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Description

去耦合之腔體
本發明的實施例主要涉及一種用於真空處理系統的腔體。
由平板技術形成的薄膜電晶體(TFT)一般用於主動式矩陣顯示器,諸如電腦電視顯示器、移動電話顯示器、個人數位助理(PDA)和數量不斷增加的其他裝置。大致而言,平板包含兩塊玻璃板,並在二者之間設置有液晶層。至少一塊玻璃板包括設置在其上並與電源連接的一層導電膜。從電源供應到導電膜的電力改變液晶材料的方向,產生圖像顯示。
隨著市場對平板技術的接受,對於大尺寸、高產量以及低成本的需求驅使設備製造廠商研發適用於製造平板顯示器的較大尺寸玻璃板的新系統。目前的玻璃處理設備通常配置成可容納略大於約五平方公尺的基板。人們預想在不久的將來可以設計出用於容納更大基板尺寸的處理設備。
製造這類大面積基板的設備意味著將有大量投資資金投入平板顯示設備製造廠。傳統的系統需要巨大且昂貴的硬體。大尺寸的真空腔易於在真空條件下變形。例如,由於真空腔的頂部和底部在真空條件下向內偏斜,與真空隔離閥門(slit valve door)交界的真空腔體的密封表面可能變成非平面,導致真空隔離閥門與真空腔之間的密封易於泄露。而且,大偏斜可能導致真空隔離閥門的金屬表面與腔體摩擦,從而產生可能被引入真空腔並污染基板的有害顆粒。由於預想未來的處理系統可以處理更大尺寸的基板,所以對於能迅速傳遞大面積基板的改良型真空腔的需求受到很大的關注。
因此,對於改良型真空腔室存在需求。
本發明的實施例包括一腔體,該腔體的頂部或底部至少其中之一可與腔體側壁去耦合。本發明適於用作裝載鎖定腔、基板傳遞腔和真空處理腔等腔室。
在第一實施例中,提供了一種其頂板和底板至少其中一者可與管狀腔體去耦合的真空腔。在一個實施例中,真空腔包括一管狀腔體,並且該管狀腔體內具有至少兩個基板進出孔。頂板係密封地設置在腔體的上端表面上,而底板則密封地設置在腔體的下端表面上。多個緊固件在頂板和底板之間夾住腔體。
在另一實施例中,提供一種真空腔室,其具有以允許至少頂板可相對於腔體移動的方式連接到管狀腔體的頂板和底板。在另一實施例中,在頂板和腔體之間設置至少一個隔離物,從而使腔體和頂板保持間隔開來的關係。
在又一實施例中,提供一種真空腔,其包括連接到管狀腔體的第一板。管狀腔體具有貫穿形成於管狀腔體中的至少一個基板進出孔。一密封件密封地耦接第一板和腔體。多個垂直層疊的隔離物設置在第一板和腔體之間,並使第一板和腔體保持間隔開來的關係。第二板與腔體密封連接,其中腔體和板界定出一個足夠容納大面積基板的內部體積。在內部體積中設置一基板支撐件。
本發明提供了具有去耦合之腔體組件(body assembly)的真空腔。腔體組件的構件(component)藉著允許腔體組件頂部和管狀腔體側壁之間的橫向移動,而將力量施加到欲與管狀腔體側壁去耦合的腔體組件頂部上,這裏施加給腔體組件頂部的力例如熱膨脹或因壓力造成的偏斜或其他力量。因此,術語「去耦合(decoupled)」定義為頂板或底板至少其中一者可相對於腔體側壁橫向移動而不會危及腔體的真空完整性。這有利於在操作容限內保持腔體密封表面,並有助於在操作期間減少顆粒產生。儘管將主要實施例描述為裝載鎖定腔,但是人們可以預期將其他真空腔,例如基板傳遞、化學氣相沈積、物理氣相沈積、熱處理、蝕刻、離子植入或其他真空腔配置成本文中所描述的結構。
第1圖描述了本發明的裝載鎖定腔100的一個實施例。裝載鎖定腔100包括由諸如不銹鋼、鋁或其他適當材料等剛性材料所製成的腔體組件102。藉著一組構件製成具有不漏結構的腔體組件102。在一實施例中,腔體組件102包括將環形主體148夾在中間以圍繞出一內部體積120的頂板104和底板106。主體148包括多個側壁108、110、112和114。在第2圖中示出與第一側壁112相對設置的第四側壁114。
在每個側壁112和114中都設置有至少一個基板進出孔116,以允許基板進出腔體組件102的內部體積120。通過在本領域中所熟知的真空隔離閥門選擇性地密封該等基板進出孔116。可應用於本發明的真空隔離閥門係參閱由Tanase等人於2004年6月14日申請標題是「曲形真空隔離閥門(CURVED SLIT VALVE DOOR)」、美國專利申請號為No.10/867100的專利申請案中所描述的真空隔離閥門。
在一實施例中,將頂板104或底板106至少其中一者建構成溫度調節板。可以在平板104、106中形成一個或多個通道124,並且該通道124與流體源128連接。流體源128提供循環流過該等通道124的熱交換流體,以調節(即,加熱和/或冷卻)基板122的溫度。
可選地,可以在裝載鎖定腔100的內部體積120內設置一個或多個加熱器166以選擇性地加熱基板122。在第1圖所描述的實施例中,在底板106上設置多個加熱器166,並且該等加熱器166獨立連接到電源168。加熱器166的位置位於基板122下方有助於在不會使基板上方流動氣體產生擾動渦流的情況下,對基板進行有效輻射加熱。此種配置方式允許獨立控制每個加熱器166,從而可以根據需要來調節基板122的溫度分佈,例如增加加熱均勻性或基板的一個區域比第二區域加熱地更快。在第1圖所描述的實施例中,係將加熱器166配置成允許基板122中心的加熱速率不同于基板周圍的加熱速率。
基板支撐結構118設置在由腔體組件102所定義出的內部體積120中。基板支撐結構118通常配置用來支撐一個或多個基板122,該些基板122在被裝載鎖定腔100隔離開來的周圍環境和真空環境之間傳遞。儘管在第1圖中所描述的基板支撐結構118顯示支撐單一個基板122,應該考慮到其他基板支撐結構亦可能適用於本發明,包括那些其上可支撐一個或多個基板的支撐結構。
基板支撐結構118包括多個銷126。銷126與腔體組件102的底板106連接。支撐基板112的銷126的端部可以是圓形的和/或包括球體以減小基板112的底面和銷126之間的動摩擦,並防止基板刮傷。在第1圖所描述的實施例中,球體172設置在每個銷126的末梢。由球體172提供減小的摩擦力允許當基板支撐在銷126上時較容易地膨脹和收縮而不會刮傷基板。在2003年3月5日申請專利號為6,528,767的美國專利、2001年10月27日申請專利申請號為09/982,406以及2003年2月27日申請的專利申請號為60/376,857美國專利申請案中描述了其他適用的支撐件。通常銷126配置成有助於與機械手臂交換基板的形式。
壓力控制系統150與裝載鎖定腔100連接以控制腔體組件102的內部體積120內的壓力。壓力控制系統150一般包括氣源152和排氣系統154。氣源152與貫穿腔體組件102而形成的至少一個進氣口160連接。氣源152提供一排出氣體(vent gas)以增加和/或調節腔體組件102的內部體積120中的壓力。例如,氣源152可能將排出氣體流入內部體積120中,以有助於基板122從真空環境傳遞到周圍環境。在一個實施例中,排出氣體包括氮氣、氦氣、空氣或其他適宜氣體中的至少一種。
在氣源152和進氣口160之間設置入口控制閥156,以選擇性地控制流入到腔體組件102的內部體積120中的排出氣流。入口控制閥156能提供在真空條件下的實質密封。在一實施例中,氣源152設計成能控制排出氣體的屬性,諸如排出氣體的流速、溫度和/或濕度。
在第1圖所描述的實施例中,進氣口160藉由排氣通道138與一個或多個擴散器140連接。在頂板102的內側形成擴散器140,從而使流入內部體積120的氣體係朝向基板122的頂部。該配置方式有助於當處理完基板122之後在排空裝載鎖定腔100的同時冷卻基板122。
在一實施例中,在頂板102的內表面上定義出的凹部132內形成擴散器140。蓋144覆蓋凹部132以定義出位於頂板102中的氣體空間(plenum)142。連接孔136將氣體空間142與排氣通道138流體連接。貫穿蓋144而形成的多個隙縫176允許排出氣體從氣源152通過氣體空間142流到內部體積120,如箭頭134所示。儘管這裏主要採用擴散器140將排出氣體引導到裝載鎖定腔100中,但是應該認識到亦可利用擴散器140將腔室100的內部體積120抽真空。
排氣系統154一般與穿通腔體組件102所形成的至少一個排氣口162連接。排氣系統154配置成用以從裝載鎖定腔100的內部體積120移除氣體。排氣系統154可以包括一個或多個真空泵(未示出),並且可以最後與輔助排氣系統(也未示出)連接。例如,排氣系統154可以從內部體積120抽走氣體,以便於從周圍環境傳遞基板122到真空環境。
排氣控制閥158設置在排氣系統154和排氣口162之間,以選擇性地控制流出腔體組件102的內部體積120的氣體流量。排氣控制閥158通常與入口控制閥156類似,並且能在真空條件下提供實質密封。
在第1圖所描述的實施例中,穿通側壁110形成排氣口162。因此,在排空內部體積120時和/或在冷卻基板122期間,可以在基板122的整個表面上選擇性建立排出氣流(由氣流箭頭180所示)。氣流180一般平行於基板122的平面,朝排氣口162流動。氣流180通常會增加基板122和排出氣體之間的熱傳速度,有利於提高基板的冷卻速度。而且,藉著在排空裝載鎖定腔100期間去除該排出氣體,可將被基板加熱的排出氣體移出裝載鎖定腔100的內部體積120,並由較冷的排出氣體來代替該加熱氣體,從而藉著實質上保持排出氣體和基板之間的溫差而提高基板的冷卻速度。
另外參照在第2圖中的裝載鎖定腔的分解視圖,通過多個緊固件以允許頂板104和底板106至少其中一者與主體148之間可相對移動的方式將頂板104和底板106與主體148密封連接。例如,不利用焊接的方式將頂板104和底板106至少其中一者連接至主體148。若在從板104、106施加到側壁的力量不是很大的關注問題的實施例中,可以通過焊接方式連接頂板104和底板106以及主體148。
在第1-2圖所描述的實施例中,標示為螺釘182和螺母184的多個緊固件在頂板104和底板106之間固定主體148。為了清晰,將在圖中示出的螺釘182的數量減少至最少。然而,可以使用足夠數量的緊固件,以在操作條件下充分地密封腔體100。分別貫穿過頂板104、主體148和底板106的孔202、204、206係用以容納緊固件。
在孔202、204、206內側形成凹槽208,使得可以在緊固件的內部設置諸如襯墊或O-型環等密封件186,以有效地密封裝載鎖定腔100的內部體積120。可以在頂板104內或者主體148中設置用於襯墊186的凹槽208。
另外參照在第3圖中所描繪的腔體組件102的局部截面圖,在頂板104的下表面302和主體148的上表面304之間設置至少一個隔離物316。隔離物316隔離頂板104和腔體148,使得在二者之間定義出間隙306。在一實施例中,隔離物316的平面面積遠小於腔體148之上表面304的平面面積。例如,可以沿腔體148的一側在上表面304上設置多個隔離物316。
選擇隔離物316的厚度,從而在真空或其他壓力條件下防止頂板104與腔體148接觸的同時能適度壓縮襯墊186以保持真空密封。類似地,在底板106和腔體148之間設置一個或多個隔離物316以在二者之間保持一間隙。
在第3圖所描繪的實施例中,示出設置在頂板104和腔體148之間的第一隔離物312和第二隔離物314。隔離物312、314由具有其自身(例如,隔離物312到隔離物314)之間的摩擦係數比隔離物與腔體148和/或頂板104之間的摩擦係數要低的材料所製成。因此,當由於真空、熱和其他力量導致腔體148和頂板104相對于彼此移動時,在防止頂板104和體148接觸的同時,頂板104和第一隔離物312可在第二隔離物314(和主體148)上橫向移動。
在一個實施例中,隔離物312、314是圓盤。圓盤可以是設置在螺釘182周圍以便於裝配的墊圈。當滑動元件(例如,隔離物312、314)相對於主體148的上表面304具有的較小的接觸面積,因此減小開始運動所需的力。另外,由於隔離物312、314的接觸表面位於襯墊186的外側,可以有效地防止在隔離物312、314滑動期間所產生的任何顆粒進入裝載鎖定腔100的內部體積120。可以考慮到隔離物316可能是凸棱形或其他特徵的形式,在平板和主體之間延伸以保持兩者間的間隙。還可以考慮隔離物整合至板或者主體中(例如,具有單一整體構造)。
在第3圖所描繪的實施例中,在主體148的上表面304中形成凹部308以設置第二隔離物314。可選地,可以在頂板104中形成凹部(未示出)以設置第一隔離物312。凹部(未示出)308具有一選定的深度,使得隔離物314延伸超出上表面304,以確保第一隔離物312可自由地相對於主體148橫向滑動。
為了進一步使施加在裝載鎖定腔100的頂板104上的力的影響降到最小,係在頂板104中形成至少一個縫318。縫318允許頂板104的中心區域移動、偏斜和/或膨脹同時使頂板邊緣上的移動的影響降至最小。密封組件320設置在縫318中以防止空氣泄露到裝載鎖定腔100的內部體積120中。在第3圖所描繪的實施例中,密封組件320包括襯墊或藉由夾鉗324固定於頂板104的摺疊件322。類似地,如上所述,底板106包括至少一個藉由密封組件320所密封的縫330。
再參照第2圖,與工廠介面相面對的管狀主體148的側壁還可以額外地包括一加固構件(stiffening member)292。連接到側壁112外部的加固構件292提供與主體148的偏斜情形去耦合(decouple)的門密封表面280。在一個實施例中,加固構件292包括一具有一條或多條凸棱296的平板294,該些凸棱296係從密封表面280延伸出或者連接至該密封表面280並並朝遠離腔體組件148的方向延伸。典型地,凸棱296定向為實質上垂直於壁112的平面。平板294包括多個孔284,以有助於將加固構件292緊固到腔體元件148上。在第2圖所描繪的實施例中,緊固件286通過孔284並旋入形成在腔體組件148中的盲孔(未示出)中。襯墊290設置在加固構件292和壁112之間。襯墊290減弱了腔體組件148的移動和/或偏斜對於密封表面280的影響,從而增強真空隔離閥的密封性並延長門密封件(O-型環)的壽命,同時防止因O-型環扭曲/萎縮與防止門和密封表面280之間的金屬與金屬的接觸而產生顆粒。襯墊290一般由適宜的聚合物或彈性材料所製造。在板294和襯墊290中形成基板傳遞通道298、288,並與在側壁212中形成的基板進出孔216對準,以有助於基板進出裝載鎖定腔100。
第4圖是適用於處理大面積基板(例如,具有大於約2.7平方公尺平面面積的基板)的多腔集成設備或處理系統450的一個實施例俯視平面圖。系統450的至少一個腔包括一可與腔體去耦合的頂板。
在第4圖中示出的處理系統450包括一傳遞腔408,其透過一具有多個單基板傳遞腔的裝載鎖定腔400來連接至工廠介面412。傳遞腔408其內設置有至少一個雙刃真空機械手臂434,該雙刃真空機械手臂434適於在多個外接的真空處理腔432和裝載鎖定腔400之間傳遞基板。通常,傳遞腔408保持在真空條件下,從而不必在每次基板傳遞後都要進行傳遞腔408和各個處理腔432之間的壓力調節。
處理腔432可能是適合用來處理基板的任何腔室。例如,處理腔432的至少其中之一可以是物理氣相沈積腔、化學氣相沈積腔、蝕刻腔、離子植入腔、熱處理腔或在保持在真空狀態下的其他腔室。
工廠介面412一般包括多個基板儲存盒438和雙刃大氣機械手臂436。盒438一般可移動地設置在形成於工廠介面412一側上的多個隔腔440內。大氣機械手臂436適於在盒438和裝載鎖定腔400之間傳遞基板。典型地,工廠介面412係保持在大氣壓或者略微高於大氣壓力的條件下。
第5圖是第4圖的多腔裝載鎖定腔400的一個實施例的截面圖。裝載鎖定腔400具有可去耦合的腔體組件512,其包括多個垂直層疊的管狀腔體548,該等管狀腔體548藉由多個真空密封的水平內壁514隔離開來。儘管在第5圖中所描繪的實施例示出了三個垂直層疊的管狀腔體548,但是應該了解到裝載鎖定腔400的腔體組件512可以包括兩個或更多的垂直層疊的腔體548,每個腔體外接一定義在裝載鎖定腔400內的各自基板傳遞腔。例如,裝載鎖定腔400可以包括由N-1個水平內壁514分隔腔體548而定義出的N個基板傳遞腔,在這裏N是大於1的整數。
在第5圖所描繪的實施例中,每個基板傳遞腔520、522、524都定義在各自的腔體548內,並配置成用以容納單一個大面積基板410,從而可使每個腔室的體積最小化而得以提高快速泵送和排空的循環。在第5圖所描繪的實施例中,每個基板傳遞腔520、522、524都具有等於或小於約4000升的內部體積,諸如小於約1400升,並可以容納平面面積大約為5平方公尺的基板。可考慮到本發明的基板傳遞腔亦可設計成用以容納具有較大或較小平面面積的不同尺寸基板。
腔體548包括第一側壁502、第二側壁504、第三側壁506和第四側壁(在第5圖中未示出)。底板508連接到最下方的腔體548上並與內壁514相面對,以定義出最下方的傳遞腔520。在兩個內壁514之間定義出中間傳遞腔522。頂板510與連接到最上方的腔體548上並與內壁514相面對以定義出最上方的傳遞腔524。
第6圖是示出具有腔體548、內板514、頂板510和底板508構成的去耦合組件的裝載鎖定腔400的局部截面視圖。腔體548以允許其本身相對於板508、510和514其中之一橫向移動的方式密封連接至板508、510、514的至少其中一者。可以採用諸如螺釘182和螺母184等多個緊固件將腔體組件512固定在一起。在第6圖所描繪的實施例中,螺釘182具有足夠的長度以緊固該定義出腔體組件512的整個層疊。如上所討論的,密封件186設置在緊固件的內側,以保持裝載鎖定腔400的真空完整性。
在一個實施例中,藉由至少一個隔離物316使該等板508、510、514與該等腔體548保持間隔關係。如上所述,隔離物316允許板508、510、514相對腔體548移動而不會危及真空密封。在第6圖所描繪的實施例中,在分別定義於板508、510、514和腔體548之間的每個間隙306中垂直層疊多個隔離物。
再參照第4-5圖,在腔體組件512中定義出的每個基板傳遞腔520、522、524都包括兩個基板進出孔。設置這些孔以便於大面積基板410進出裝載鎖定腔400。在第5圖所描繪的實施例中,由底板508所界定出的第一基板傳遞腔520包括第一基板進出孔530和第二基板進出孔532。第一基板進出孔532將第一基板傳遞腔520連接到處理系統450的中心傳遞腔408。第二基板進出孔532貫穿形成於腔體組件512的第二壁504中,並將第一基板傳遞腔520連接到工廠介面412。在第5圖所描繪的實施例中,在腔體組件512的兩相對側上設置基板進出孔530、532,或者可替換地,將孔530、532設置在腔體組件512的相鄰壁上。可以透過諸如第2圖所述的加固構件292般,將加固構件加固與工廠介面相面對的孔上。加固構件提供可使密封表面的偏斜最小化的剛性,其中密封表面的偏斜會導致經過門產生摩擦或由於長期使用磨損而產生顆粒。
利用各別的真空隔離門526、528選擇性地密封每個基板進出孔530、532,其中該等真空隔離門526、528用於將傳遞腔408的環境和工廠介面412與第一傳遞腔520選擇性隔離開來。利用促動器542使真空隔離閥門526、528在開、關位置之間移動,在第5圖中以虛線示出的促動器542通常設置在腔體組件512的外部。在第5圖所描繪的實施例中,每個真空隔離閥門526、528沿著第一邊緣樞轉連接至腔體組件512,並藉由促動器542在開、關位置之間旋轉。
第一真空隔離閥門526從第一基板傳遞腔520的內側密封第一基板進出孔530,使得在第一基板傳遞腔520和中心傳遞腔408的真空環境之間的真空差(例如,壓力)有助於裝載並密封真空隔離閥門526,從而增強真空密封。相應地,第二真空隔離閥門528設置在裝載鎖定腔100的外部,從而設置第二真空隔離閥門528使得在工廠介面412的周圍環境和第一基板傳遞腔520的真空環境之間的壓力差有助於密封第二基板進出孔532。於1996年12月10日公告並授與Freerks等人的美國專利No.5,579,718和在2000年4月11日公告授與Tepman等人的美國專利No.6,045,620中描述了可以適用於本發明的真空隔離閥門的其他例子。
第二基板傳遞腔522同樣配置有進出孔534、536以及真空隔離閥門526、528。第三基板傳遞腔524同樣配置有進出孔538、540以及真空隔離閥門526、528。
利用多個基板支撐件544,將基板410支撐在第一基板傳遞腔520的底板508以及用來界定第二及第三基板傳遞腔522、524之底板的內壁514的上方。配置並間隔基板支撐件544,以在底板508(或者壁514)上方一定高度處支撐基板410,以避免基板與腔體組件512的接觸。配置基板支撐544以將基板的刮傷和污染減小到最小程度。在第5圖所描述的實施例中,基板支撐件544是具有定義出圓形上端546之滾球的不銹鋼銷。在2003年3月11日遞交的美國專利申請案No.6,528,728、2001年10月17日遞交的美國專利申請案No.09/982,406和2003年2月27日遞交的美國專利申請案No.10/376,857中描述了其他適當的基板支撐件。
可選地,為了在管狀腔體548不變形的情況下進一步調節板508、510、514的偏斜,板508、510、514可以包括由密封組件32所密封的一條或多條縫660。在第6圖所描繪的實施例中,內壁514的上下側具有密封組件以提供額外的內部體積120間之隔離方法。利用穿通壁514所形成的通道604(以虛線形式示出)將板縫體積602連接至真空泵606,其中所述板縫體積602定義在連接到每面壁514的密封組件320之間。在板縫體積602中提供的真空延長了密封組件320的壽命,並且,將由於在各個腔的內部體積120內的壓力變化所造成密封組件320的移動減小到最小程度,從而使顆粒產生的可能性降至最低。
第7圖是裝載鎖定腔400的另一截面圖。在第7圖中所描繪的實施例中,內部水平壁514包括至少一個冷卻通道702,其配置用於流通流體源704提供的溫度控制流體。在第7圖所描繪的實施例中,在內部水平壁514的兩端分別設置進口706和出口708,以設定冷卻流體通過壁514的路線。應該考慮到可以在壁514的設計不同的通路做為該冷卻通道702。
此外如第7圖的實施例中所示,在內壁514中形成一個或多個排氣通道710以使從來源152提供的排出氣體流過。藉著形成在內壁514的底部的多個擴散器712,排氣通道710與裝載鎖定腔400的內部體積120流體連通。應該考慮到可以類似地配置頂板510以向最上方的內部體積120提供排出氣體。
一般在內壁514的底部形成的凹部714內定義出擴散器712。蓋716與內壁514連接以在凹部714的底部部分中定義出氣體空間722。如箭頭720所示,蓋716包括穿過該蓋716而形成的多個孔718,該多個孔718允許氣體在氣體空間722和腔120的內部之間連通。孔724將凹部714的底部連接到排氣通道710。應該考慮到也可以利用排氣通道710來排空腔體120或者可以如第1圖所描述方法般或者通過其他適當方法排空腔體120。
第8圖是傳遞腔408的另一實施例,以及多腔集成設備450的其中一個處理腔432的局部截面圖。在一個實施例中,處理腔432包括定義出處理體積804的管狀主體802。以允許主體802相對於板806、808之至少其中一橫向移動的方式,藉著該些密封連接到管狀主體802的板806、808在頂部和底部定義出處理體積804。可利用諸如螺釘182和螺母184等多個緊固件來固定住位在板806、808之間的主體802。
在一個實施例中,通過至少一個隔離物316使處理腔體802與板806、808保持隔離關係。如上所述,隔離物316在不會危及真空密封的情況下允許板806、808相對於主體802移動。在第8圖所描述的實施例中,在定義於板806、808和主體802之間的每個間隙306中垂直層疊多個隔離物316。
如以上的實施例所討論的,密封件186設置在緊固件的內側以保持處理腔432的真空完整性。可選擇地,為了在管狀主體802不變形的情況下進一步適應板806、808的偏斜,板806、808可以包括由密封組件所密封的一個或多個縫,例如類似於如第2-3圖實施例中所描繪的縫318、330和密封組件320。
在第8圖所描述的實施例中,處理腔432包括設置在噴淋頭832下方的可垂直移動加熱的基板支撐件830。氣源834與處理腔432連接,使得在支撐830上的基板410的整個寬度範圍內通過噴淋頭832以預定的方式分配進入處理體積804的氣體。可選地,電源836可以與噴淋頭832連接以在等離子增強氣相沈積、化學氣相沈積製程中為處理體積804內的氣體提供能量。儘管將處理腔432示為等離子增強氣相沈積腔和化學氣相沈積腔,但是應該考慮到用於基板傳遞的其他真空腔,如物理氣相沈積、蝕刻、離子植入和熱處理等腔室也適用本文中所描述的可去耦合的腔體組件構造。
例如,如在第8圖中藉著所描繪的傳遞腔408所示出的,傳遞腔408還可以包括一管狀腔體862,其以允許主體862相對於板864、866至少其中一者橫向移動的方式密封連接到頂板864和底板866。腔體862和板864、866定義出傳遞體積868,該傳遞體積868內部設置有機械手臂434(如在第4圖中所描述者)。
在一實施例中,藉由至少一個隔離物316使該板864、866和腔體862保持密封間隔關係。如上所述,隔離物316允許板864、866相對於腔體862移動而不會危及真空密封度。如第8圖中所繪示般,定義在板864、866和腔體862之間的每個間隙306中垂直層疊多個隔離物316。
可以利用諸如螺釘182和螺母184等多個緊固件以在板864、866之間固定腔體862。如上所述,在緊固件的內側設置密封件186,以保持傳遞腔408的真空完整性。可選擇地,為了進一步適應板864、866的偏斜而管狀體862不變形的情況下,板864、866可以包括藉由一密封組件所密封的一個或多個縫,其類似於如第2-3圖實施例所出示的縫318、330和密封組件320。
因此,本發明提供了一種具有去耦合的腔體組件構造的真空腔室。該構造允許腔體組件的構件相對於彼此橫向移動,從而防止密封表面變形和/或暴露於腔體內部之區域中的金屬與金屬發生摩擦。
雖然前述內容涉及到本發明的較佳實施方式,但是在不背離本發明的基本範圍內可設計出本發明的其他和進一步的實施例。本發明的範圍由以下的申請專利範圍所界定。
100...裝載鎖定腔
318...縫
102...腔體組件
320...密封組件
104...頂板
322...摺疊件
106...底板
324...夾鉗
108、110、112、114...側壁
330...縫
116...基板進出孔
432...處理腔
118...基板支撐結構
434...機械手臂
120...內部體積
436...機械手臂
122...基板
438...基板儲存盒
124...通道
440...隔腔
126...銷
502...第一側壁
128...流體源
504...第二側壁
132...凹部
506...第三側壁
134...箭頭
508...底板
136...連接孔
510...頂板
138...排氣通道
512...腔體組件
140...擴散器
514...壁
142...氣體空間
520、522...傳遞腔
144...蓋
526、528...隔離閥門
146...摺疊件
530、532、534...基板進出孔
148...主體
536、538...基板進出孔
150...壓力控制系統
542...促動器
152...氣源
546...上端
154...排氣系統
548...腔體
156...入口控制閥
554...基板支撐件
158...出口控制閥
602...板縫體積
160...進氣口
606...真空泵
162...排氣口
702...冷卻通道
166...加熱器
704...流體源
172...球體
706...進口
176...隙縫
708...出口
180...箭頭
710...排氣通道
182...螺釘
712...擴散器
184...螺母
400...裝載鎖定腔
186...密封件
408...傳遞腔
202、204、206...孔
412...工廠介面
208...溝槽
714...凹部
280...密封表面
716...蓋
284...孔
718...孔
286...緊固件
720...箭頭
288...通道
722...氣體空間
290...襯墊
724...孔
292...加固構件
802...主體
294...平板
804...處理體積
296...凸棱
806...頂板
298...通道
808...底板
302...下表面
830...基板支撐件
304...上表面
832...噴淋頭
306...間隙
834...氣源
308...凹部
836...電源
310...下表面
862...腔體
312...第一隔離物
864...頂板
314...第二隔離物
866...底板
316...溝槽
為了實現上所述的特徵並能詳細理解該特徵,通過參照在附圖中示出的本發明的實施例對本發明進行更詳細的描述以及簡要總結。然而,應該注意,附圖僅示出了本發明的典型實施例,其不應理解為對本發明範圍的限制,因為本發明還可具有其他等效的實施方式。
第1圖所示為裝載鎖定腔的一個實施例的截面圖;第2圖所示為第1圖之裝載鎖定腔的分解圖;第3圖所示為第1圖之裝載鎖定腔的部分截面圖;第4圖所示為多腔集成設備一實施例的平面圖;第5圖所示為多腔裝載鎖定腔一實施例的側視截面圖;第6圖所示為第5圖的裝載鎖定腔的局部截面圖;第7圖所示為第5圖的裝載鎖定腔的另一截面圖;以及第8圖所示為第4圖的多腔集成設備的部分傳遞和處理腔的截面圖;為了便於理解,盡可能採用相同的附圖元件符號來表示各示圖中的相同元件。以在其他實施方式中方便地採用另一個實施方式中的元件,而不需要進一步描述。
100...裝載鎖定腔
102...腔體組件
104...頂板
106...底板
108、112、114...側壁
116...基板進出孔
120...內部體積
148...主體
182...螺釘
184...螺母
186...密封件
202、204、206...孔
208...溝槽
280...密封表面
284...孔
286...緊固件
288...通道
292...加固構件
294...平板
296...凸棱
298...通道
318...縫
330...縫

Claims (30)

  1. 一種裝載鎖定腔,包含:一第一管狀主體,其具有形成於該第一管狀主體中的至少兩個基板進出孔;一第一板,其密封設置在該第一主體的一上端表面上;一底板,其密封設置在該第一主體的一下端表面上,其中該等板和該第一主體圈圍出一基板傳遞腔;以及多個緊固件,其在該第一板和該底板之間固定該第一主體,其中該第一板和該底板的至少其中一者係以准許至少一板相對於該主體橫向移動的方式而與該主體連接。
  2. 如申請專利範圍第1項所述的裝載鎖定腔,更包含:多個隔離物,其設置在該第一板和該第一主體的該上端表面之間。
  3. 如申請專利範圍第2項所述的裝載鎖定腔,其中該等隔離物維持該第一板和該第一主體的該上端表面呈間隔開來的關係。
  4. 如申請專利範圍第2項所述的裝載鎖定腔,其中該多個隔離物更包含:至少兩個隔離物,垂直層疊在該第一主體和該第一板之間。
  5. 如申請專利範圍第4項所述的裝載鎖定腔,其中該等隔離物在彼此之間的抗滑阻力比在該第一主體或第一板至少其中一者與該隔離物之間的抗滑阻力要小。
  6. 如申請專利範圍第4項所述的裝載鎖定腔,其中該等隔離物由不銹鋼所製成,並且該第一板和第一主體由鋁所製成。
  7. 如申請專利範圍第4項所述的裝載鎖定腔,其中該等緊固件的其中一者通過貫穿該等層疊隔離物而形成的一通道。
  8. 如申請專利範圍第4項所述的裝載鎖定腔,更包含:一第一密封件,其將該第一板密封到該第一主體,該第一密封件設置在該等隔離物的內側。
  9. 如申請專利範圍第1項所述的裝載鎖定腔,其中該第一板更包含:至少一縫,該縫向內貫穿該第一主體;以及一密封件,該密封件連接該第一板並防止流體穿過該縫。
  10. 如申請專利範圍第1項所述的裝載鎖定腔,更包含:一第二管狀主體,其具有一下端,該下端密封設置在該第一板上,該第二主體具有形成於其中的兩基板進出孔;以及一第二板,其密封設置在該第二主體的一上端,該第一板、該第二板和該第二主體定義出一第二基板傳遞腔。
  11. 如申請專利範圍第10項所述的裝載鎖定腔,其中該多 個緊固件固定位在該第一和第二主體之間的該第一板。
  12. 如申請專利範圍第10項所述的裝載鎖定腔,更包含:多個第二隔離物,其設置在該第二主體的該下端和該第一板之間,並保持該第一板和第二主體呈一間隔關係。
  13. 如申請專利範圍第1項所述的裝載鎖定腔,其中該等板並未焊接到該第一主體上。
  14. 如申請專利範圍第1項所述的裝載鎖定腔,其中該多個緊固件包含螺釘。
  15. 如申請專利範圍第1項所述的裝載鎖定腔,更包含:至少一個加固構件,其係連接該第一管狀主體的一外部,靠近形成於該第一管狀主體中的該等基板進出孔之一者。
  16. 一種裝載鎖定腔,包含:一第一腔體,具有四壁、一開口頂部和一開口底部;一底板,設置在該第一腔體下方,並以一間隔開的關係來設置該底板和該第一腔體;一第一密封件,圍繞該開口底部,並密封地接合該底板和該第一腔體;一第一板,設置在該第一腔體上方,該第一板和該第一腔體以一密封間隔關係設置;一第二腔體,設置在該第一板上方;一第二密封件,密封地接合該第一板和該第二腔體; 一第二板,設置在該第二腔體上方,該第二板和該第二腔體以一間隔關係設置;以及一第三密封件,密封地接合該第二板和該第二腔體。
  17. 如申請專利範圍第16項所述的裝載鎖定腔,更包含:多個螺釘,其在該底板和該第二板之間固定該等腔體。
  18. 如申請專利範圍第16項所述的裝載鎖定腔,更包含:至少一個隔離物,設置在該第一板和該第一腔體之間。
  19. 如申請專利範圍第16項所述的裝載鎖定腔,更包含:至少兩垂直層疊的隔離物,其將該第一腔體與該第一板間隔開來。
  20. 一種真空腔,包含:一管狀腔體,其具有貫穿形成於該管狀腔體中的至少一個基板進出孔;一第一板,其以一間隔開的方式連接到該腔體;一第一密封件,密封地接合該第一板和該腔體;一第二板,其密封連接到該腔體,其中該腔體和該等板圈圍出足夠容納一大面積基板的一內部體積,其中該第一板和該第二板的至少其中一者係以准許至少一板相對於該腔體橫向移動的方式而與該腔體連接;以及一基板支撐件,設置在該內部體積中。
  21. 如申請專利範圍第20項所述的真空腔,其中該腔體和該等板定義出基板傳遞腔、裝載鎖定腔、物理氣相沈積腔、 熱處理腔、蝕刻腔、離子植入腔或化學氣相沈積腔。
  22. 如申請專利範圍第20項所述的真空腔,更包含:多個垂直層疊的隔離物,其設置在該第一板和該腔體之間,該等隔離物保持該第一板和該腔體呈一隔離關係。
  23. 如申請專利範圍第22項所述的真空腔,其中該多個隔離物更包含:一第一組垂直層疊的隔離物,設置在該腔體和該第一板之間;以及一第二組垂直層疊的隔離物,設置在該腔體和該第一板之間。
  24. 如申請專利範圍第22項所述的真空腔,其中該等隔離物在彼此之間的抗滑阻力比該腔體或該第一板至少其中一者與該等隔離物之間的抗滑阻力要小。
  25. 如申請專利範圍第24項所述的真空腔,其中該等隔離物由不銹鋼所製成,並且該第一板和該腔體由鋁所製成。
  26. 如申請專利範圍第22項所述的真空腔,其中該等隔離物設置在該第一密封件的外側。
  27. 如申請專利範圍第22項所述的真空腔,更包含:一第二密封件,其密封地接合該第二板和該腔體;以及多個第二垂直層疊的隔離物,設置在該第二板和該腔 體之間,該等隔離物保持該第二板和該腔體呈一間隔關係。
  28. 如申請專利範圍第22項所述的真空腔,更包含:一第二管狀腔體,其具有兩個基板進出孔,該第二管狀腔體以一間隔開的關係密封連接到該第一板,該第二腔體垂直層疊在該腔體上方;一第三板,其連接到該第二腔體;以及一第二密封件,其密封地接合該第三板和該第二腔體。
  29. 如申請專利範圍第28項所述的真空腔,更包含:多個第二垂直層疊的隔離物,設置在該第三板和該第二腔體之間,該等隔離物保持該第三板和該第二腔體呈一間隔關係。
  30. 一種用於傳遞一基板的方法,該基板係傳遞至如申請專利範圍第1-19項任一項之裝載鎖定腔,或傳遞至如申請專利範圍第20-29項任一項之真空腔,該方法包含以下步驟:打開形成在該第一主體或該腔體的一側壁中的一通道;傳遞一基板通過該通道;以及密封該通道。
TW095146935A 2006-01-13 2006-12-14 去耦合之腔體 TWI384574B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/332,781 US7845891B2 (en) 2006-01-13 2006-01-13 Decoupled chamber body

Publications (2)

Publication Number Publication Date
TW200733291A TW200733291A (en) 2007-09-01
TWI384574B true TWI384574B (zh) 2013-02-01

Family

ID=37836961

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095146935A TWI384574B (zh) 2006-01-13 2006-12-14 去耦合之腔體

Country Status (6)

Country Link
US (1) US7845891B2 (zh)
EP (1) EP1808889A3 (zh)
JP (1) JP5005359B2 (zh)
KR (1) KR100848899B1 (zh)
CN (2) CN101071755B (zh)
TW (1) TWI384574B (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003245592A1 (en) * 2002-06-21 2004-01-06 Applied Materials, Inc. Transfer chamber for vacuum processing system
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
CN103199039B (zh) * 2004-06-02 2016-01-13 应用材料公司 电子装置制造室及其形成方法
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US20090114153A1 (en) * 2007-11-01 2009-05-07 Applied Materials, Inc. Method and apparatus for sealing an opening of a processing chamber
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
KR100953604B1 (ko) * 2007-12-14 2010-04-21 주식회사 에스에프에이 화학 기상 증착 장치의 로드락 챔버
TWI438829B (zh) * 2007-11-21 2014-05-21 Sfa Engineering Corp 用於化學氣相沈積設備的裝載室
KR100948860B1 (ko) * 2007-11-21 2010-03-22 주식회사 에스에프에이 화학 기상 증착 장치의 로드락 챔버
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
KR100970201B1 (ko) * 2008-03-17 2010-07-14 주식회사 아이피에스 진공처리장치
KR101058750B1 (ko) 2008-03-21 2011-08-24 주식회사 아토 진공처리장치
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
TWI472398B (zh) * 2008-09-30 2015-02-11 Applied Materials Inc 自校準之設施自動耦接器
US8528762B2 (en) 2008-11-14 2013-09-10 Applied Materials, Inc. Electron beam welding of large vacuum chamber body having a high emissivity coating
US20100127201A1 (en) * 2008-11-21 2010-05-27 Applied Materials, Inc. Interlocking valve chamber and lid
JP2010135536A (ja) * 2008-12-04 2010-06-17 Tokyo Electron Ltd ロードロック装置および真空処理システム
US8033771B1 (en) * 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
FI122940B (fi) * 2009-02-09 2012-09-14 Beneq Oy Reaktiokammio
KR101687955B1 (ko) * 2009-02-22 2016-12-20 마퍼 리쏘그라피 아이피 비.브이. 하전입자 리소그래피 장치 및 진공 챔버에 진공을 발생시키는 방법
JP5315100B2 (ja) * 2009-03-18 2013-10-16 株式会社ニューフレアテクノロジー 描画装置
EP2293321A1 (en) * 2009-09-08 2011-03-09 Applied Materials, Inc. Mechanical modularity chambers
KR20110051588A (ko) * 2009-11-10 2011-05-18 삼성전자주식회사 기판 도금 장치 및 방법
JP5658928B2 (ja) * 2010-07-02 2015-01-28 株式会社Ihi 多室型熱処理装置
US9920418B1 (en) 2010-09-27 2018-03-20 James Stabile Physical vapor deposition apparatus having a tapered chamber
CN203746815U (zh) 2011-03-01 2014-07-30 应用材料公司 用于处理基板的腔室
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
CN107164742B (zh) * 2011-03-01 2020-10-16 应用材料公司 具有共享泵的真空腔室
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9018570B2 (en) * 2011-12-15 2015-04-28 Intermolecular, Inc. Combinatorial heating of substrates by an inductive process and combinatorial independent heating
KR20190132561A (ko) 2012-01-06 2019-11-27 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
CN106847737B (zh) 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
US20140250658A1 (en) * 2013-03-05 2014-09-11 Applied Materials, Inc. Vacuum chambers and components for semiconductor substrate processing and methods of fabrication
KR101580645B1 (ko) * 2013-11-18 2015-12-28 조규원 분리되는 렉산을 구비한 로드락 모듈 챔버의 안전커버
CN104684338B (zh) * 2013-11-26 2018-01-30 台达电子企业管理(上海)有限公司 散热基座与电子装置
JP6606704B2 (ja) * 2015-12-04 2019-11-20 株式会社NejiLaw 圧力チャンバの締結構造
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
CN107437522B (zh) * 2017-07-24 2019-11-26 武汉华星光电半导体显示技术有限公司 传送装置、基板离子植入系统以及方法
TWI656235B (zh) * 2017-07-28 2019-04-11 漢民科技股份有限公司 化學氣相沉積系統
DE102019208435A1 (de) * 2019-06-11 2020-12-17 Christof-Herbert Diener Erweiterbare Vakuumkammer und Verfahren zur Herstellung einer erweiterbaren Vakuumkammer
JP7280132B2 (ja) * 2019-07-12 2023-05-23 株式会社アルバック 真空チャンバ及び基板処理装置
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3248119A (en) * 1963-09-19 1966-04-26 Pennsalt Chemicals Corp High vacuum seal
US4889319A (en) * 1987-06-05 1989-12-26 Vg Instruments Group Limited Bakeable vacuum systems
TW423030B (en) * 1997-12-15 2001-02-21 Applied Materials Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US20020178562A1 (en) * 2001-06-05 2002-12-05 Shigeyuki Aoki Method of manufacturing a vacuum chamber
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US6881305B2 (en) * 1997-03-17 2005-04-19 Applied Materials, Inc. Heated and cooled vacuum chamber shield

Family Cites Families (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
CH626214GA3 (zh) 1979-02-07 1981-11-13
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5374147A (en) 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4655584A (en) 1984-05-11 1987-04-07 Nippon Kogaku K. K. Substrate positioning apparatus
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US5224809A (en) 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4759681A (en) 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4687542A (en) 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4880349A (en) 1986-12-23 1989-11-14 Northern Telecom Limited Method for locating and supporting ceramic substrates
US4784377A (en) 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
JPH0333058Y2 (zh) 1987-06-26 1991-07-12
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
EP0306967B1 (en) 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
US5020475A (en) 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
ATE208961T1 (de) 1988-05-24 2001-11-15 Unaxis Balzers Ag Vakuumanlage
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
DE69032945T2 (de) 1989-10-20 1999-09-16 Applied Materials Inc Robotereinrichtung
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (ja) 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5131460A (en) 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5376212A (en) 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5247424A (en) * 1992-06-16 1993-09-21 International Business Machines Corporation Low temperature conduction module with gasket to provide a vacuum seal and electrical connections
JPH0616206A (ja) 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5558482A (en) 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
EP0608633B1 (en) 1993-01-28 1999-03-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
EP0608620B1 (en) 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5421889A (en) 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
DE69402918T2 (de) 1993-07-15 1997-08-14 Applied Materials Inc Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
TW276353B (zh) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3136345B2 (ja) 1994-08-25 2001-02-19 富士電子工業株式会社 高周波加熱装置
US5535908A (en) * 1994-11-04 1996-07-16 Sheu; Miin-Tsang Receptacle combination for milk powder
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3288200B2 (ja) 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
TW309503B (zh) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5716207A (en) 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
CH691376A5 (de) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JPH09209150A (ja) * 1996-02-06 1997-08-12 Tokyo Electron Ltd 真空チャンバ及びその製造方法
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5793050A (en) 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6224680B1 (en) 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
KR100234539B1 (ko) 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
JP3215643B2 (ja) * 1997-01-31 2001-10-09 ワイエイシイ株式会社 プラズマ処理装置
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
JP3549141B2 (ja) 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
US6059507A (en) 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JP3286240B2 (ja) 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6079693A (en) 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6182851B1 (en) * 1998-09-10 2001-02-06 Applied Materials Inc. Vacuum processing chambers and method for producing
JP3792417B2 (ja) * 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6143079A (en) 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2000195925A (ja) 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6568899B1 (en) 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6410455B1 (en) 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
KR100960773B1 (ko) 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US6551045B2 (en) * 2000-11-17 2003-04-22 Nikon Corporation Wafer stage chamber
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
WO2002093605A2 (en) 2001-05-17 2002-11-21 Tokyo Electron Limited Cylinder-based plasma processing system
JP2002368057A (ja) * 2001-06-04 2002-12-20 Matsushita Electric Ind Co Ltd 真空処理装置用ロードロック室
US20030026677A1 (en) * 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
WO2003038145A2 (en) 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
JP4559700B2 (ja) * 2002-12-17 2010-10-13 東京エレクトロン株式会社 真空容器
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
US7104535B2 (en) 2003-02-20 2006-09-12 Applied Materials, Inc. Methods and apparatus for positioning a substrate relative to a support stage
KR100441875B1 (ko) * 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR100578134B1 (ko) * 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
KR100716041B1 (ko) * 2004-06-02 2007-05-09 어플라이드 머티어리얼스, 인코포레이티드 챔버를 밀봉하기 위한 방법 및 장치
US7637477B2 (en) * 2004-12-17 2009-12-29 Tokyo Electron Limited Gate valve apparatus of vacuum processing system
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3248119A (en) * 1963-09-19 1966-04-26 Pennsalt Chemicals Corp High vacuum seal
US4889319A (en) * 1987-06-05 1989-12-26 Vg Instruments Group Limited Bakeable vacuum systems
US6881305B2 (en) * 1997-03-17 2005-04-19 Applied Materials, Inc. Heated and cooled vacuum chamber shield
TW423030B (en) * 1997-12-15 2001-02-21 Applied Materials Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020178562A1 (en) * 2001-06-05 2002-12-05 Shigeyuki Aoki Method of manufacturing a vacuum chamber

Also Published As

Publication number Publication date
EP1808889A2 (en) 2007-07-18
JP5005359B2 (ja) 2012-08-22
EP1808889A3 (en) 2011-12-28
CN101071755B (zh) 2011-07-27
CN101071755A (zh) 2007-11-14
TW200733291A (en) 2007-09-01
KR100848899B1 (ko) 2008-07-29
CN102230155B (zh) 2014-09-24
US20070166133A1 (en) 2007-07-19
KR20070076470A (ko) 2007-07-24
JP2007266576A (ja) 2007-10-11
CN102230155A (zh) 2011-11-02
US7845891B2 (en) 2010-12-07

Similar Documents

Publication Publication Date Title
TWI384574B (zh) 去耦合之腔體
TWI394699B (zh) 多狹槽負載鎖定室及其操作方法
US7651315B2 (en) Large area substrate transferring method for aligning with horizontal actuation of lever arm
US8124907B2 (en) Load lock chamber with decoupled slit valve door seal compartment
KR101046966B1 (ko) 튜브에 히터를 구비한 로드락 챔버
US8070408B2 (en) Load lock chamber for large area substrate processing system
US7497414B2 (en) Curved slit valve door with flexible coupling
US20070006936A1 (en) Load lock chamber with substrate temperature regulation
KR20170056433A (ko) 처리 장치
US8752580B2 (en) Vacuum chamber for processing substrate and apparatus including the same

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees