KR20190132561A - 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템 - Google Patents

적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템 Download PDF

Info

Publication number
KR20190132561A
KR20190132561A KR1020197034186A KR20197034186A KR20190132561A KR 20190132561 A KR20190132561 A KR 20190132561A KR 1020197034186 A KR1020197034186 A KR 1020197034186A KR 20197034186 A KR20197034186 A KR 20197034186A KR 20190132561 A KR20190132561 A KR 20190132561A
Authority
KR
South Korea
Prior art keywords
workpiece
heat exchange
pedestal
facing surface
adaptive
Prior art date
Application number
KR1020197034186A
Other languages
English (en)
Inventor
기르티 가우다루
크리슈난 시리니바산
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20190132561A publication Critical patent/KR20190132561A/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F3/00Plate-like or laminated elements; Assemblies of plate-like or laminated elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F13/00Arrangements for modifying heat-transfer, e.g. increasing, decreasing
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F27/00Control arrangements or safety devices specially adapted for heat-exchange or heat-transfer apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Thermal Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Abstract

반도체 디바이스들, 디스플레이들, 발광 다이오드들, 및 광전지 패널들과 같은 다양한 유형들의 워크피스들로/로부터의 균일한 열 교환을 위한 적응형 열 교환 방법들 및 시스템들이 제공된다. 이러한 적응형 접근 방법들은 워크피스들의 변형들로 인한 열 교환 변화들을 감소시킬 수 있게 한다. 변형은 워크피스들의 유형들, 프로세스 조건들, 및 다른 변수들에 따라 워크피스에서 변할 수 있다. 이러한 변형들은 예측하기 어렵고 랜덤일 수 있다. 제공된 시스템들은 프로세스된 각각의 새로운 워크피스의 형태를 설명하기 위해 자신들의 구성들을 변화시킬 수 있다. 또한, 열 교환 동안 조정들이 연속적으로 또는 비연속적으로 수행될 수 있다. 이러한 유연성은 열 교환 균일성을 개선하고, 균일한 온도 프로파일을 획득하고, 변형을 감소시키기 위해, 및 다양한 다른 목적들을 위해 채용될 수 있다.

Description

적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템{ADAPTIVE HEAT TRANSFER METHODS AND SYSTEMS FOR UNIFORM HEAT TRANSFER}
관련 출원에 대한 교차 참조
본 출원은 35 U.S.C. § 119(e) 하에서 전체가 참조 및 다목적으로 본원에 통합된 2012년 1월 6일 출원된 미국 예비출원 번호 61/584,130에 대한 우선권을 주장한다.
본 발명은 반도체 디바이스들, 디스플레이들, 발광 다이오드들, 및 광전지 패널들과 같은 다양한 유형들의 워크피스들로/로부터의 균일한 열 교환을 위한 적응형 열 교환 방법들 및 시스템들에 관한 것이다.
반도체 및 다른 박막 기술들은 보통 워크피스들의 프로세싱 전, 프로세싱 중, 또는 프로세싱 후 워크피스들의 온도를 제어하기 위해 가열되거나 냉각된 페데스탈들(pedestals)을 채용한다. 예를 들어, 가열된 페데스탈은 워크피스 상에 층의 증착 동안, 워크피스 표면들로부터 재료들을 제거하는 동안, 또는 다른 프로세싱 동작들을 수행하는 동안 워크피스를 가열하고 미리 결정된 온도로 유지하기 위해 프로세싱 챔버에서 사용될 수 있다. 가열되거나 냉각된 페데스탈들은 또한 워크피스들이 프로세싱 환경 안팎으로 이동됨에 따라 워크피스들을 가열 또는 냉각하기 위해 로드 록들에 제공될 수 있다. 이러한 페데스탈들은 알루미늄 또는 세라믹 재료들로 만들어질 수 있고 단일 모놀리식 피스(monolithic piece)로 형성될 수 있다. 워크피스는 열 교환을 달성하기 위해(즉, 워크피스와 페데스탈의 상대 온도들에 따라 가열 또는 냉각) 이 페데스탈의 표면 위에 지지된다. 페데스탈 표면과 워크피스 간의 간격은 더 높은 열 교환 레이트가 더 작은 간격에 대응하는 한편, 더 낮은 열 교환 레이트가 더 큰 간격에 대응하도록(즉, 반비례 관계) 이러한 열 교환에 약간의 제어를 제공한다.
보통, 워크피스들, 특히 크지만 얇은 웨이퍼들(예를 들어, 450㎜ 웨이퍼들)은 프로세싱 시스템에 도입될 때 변형되고 균일하게 가열 또는 냉각될 필요가 있다. 이러한 변형들의 일부 공통된 예들은 워크피스들이 에지들로 구획된 평면들에 대해 아래쪽으로 연장하는 중심부를 갖는 오목한 형상을 가질 때, 바우(bowing); 및 워크피스들이 에지들로 구획된 평면들에 대해 위쪽으로 연장하는 중심부를 갖는 볼록한 형상을 가질 때, 돔(doming)을 포함한다. 변형은 또한 다양한 비대칭 형상들을 가질 수 있다. 변형은 워크피스들을 형성하는 다양한 재료들, 이들의 표면들 사이에 증착된 압축 또는 신장 막들, 및 다른 요인들 간의 열 팽창 계수 차이들로 인해 발생할 수 있다. 보통 동일한 배치(batch)의 워크피스들은 상이한 변형 종류들 및 레벨들을 갖는다. 이들 변형들은 예측하기 힘들고 보통 사실상 랜덤하다. 더욱이, 일부 변형들은 워크피스가 이미 시스템 내에 있는 동안, 열 교환 동안 발생할 수 있다. 이들 "프로세스 중(in process)" 변형들은 워크피스 온도, 부가적인 재료들의 증착, 및 다른 이유들로 인한 것일 수 있다. 이와 같이, 이는 어렵고, 일반적으로 변형된 워크피스들을 항상 따르는 열 교환 표면들을 프리셋(preset)하는 것은 가능하지 않을 수 있다. 일반적으로, 이러한 상대적으로 예측 불가능한 변형들의 특성으로 인해 평면 표면들(planar surfaces)을 갖는 페데스탈들이 사용되었다. 미리 결정된 곡선 표면들(curved surfaces)을 갖는 페데스탈들이 제안되었지만, 이들의 응용은 매우 구체적인 유형들의 변형만으로 제한된다.
변형된 워크피스가 페데스탈의 평면 표면 위에 위치될 때, 표면과 워크피스 간의 간격은 표면 도처에서 변할 것이다. 이러한 변화는 표면 도처에서 불균일한 열 교환을 유발할 수 있고, 이는 워크피스의 불균일한 온도 프로파일을 발생할 수 있다. 온도 변화는 프로세싱을 방해하고, 예를 들어, 표면 도처에서 고르지 않은 증착 또는 재료 제거 레이트를 발생할 수 있다. 또한, 이러한 온도 변화는 추가적인 변형, 및 어떤 경우들에서, 워크피스의 영구 대미지를 유발할 수 있다. 예를 들어, 과도한 변형은 실리콘 격자의 부분들이 서로를 대체할 때, 실리콘 구조들에 미끄럼 전위들(slip dislocations)을 유발할 수 있다. 이러한 결함은 디바이스의 전기적 성능을 저하시킬 수 있다. 일부 경우들에서, 워크피스들은 심지어 장기적인 셧 다운 및 비용이 많이 드는 클린-업을 유발하는, 장치 내부를 고장낼 수 있다.
반도체 디바이스들, 디스플레이들, 발광 다이오드들, 및 광전지 패널들의 제작 동안 채용된 워크피스들과 같은 다양한 유형들의 워크피스들로/로부터의 균일한 열 교환을 위한 적응형 열 교환 방법들 및 시스템들이 제공된다. 이러한 적응형 접근 방법들은 워크피스들의 변형들로 인한 열 교환 변화들을 감소시킬 수 있게 한다.
변형은 워크피스들의 유형들, 프로세스 조건들, 및 다른 변수들에 따라 워크피스에서 변할 수 있다. 이러한 변형들은 예측하기 어렵고 랜덤일 수 있다.
제공된 시스템들은 프로세스된 각각의 새로운 워크피스의 변형을 설명하기 위해 자신들의 구성들을 변화시킬 수 있다. 또한, 열 교환 동안 조정들이 연속적으로 또는 비연속적으로 수행될 수 있다. 이러한 유연성은 열 교환 균일성을 개선하고, 균일한 온도 프로파일을 획득하고, 변형을 감소시키기 위해, 및 다양한 다른 목적들을 위해 채용될 수 있다. 개시된 실시예들에서, 워크피스들의 온도 프로파일들 및/또는 시스템의 워크피스들과 열 교환 표면들 간의 간격 변화들을 측정하기 위한 센서들을 포함할 수 있다. 그 후 이들 표면들의 위치들 및/또는 형상들이 이들 센서들의 응답들에 기초하여 조정될 수 있다.
특정한 실시예들에서, 변형을 나타내는 워크피스로/로부터 실질적으로 균일한 열 교환을 제공하기 위한 열 교환 시스템이 제공된다. 열 교환 시스템은 제 1 및 제 2 열 교환부들을 포함할 수 있다. 제 1 열 교환부는 제 1 워크피스 대향 표면 및 변형을 나타내는 워크피스를 지지하기 위해 제 1 워크피스 대향 표면으로부터 연장하는 최소 접촉 면적 지지대들의 제 1 세트를 가질 수 있다. 제 1 워크피스는 제 1 워크피스 대향 표면 위에서 미리 결정된 거리로 지지된다. 제 2 열 교환부는 제 2 워크피스 대향 표면을 갖는다. 제 2 워크피스 대향 표면은 균일한 열 교환을 제공하기 위해 제 1 워크피스 대향 표면에 대해 이동가능하다. 변형을 나타내는 워크피스와 제 1 워크피스 대향 표면 사이뿐만 아니라 변형을 나타내는 워크피스와 제 2 워크피스 대향 표면 사이에 균일한 열 교환이 제공된다. 균일한 열 교환은 변형을 나타내는 워크피스의 전체 영역 도처에서 실질적으로 균일한 온도 프로파일 유지에 도움이 된다.
특정한 실시예들에서, 제 2 워크피스 대향 표면은 변형을 나타내는 워크피스의 비평면 형상을 따르기 위해 제 1 워크피스 대향 표면에 대해 이동가능하다. 제 2 워크피스 대향 표면은 제 2 워크피스 대향 표면과 변형을 나타내는 워크피스 간의 평균 간격이 제 1 워크피스 대향 표면과 변형을 나타내는 워크피스 간의 평균 간격과 실질적으로 동일해 질 때까지, 가열 또는 냉각 동안 제 1 워크피스 대향 표면에 대해 이동가능할 수 있다.
특정한 실시예들에서, 열 교환 시스템은 또한 변형을 나타내는 워크피스를 가열 또는 냉각하면서 제 1 워크피스 대향 표면에 대한 제 2 워크피스 대향 표면의 움직임을 제어하기 위한 시스템 제어기를 포함한다. 열 교환 시스템은 또한 제어 시스템에 입력을 제공하기 위해 제 2 워크피스 대향 표면 및/또는 제 1 워크피스 대향 표면에 제공된 하나 이상의 센서들을 포함할 수 있다. 이들 센서들은 변형을 나타내는 워크피스의 온도 프로파일, 제 2 워크피스 대향 표면과 변형을 나타내는 워크피스 간의 간격, 및 제 1 워크피스 대향 표면과 변형을 나타내는 워크피스 간의 간격과 같은, 하나 이상의 파라미터들을 센싱하도록 구성될 수 있다. 열 교환 시스템은 또한 제 1 워크피스 대향 표면에 대해 제 2 워크피스 대향 표면을 이동시키기 위해 시스템 제어기에 의해 제어된 리프팅 메커니즘을 포함할 수 있다.
특정한 실시예들에서, 제 1 워크피스 대향 표면은 제 1 반경을 갖는 원형(예를 들어, 링) 형상을 갖는다. 이들 실시예들에서, 센서들은 제 1 워크피스 대향 표면의 중심 및 제 1 반경의 한 단부에 위치된 제 1 센서, 제 1 반경의 다른 단부에 위치된 제 2 센서, 및 제 1 센서와 제 2 센서 사이에 제 1 반경을 따라 위치된 제 3 센서를 포함할 수 있다. 제 1 워크피스 대향 표면의 원형 형상은 또한 제 1 반경에 대한 각도로 위치된 제 2 반경을 가질 수 있다. 또 다른 제 3 센서가 이 제 2 반경을 따라 위치될 수 있다. 특정한 실시예들에서, 제 2 반경은 제 1 반경에 실질적으로 수직이다.
제 2 워크피스 대향 표면은 제 1 워크피스 대향 표면 위에 마주보며 위치될 수 있다. 이들 실시예들에서, 변형을 나타내는 워크피스는 제 1 및 제 2 워크피스 대향 표면들 사이에 위치된다. 제 1 열 교환부는 제 1 페데스탈의 일부일 수 있는 반면, 제 2 열 교환부는 제 2 페데스탈의 일부일 수 있다. 제 1 및 제 2 페데스탈들은 프로세싱 챔버 내 또는 로드 록 내에 제공된다. 다른 실시예들에서, 제 1 열 교환부는 제 1 페데스탈의 일부일 수 있는 반면, 제 2 열 교환부는 샤워 헤드의 일부일 수 있다. 샤워 헤드는 변형을 나타내는 워크피스의 프로세싱 동안 프리커서를 공급하기 위해 다수의 개구들을 가질 수 있다.
특정한 실시예들에서, 제 1 및 제 2 열 교환부들은 동일한 페데스탈을 형성한다. 이들 실시예들에서, 제 2 열 교환부는 또한 제 2 워크피스 대향 표면 위에서 미리 결정된 거리로 워크피스를 지지하기 위해 제 2 워크피스 대향 표면으로부터 연장하는 최소 접촉 면적 지지대들의 세트를 포함할 수 있다. 제 1 워크피스 대향 표면의 표면 면적은 제 2 워크피스 대향 표면의 표면 면적과 실질적으로 동일할 수 있다. 구체적인 실시예들에서, 제 1 워크피스 대향 표면은 제 1 직경을 갖는 원형 형상을 갖는다. 제 2 워크피스는 제 1 워크피스 대향 표면의 원형 형상의 제 1 직경과 실질적으로 동일한 내부 직경을 갖는 디스크 형상을 갖는다. 열 교환 시스템은 또한 제 3 워크피스 대향 표면을 갖는 제 3 열 교환부를 포함할 수 있다. 제 3 워크피스 대향 표면은 제 2 워크피스 대향 표면의 외부 직경과 실질적으로 동일한 내부 직경을 갖는 디스크 형상을 가질 수 있다. 제 3 워크피스 대향 표면은 균일한 열 교환을 제공하기 위해 제 2 워크피스 대향 표면에 독립적으로 제 1 워크피스 대향 표면에 대해 이동가능하다. 이들 실시예들에서, 둘 이상의 열 교환부들은 중첩된 실린더들로 배열된다.
다른 실시예들에서, 제 1 및 제 2 워크피스 대향 표면들은 원 섹터 형상들을 갖는다. 이들 표면들은 하나 이상의 부가적인 열 교환부들의 하나 이상의 부가적인 워크피스 대향 표면들과 함께 원을 형성할 수 있다. 하나 이상의 부가적인 워크피스 대향 표면들은 균일한 열 교환을 제공하기 위해 제 1 워크피스 대향 표면에 대해 이동가능할 수 있다. 동일한 또는 다른 실시예들에서, 제 1 워크피스 대향 표면, 제 2 워크피스 대향 표면, 및 하나 이상의 부가적인 워크피스 대향 표면들은 원의 중심에 대해 피봇가능하다(pivotable).
변형을 나타내는 워크피스에 균일한 열 교환을 제공하기 위한 열 교환 페데스탈이 또한 제공된다. 열 교환 페데스탈은 베이스 지지대 및 연속하는 워크피스 대향 표면을 포함하는 구부릴 수 있는 열 교환 플레이트를 포함할 수 있다. 연속하는 워크피스 대향 표면은 구부릴 수 있는 열 교환 플레이트에 힘을 가할 때 변형을 나타내는 워크피스와 연속하는 워크피스 대향 표면 사이에 균일한 열 교환을 제공하기 위해 변형을 나타내는 워크피스의 형상을 따르기 위해 자신의 형상을 변화시키도록 구성된다. 베이스 지지대와 구부릴 수 있는 열 교환 플레이트 사이의 공간으로부터 가스 또는 액체를 공급하거나 제거함으로써 베이스 지지대와 구부릴 수 있는 열 교환 플레이트 사이의 공간의 압력을 변화시킴으로써 힘이 가해 질 수 있다. 동일한 또는 다른 실시예들에서, 구부릴 수 있는 열 교환 플레이트에 부착되고 베이스 지지대에 대해 이동하도록 구성된 하나 이상의 기계적 구조들에 의해 힘이 가해질 수 있다.
변형을 나타내는 워크피스로/로부터 균일한 열 교환을 제공하기 위한 방법이 또한 제공된다. 이 방법은 비평면 형상을 갖는 변형을 나타내는 워크피스를 제 1 열 교환부의 제 1 워크피스 대향 표면으로부터 연장하는 최소 접촉 면적 지지대들의 제 1 세트 상에 위치시키는 단계를 수반할 수 있다. 제 1 워크피스 대향 표면은 제 2 워크피스 대향 표면에 대해 이동가능할 수 있다. 변형을 나타내는 워크피스의 온도는 제 1 워크피스 대향 표면의 온도 및 제 2 워크피스 대향 표면의 온도와 상이할 수 있다. 이 방법은 변형을 나타내는 워크피스의 온도 프로파일, 제 2 워크피스 대향 표면과 변형을 나타내는 워크피스 간의 간격, 및 제 1 워크피스 대향 표면과 변형을 나타내는 워크피스 간의 간격과 같은 하나 이상의 파라미터들을 결정하는 단계로 진행할 수 있다. 이 방법은 하나 이상의 결정된 파라미터들에 기초하여 제 2 워크피스 대향 표면에 대해 제 1 워크피스 대향 표면의 위치를 조정하는 단계로 계속될 수 있다. 그 후 변형을 나타내는 워크피스는 워크피스가 미리 결정된 온도에 도달할 때 제거될 수 있다.
특정한 실시예들에서, 이 방법은 워크피스를 제거하는 단계 전에 상기 설명된 결정 및 조정 동작들을 1회 이상 반복하는 것을 수반할 수 있다. 워크피스들의 예들은 반도체 기판, 광전지 기판, 및 디스플레이 기판을 포함한다. 특정한 실시예들에서, 변형을 나타내는 워크피스의 온도 프로파일은 워크피스를 제거하기 전에 약 5℃ 미만으로 벗어난다. 워크피스는 위치시킬 때보다 제거할 때 적은 변형을 나타낼 수 있다.
특정한 실시예들에서, 방법은 또한 워크피스에 포토레지스트를 적용하는 단계, 포토레지스트를 광에 노출하는 단계, 레지스트를 패터닝하고 패턴을 워크피스로 이동하는 단계, 및 워크피스로부터 포토레지스트를 선택적으로 제거하는 단계를 수반한다. 이들 실시예들에서, 반도체 프로세싱 시스템은 스텝퍼(stepper)를 포함할 수 있다.
이들 및 다른 실시예들은 도면들을 참조하여 이하에 더 설명된다.
도 1a는 실시예들에 따른, 최소 접촉 면적들(MCA: minimum contact area)을 사용하여 페데스탈의 워크피스 대향 표면 위에 지지된 플랫 워크피스의 단면을 도시하는 도면.
도 1b는 도 1a과 동일한 페데스탈의 워크피스 대향 표면 위에 지지된 변형된 워크피스의 단면을 도시하는 도면.
도 1c는 실시예들에 따른, 반도체 워크피스를 프로세싱하기 위한 장치의 단면을 도시하는 도면.
도 2a는 실시예들에 따른, 세로 방향으로 서로에 대해 독립적으로 이동가능한 3개의 동심의 실린더부들(concentric cylindrical portions)을 갖는 적응형 열 교환 페데스탈의 상면을 도시하는 개략도.
도 2b는 실시예들에 따른, 4개의 섹터 형상부들을 갖는 적응형 열 교환 페데스탈의 상면을 도시하는 개략도.
도 2c는 실시예들에 따른, 12개의 부들을 갖는 적응형 열 교환 페데스탈의 상면을 도시하는 개략도.
도 2d는 실시예들에 따른, 베이스 표면에 대해 세로 방향으로 이동가능한 다수의 페그들(pegs)을 갖는 적응형 열 교환 페데스탈의 상면을 도시하는 개략도.
도 3a는 실시예들에 따른, 평면 및 플랫 워크피스에 대해 정렬된 3개의 부들을 갖는 세그먼트된 적응형 열 교환 페데스탈의 단면을 도시하는 개략도.
도 3b는 실시예들에 따른, 평면에 대해 상승된 외부 부분들 및 오목한 워크피스를 갖는 도 3a의 세그먼트된 적응형 열 교환 페데스탈의 단면을 도시하는 개략도.
도 3c는 평면에 대해 하강된 외부 부분들 및 볼록한 워크피스를 갖는 도 3a의 세그먼트된 적응형 열 교환 페데스탈의 단면을 도시하는 개략도.
도 4a는 실시예들에 따른, 피봇 중심에 대해 피봇할 수 있는 4개의 섹터 형상부들을 갖는 적응형 열 교환 페데스탈의 상면을 도시하는 개략도.
도 4b는 도 4a의 적응형 열 교환 페데스탈 및 플랫 워크피스의 단면을 도시하는 개략도.
도 4c는 오목한 워크피스에 더 등각의 배향을 제공하도록 워크피스 대향 표면들을 조정하도록 피봇된 부분들을 갖는 도 4a의 적응형 열 교환 페데스탈의 단면을 도시하는 개략도.
도 4d는 볼록한 워크피스에 더 등각의 배향을 제공하도록 워크피스 대향 표면들을 조정하도록 피봇된 부분들을 갖는 도 4a의 적응형 열 교환 페데스탈의 단면을 도시하는 개략도.
도 5는 실시예들에 따른, 2개의 열 교환부들을 갖고 워크피스의 반대 측면들에 위치된 적응형 열 교환 시스템의 단면을 도시하는 개략도.
도 6a는 특정한 실시예들에 따른, 베이스 지지대 및 플레이너 워크피스를 지지하는 구부릴 수 있는 열 교환 플레이트를 갖는 페데스탈의 측면을 도시하는 개략도.
도 6b는 실시예들에 따른, 중심에서 아래쪽으로 구부려진 구부릴 수 있는 열 교환 플레이트 및 바우 형상(bowed shape)을 갖는 워크피스를 갖는 도 6a의 페데스탈의 측면을 도시하는 개략도.
도 6c는 실시예들에 따른, 중심에서 위쪽으로 구부려진 구부릴 수 있는 열 교환 플레이트 및 돔 형상(domed shape)을 갖는 워크피스를 갖는 도 6a의 페데스탈의 측면을 도시하는 개략도.
도 6d는 특정한 실시예들에 따른, 베이스 지지대, 플레이너 워크피스를 지지하는 구부릴 수 있는 열 교환 플레이트, 및 구부릴 수 있는 열 교환 플레이트를 구부리기 위한 기계적 구조를 갖는 페데스탈의 측면을 도시하는 개략도.
도 7a는 특정한 실시예들에 따른, 세그먼트된 페데스탈 및 시스템 제어기를 포함하는 적응형 열 교환 시스템의 측면을 도시하는 개략도.
도 7b는 특정한 실시예들에 따른, 센서(들)를 갖는 워크피스 대향 구조의 상면을 도시하는 개략도.
도 8은 특정한 실시예들에 따른, 변형된 워크피스들의 균일한 가열/냉각을 제공하는 방법을 도시하는 흐름도.
도 9는 특정한 실시예들에 따른, 적응형 열 교환 시스템들이 설비될 수 있는 멀티 스테이션 프로세스 장치의 상면을 도시하는 개략도.
도 10은 특정한 실시예에 따라, 적응형 열 교환 시스템들이 설비될 수 있는, 멀티 챔버 장비의 상면을 도시하는 개략도.
도 11a는 실시예들의 적응형 페데스탈들과 비교하여 종래의 플랫 페데스탈들의 온도 프로파일들을 도시하는 그래프.
도 11b는 종래의 플랫 페데스탈과 실시예들의 적응형 페데스탈의 중심 및 에지부들 간의 온도 프로파일들을 도시하는 그래프.
도 11c는 종래의 플랫 페데스탈과 실시예들의 적응형 페데스탈의 편향 프로파일을 도시하는 그래프.
이하의 설명에서, 제시된 개념들의 완전한 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 제시된 개념들은 이들 상세들의 일부 또는 전부가 없이 실행될 수 있다. 다른 예들에서, 설명된 개념들을 불필요하게 모호하게 하지 않도록 공지의 프로세스 동작들은 상세히 설명되지 않았다. 일부 개념들은 구체적인 실시예들과 관련하여 설명되는 반면, 이들 실시예들이 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
도입
도 1a 및 도 1b에서 변형된 워크피스가 플랫 워크피스와 비교된다. 구체적으로, 이들 도면들은 플레이너 페데스탈 표면들 위에 위치된 이들 워크피스들의 중심과 에지부들 간의 간격 변화들을 도시한다. 도 1a는 예를 들어, 최소 접촉 면적 지지대들(MCA)(52)을 사용하여 페데스탈(60)의 워크피스 대향 표면(62) 위에 지지된 플랫 워크피스(50)를 도시한다. 워크피스(50) 및 워크피스 대향 표면(62)이 모두 플랫하기 때문에, 이들 사이의 간격은 전체 워크피스 도처에서 일정하다. 구체적으로, 워크피스(50)의 중심부의 간격(D1으로 식별됨)은 워크피스(50)의 에지부 근처의 간격(또한 D1으로 식별됨)과 실질적으로 동일하다. 이러한 일정한 간격은 MCA 지지대들(52)의 높이로 결정된다.
도 1b는 도 1a의 페데스탈(60)의 동일한 워크피스 대향 표면(62) 위에 지지된 변형된 워크피스(54)를 도시한다. 워크피스(54)는 바우된다(bowed). 그 결과, 중심부와 워크피스 대향 표면(62) 간의 간격(D2로 식별됨)은 에지부들과 워크피스 대향 표면(62) 간의 간격(D3로 식별됨)보다 작다, 즉, D3 > D2. 본 예에서, 이 간격 차이로 인해 워크피스(54)와 워크피스 대향 표면(62) 사이의 열 유속이 에지 근처보다 중심부에서 더 클 수 있다. 워크피스(54)의 가열 동안, 중심부는 에지들보다 높은 온도를 가질 것이다. 유사한 방식으로, 워크피스(54)의 냉각 동안, 중심부는 에지부들보다 낮은 온도를 가질 것이다. 이러한 고르지 못한 온도 분포는 또한 열 모델링 결과들보다 낮게 반영된다.
적응형 열 교환 시스템들 및 방법들은 변형된 워크피스들의 균일한 가열 및/또는 냉각을 제공한다. 시스템은 균일한 열 교환을 제공하기 위해 자신의 하나 이상의 열 교환 표면들을 조정할 수 있다. 예를 들어, 시스템은 자신의 다수의 워크피스 대향 표면들 중 하나를 다른 것들에 대해 이동시키거나 구부릴 수 있는 열 교환 플레이트와 대향하는 연속하는 워크피스 표면의 형상을 변화시킬 수 있다. 특정한 실시예들에서, 이들 조정들은 초기 표면보다 더 등각의 워크피스 대향 표면을 야기하고, 그 결과, 더 균일한 열 교환을 야기한다.
특정한 실시예들에서, 페데스탈은 워크피스에 실질적으로 수직인 방향으로 서로에 대해 독립적으로 이동가능한 다수의 동심의 실린더들을 포함할 수 있다. 다른 실시예들에서, 페데스탈은 예를 들어, 페데스탈의 중심에 대해 독립적으로 피봇가능한 다수의 섹터들을 포함할 수 있다. 또 다른 실시예들에서, 워크피스는 실질적으로 플레이너이고 서로 평행할 수 있는 두 워크피스 대향 표면들 사이에 위치된다. 이들 두 워크피스 대향 표면들 중 어느 것도 워크피스에 등각이지 않더라도, 결합된 열 교환은 여전히 균일할 수 있다. 이들 표면들 중 하나에 가까운 워크피스의 일부는 다른 표면들로부터 더 멀어질 수 있고, 그 반대도 된다. 이와 같이, 각각의 표면이 불균일한 열 교환을 제공하더라도, 이들의 개별적으로 불균일한 열 유속들은 균일할 수 있다.
다양한 하드웨어 구성요소들의 조정은 워크피스의 온도 프로파일 및/또는 워크피스와 하나 이상의 워크피스 대향 표면들 간의 간격 프로파일과 같은 하나 이상의 프로세스 파라미터들에 기초하여 제어될 수 있다. 시스템 제어기는 센서들로부터의 입력을 수신하고 하나 이상의 워크피스 대향 표면들을 재위치시키고 및/또는 형상들을 조정하기 위해 사용된 하나 이상의 메커니즘들을 제어하도록 사용될 수 있다.
이하에 설명된 다양한 페데스탈들의 균일한 열 교환 특징들은 워크피스들을 냉각하고 가열하는데 모두 사용될 수 있다. 본 문서를 집중적이고 명료하게 유지하기 위해, 일반적으로 워크피스를 가열하는 것에 대한 참조가 이루어진다. 그러나, 당업자는 이들 방법들 및 시스템들을 워크피스들을 냉각하는데 적용하는 방법도 이해할 것이다.
적응형 열 교환 시스템들 및 방법들의 다양한 특징들을 더 잘 이해하도록, 프로세싱 장치의 일 예의 간략한 설명이 본원에 제공된다. 도 1c는 특정한 실시예들에 따른, 반도체 워크피스들을 프로세싱하기 위한 장치(100)의 개략도이다. 장치(100)는 일반적으로 다른 반도체 프로세싱 동작들을 수행할 뿐만 아니라, 예를 들어, 반도체 워크피스들로부터 포토레지스트 재료들 및/또는 다른 잔여 재료들을 제거하도록 구성된 다양한 유형들의 장비를 나타낸다. 특정한 구체적인 예들은 모두 캘리포니아, 산 호세의 Novellus Systems로부터 이용가능한, GAMMA 2100, 2130 I2CP(Interlaced Inductively Coupled Plasma), G400, GxT, 및 SIERRA를 포함한다. 다른 시스템들은 메릴랜드, 로크빌의 Axcelis Technologies로부터 이용가능한 FUSION 라인; 한국의 PSK Tech로부터 이용가능한 TERA21; 및 캘리포니아, 프리몬트의 Mattson Technology로부터 이용가능한 ASPEN을 포함한다. 적응형 열 교환 시스템들을 포함하는 일부 프로세싱 챔버들은 클러스터 툴들과 연관될 수 있다. 예를 들어, 캘리포니아, 산타 클라라의 Applied Materials로부터 이용가능한 CENTURA 클러스터 툴에 스트립 챔버가 추가될 수 있다. 다른 예들은 캘리포니아, 산 호세의 Novellus Systems로부터 이용가능한 ALTUS 및 VECTOR를 포함한다.
장치(100)는 가압 또는 활성화된 반응 종들을 생성하고 챔버(103)에 제공하기 위한 플라즈마 소스(101)를 포함한다. 챔버(103)는 샤워헤드 어셈블리(105)에 의해 플라즈마 소스(101)로부터 분리될 수 있다. 샤워헤드(109)는 샤워헤드 어셈블리(105)의 하부를 형성한다. 플라즈마 소스(101)는 샤워헤드 어셈블리(105)를 통해 프로세싱 챔버(103)로 하나 이상의 프로세스 가스들을 공급하는 프로세스 가스 인렛(111)에 접속된다. 프로세싱 챔버(103)에서 진공 펌프 및 도관(119)을 통해 저압 환경이 이루어진다.
프로세싱 챔버(103)는 페데스탈(117)을 포함한다. 페데스탈(117)은 반도체 워크피스(116)를 지지하고 반도체 워크피스(116)를 가열 및/또는 냉각하도록 사용된다. 이와 같이, 페데스탈(117)은 소자를 가열 및/또는 냉각하는 것에 맞을 수 있다. 특정한 실시예들에서, 페데스탈(117)은 또한 반도체 워크피스(116)에 전위 바이어스를 인가하기 위해 구성된다. 페데스탈(117)은 세로 방향으로 서로에 대해 독립적으로 이동가능한 다수의 열 교환부들을 포함하는 것으로 도시된다. 적응형 열 교환 페데스탈들인 다른 예들이 이하에 설명된다.
프로세싱 동안, 하나 이상의 프로세스 가스들이 가스 인렛(111)을 거쳐 플라즈마 소스(101)를 통해 도입된다. 이 가스들은 하나 이상의 화학적으로 활성화 종들을 함유할 수 있다. 플라즈마 소스(101)는 활성화된 종들을 생성하고 플라즈마를 형성하기 위해 이온화 가스들에 사용될 수 있다. 도시된 예에서, 플라즈마 소스(101)에 고주파(RF) 도전 코일들(115)이 설비된다. 그 후 샤워헤드(109)는 이들 활성화된 반응 종들을 샤워헤드 홀들(121)을 통해 프로세싱 챔버(103)로 지향시킨다. 임의의 수 및 배열의 샤워헤드 홀들(121)이 반도체 워크피스(116)의 표면에 대한 활성화된 반응 종들의 분포의 균일성을 최대화하기 위해 시도하도록 사용될 수 있다.
페데스탈(117)은 온도 제어될 수 있고 반도체 워크피스(116)를 가열하기 위해 사용될 수 있다. 프로세싱 동안 페데스탈(117)과 반도체 워크피스(116) 사이에 약간의 간격이 있을 수 있다. 이 간격은 도 1a를 참조하여 이하에 더 설명되는, MCA 지지대들에 의해 제공될 수 있다. 특정한 실시예들에서, 페데스탈(117)의 워크피스-대향 표면과 워크피스(116) 사이에 약간의 접촉이 허용될 수 있다. 이 간격은 페데스탈(117)을 하강시킴으로써 증가되거나 페데스탈(117)을 상승시킴으로써 감소될 수 있다. 페데스탈(117)이 하강될 때, 반도체 워크피스(116)는 프로세스 챔버(103)에 부착될 수 있는 페그들(123)에 의해 지지된다. 다른 실시예들에서, 페데스탈(117)이 하강된 위치에 있는 동안, 내부 로봇의 핑거들이 반도체 워크피스를 지지할 수 있다.
약간의 열 유속이 열 전도에 의해 제공될 수 있다. 약간의 부가적인 열 유속은 복사에 의해 제공될 수 있다. 이들 두 열 교환 방법들의 상대적인 기여들은 페데스탈(117)과 워크피스(116) 간의 간격의 크기, 페데스탈(117)의 워크피스-대향 표면의 복사율, 프로세싱 챔버(103) 내부의 압력, 및 다른 요인들에 따른다. 특정한 실시예들에서, 열 전도는 전체 열 유속에 가장 큰 기여인자이다.
상기 설명된 장치/프로세스는 리소그래픽 패터닝 툴들 또는 프로세스들, 예를 들어, 반도체 디바이스들, 디스플레이들, 발광 다이오드들(LEDs), 광전 패널들, 등의 제작 또는 제조를 위해 사용될 수 있다. 전형적으로, 그러나 이러한 툴들/프로세스들이 공통 제작 설비에 함께 사용/수행될 필요는 없다. 막의 리소그래픽 패터닝은 전형적으로 이하의 단계들의 일부 또는 전부를 포함하고, 각각의 단계는 다수의 가능한 툴들로 인에이블된다: (1) 워크피스 상에 포토레지스트 도포(즉, 스핀-온(spin-on) 또는 스프레이-온(spray-on) 툴을 사용하여); (2) 핫 플레이트 또는 노 또는 자외선(UV) 경화 툴을 사용하는 경화; (3) 웨이퍼 스텝퍼와 같은 툴로 포토레지스트를 가시광, UV광, 또는 x-레이 광에 노광; (4) 선택적으로 레지스트를 제거하여 웨트 벤치(wet bench)와 같은 툴을 사용하여 이를 패터닝하도록 레지스트를 현상; (5) 드라이 또는 플라즈마 보조 에칭 툴을 사용함으로써 언더라잉 필름 또는 워크피스로 레지스트 패턴을 전사; 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트리퍼와 같은 툴을 사용하여 레지스트 제거.
독립적으로 제어가능한 다수의 워크피스 대향 표면들
특정한 실시예들에서, 적응형 열 교환 시스템은 각 부가 별도의 워크피스 대향 표면을 갖는 다수의 열 교환부들을 포함한다. 이들 워크피스 대향 표면들의 위치들은 서로에 대해 조정가능하다. 하나의 워크피스 대향 표면의 위치는 이 표면으로부터 연장하는 MCA 지지대들의 세트를 제공함으로써 워크피스에 대해 고정될 수 있다. 이 표면은 다른 표면들의 위치들을 조정하기 위한 기준 표면으로 사용될 수 있다.
워크피스 대향 표면들의 위치들은 워크피스와 모든 표면들의 결합 사이에 균일한 열 교환을 제공하도록 조정된다. 예를 들어, 워크피스들은 상이한 변형들을 가질 수 있고 이들 상이한 변형들에 따르고 변형과 상관없이 실질적으로 균일한 열 교환을 제공하도록 워크피스 대향 표면들의 상대적인 위치들을 필요로 할 수 있다. 균일한 열 교환은 워크피스의 전체 영역 도처에서 실질적으로 균일한 온도 프로파일을 보장할 수 있다. 예를 들어, 워크피스의 전체 영역 도처에서 온도 편차들은 약 10℃ 미만, 더 구체적으로, 약 5℃ 미만일 수 있다. 이들 온도 편차들은 실질적으로 균일한 열 교환을 규정할 수 있다.
다수의 워크피스 대향 표면들은 워크피스의 동일한 측면에 위치될 수 있고 결합된 조정가능한 워크피스 대향 표면을 형성할 수 있다. 다수의 워크피스 대향 표면들은 플레이너 워크피스(즉, 어떠한 변형도 없는 워크피스)에 의해 규정된 평면에 실질적으로 수직인 방향으로 조정될 수 있다. 이러한 유형의 조정은 세로 조정(vertical adjustment)이라고 참조될 수 있다. 이들 실시예들에서, 다수의 워크피스 대향 표면들은 세로 조정 동안 서로 평행하게 유지될 수 있다. 다른 실시예들에서, 표면들은 서로 각을 이루어 위치될 수 있고, 이들 프리셋 각들은 워크피스 대향 표면들의 세로 조정 동안 유지될 수 있다. 대안적으로, 다수의 워크피스 대향 표면들은 서로에 대해 및/또는 결합된 표면의 중심(예를 들어, 페데스탈의 중심)에 대해 피봇할 수 있다. 이들 피봇가능한 표면들은 피봇에 부가하여 독립적인 세로 조정을 갖거나 갖지 않을 수 있다.
특정한 실시예들에서, 두 워크피스 대향 표면들이 워크피스의 상이한 측면에 제공된다. 균일한 열 교환은 워크피스와 두 표면들 간의 결합된 열 교환으로 보장된다. 두 표면들은 플레이너(planar)일 수 있고 서로 실질적으로 평행할 수 있다. 특정한 실시예들에서, 두 표면들은 약간 곡면을 가질 수 있다. 더욱이, 두 표면들 중 각각 하나는 상기에 더 설명된 바와 같이 서로 독립적으로 조정가능한 다수의 표면들을 포함할 수 있다. 워크피스의 반대 측면들에 위치된 두 표면들 간의 간격은 워크피스의 두께, 워크피스의 변형, 각 표면의 온도, 워크피스와 이들 표면들 중 하나 사이의 미리 결정된 간격(예를 들어, MCA 지지대들에 의해 제공된) 및 다른 요인들에 따른다. 두 표면들로부터 결합된 열 교환은 고른 온도 프로파일을 보장한다.
각각의 이들 실시예들이 이제 대응하는 도면들을 참조하여 더 상세히 설명될 것이다.
세로 조정가능한 워크피스 대향 표면들
도 2a는 특정한 실시예들에 따른, 세로 방향으로 서로에 대해 독립적으로 이동가능한, 3개의 동심의 실린더부들(202, 204, 및 206)을 갖는 열 교환 페데스탈(200)의 상면 개략도이다. 세로 방향은 이 도면에 도시된 X-Y 평면에 실질적으로 수직인 방향으로 정의된다. 또한, 세로 방향은 페데스탈(200) 상에 위치될 때 플레이너 워크피스에 실질적으로 수직이다. 때때로 페데스탈(200) 또는 유사한 페데스탈들은 세그먼트된 페데스탈들로 참조된다. 그러나, 이들 세그먼트된 페데스탈들의 부분들의 워크피스 대향 표면들은 원의 임의의 형상들/부분들에 대응할 수 있고 원 세그먼트들로 제한되지 않는다. 예를 들어, 도 2a는 부분들(204 및 206)이 디스크 형상을 갖는 반면, 원형상을 갖는 부분(202)을 도시한다. 도 2b는 섹터 형상들을 갖는 부분들(212, 214, 216, 및 218)을 도시한다. 때때로, 이러한 세그먼트된 페데스탈들의 부분들은 세그먼트들로 참조된다. 그러나, 구체적으로 언급되지 않는 한, 세그먼트들은 임의의 형상의 워크피스 대향 표면들을 가질 수 있다.
세그먼트된 페데스탈은 2, 3, 4, 이상의 부분들과 같은 임의의 수의 부분들을 가질 수 있다. 더 많은 부분들은 도 3a, 도 3b, 및 도 3c를 참조하여 이하에 더 설명되는 바와 같은 워크피스들의 바우 및 돔과 같은 변형들에 더 등각인 결합된 워크피스 대향 프로파일을 형성할 수 있게 한다. 그러나, 각각의 이동가능한 세그먼트는 전체 시스템을 복잡하게 할 수 있는, 별도의 리프팅 메커니즘을 사용할 수 있다.
도 2a는 또한 동심의 실린더부들(202, 204, 및 206)의 워크피스 대향 표면들 상에 제공된 MCA 지지대들(208)을 도시한다. MCA 지지대들(208)은 이들의 각각의 표면들로부터 동일한 거리만큼 연장할 수 있고 워크피스가 이들 표면들을 터치하는 것을 방지하도록 사용될 수 있다. 이들 실시예들에서, 열 교환의 균일성은 워크피스와 접하게 되는 다수의 MCA 지지대들과 연관될 수 있다. 즉, MCA 지지대들의 수가 많을수록 더 균일하다. 또한, 표면 상의 MCA 지지대들의 균일한 분포는 더 높은 열 교환 균일성을 제공할 수 있다. 특정한 실시예들에서, 인접한 MCA 지지대들의 임의의 쌍 간의 거리가 실질적으로 동일하도록 MCA 지지대들이 분포된다.
도 2b는 특정한 실시예들에 따른, 4개의 섹터 형상부들(212 - 218)을 갖는 열 교환 페데스탈(210)의 상면 개략도이다. 섹터는 원 및 이들의 가로막힌 호의 두 반경들에 의해 둘러싸인 원의 일부로 규정된다. 섹터는 때때로 원의 파이 형상부로 참조된다. 이들 4개의 섹터들은 페데스탈(210)의 경계를 규정하는 완전한 원을 형성한다. 이전의 실시예들에서와 같이, 세그먼트된 페데스탈은 임의의 수, 일반적으로 3 이상의 이러한 섹터들을 가질 수 있다. 4개의 섹터 형상부들(212 - 218)은 세로 방향으로 서로에 대해 독립적으로 이동가능하다. 이 열 교환 페데스탈은 비대칭으로 변형하는 워크피스들에 대해 사용될 수 있다.
도 2c는 특정한 실시예들에 따른, 12개의 부분들(222a - 226d)을 갖는 열 교환 페데스탈(220)의 상면 개략도이다. 이들 12개의 부분들은 4개의 섹터 그룹들(예를 들어, 부분들(222a, 224a, 및 226a)을 포함하는 제 1 그룹; 부분들(222b, 224b, 및 226b)을 포함하는 제 2 그룹; 부분들(222c, 224c, 및 226c)을 포함하는 제 3 그룹; 및 부분들(222d, 224d, 및 226d)을 포함하는 제 4 그룹)로 구조화될 수 있다. 이들 그룹들은 도 2b에 나타낸 페데스탈의 섹터부들로 보여질 수 있다. 이들 12개의 부분들은 또한 3개의 원형 그룹들(예를 들어, 부분들(222a, 222b, 222c, 및 222d)을 포함하는 제 1 그룹; 부분들(224a, 224b, 224c, 및 224d)을 포함하는 제 2 그룹; 및 부분들(226a, 226b, 226c, 및 226d)을 포함하는 제 3 그룹)로 구조화될 수 있다. 이들 그룹들은 도 2b에 나타낸 페데스탈의 원형부들로 보여질 수 있다. 모든 12개의 부분들은 세로 방향으로 서로에 대해 독립적으로 이동가능할 수 있다. 구체적으로, 열 교환 페데스탈(220)은 도 2b에 나타낸 페데스탈과 유사하게 비대칭으로 변형하는 워크피스들에 사용될 수 있다. 그러나, 열 교환 페데스탈(220)은 열 교환을 제어하는데 부가적인 유연성을 제공한다. 특정한 실시예들에서, 부분들은 또한 상기 설명된 다양한 그룹들 및/또는 다양한 서브-그룹들에서 이동할 수 있다.
도 2d는 특정한 실시예들에 따른, 베이스 표면(232) 및 세로 방향으로 베이스 표면(232)에 대해 이동할 수 있는 다수의 페그들(234)을 갖는 열 교환 페데스탈(230)의 상면 개략도이다. 페그들(234)의 세로 위치는 열 교환 분포를 조정하도록 개별적으로 변할 수 있다. 페그(234)는 이 위치에서 열 교환을 증가시키도록 워크피스에 더 가깝게 이동될 수 있다. 유사한 방식으로, 페그(234)는 하강될 수 있고 따라서 이 위치에서 열 교환을 감소시키도록 워크피스로부터 멀리 이동된다. 페그들(234)의 위치는 페그들(234)의 워크피스 대향 표면들에 설치될 수 있는, 센서들에 의해 제어될 수 있다. 페그들(234)은 베이스 표면(232)과 동일한 온도를 가질 수 있다. 다른 실시예들에서, 페그들(234)은 베이스 표면(232)과 상이한 온도를 갖는다. 예를 들어, 페데스탈(230)이 가열을 위해 사용될 때, 페그들(234)은 베이스 표면(232)보다 높은 온도를 가질 수 있다. 구체적인 실시예들에서, 페그들(234)은 베이스 표면(232)을 가열하도록 사용될 수 있다(즉, 베이스 표면(232)은 별도의 히터를 갖지 않는다).
페데스탈의 다수의 워크피스 대향 표면들의 세로 조정이 이제 3가지 상이한 조정들을 갖는 3개의 부분들(304, 306, 및 308)을 갖는 세그먼트된 페데스탈(300)의 측면 개략도인, 도 3a 내지 도 3c를 참조하여 설명될 것이다. 페데스탈(300)은 도 2a에 도시되고 상기에 설명된 페데스탈과 유사할 수 있다. 도 3a는 플레이너 워크피스(302)를 지지하는 페데스탈(300)을 도시한다. 모든 3개의 부분들(304, 306, 및 308)의 워크피스 대향 표면들은 플레이너 워크피스(302)에 실질적으로 평행한 평면(310)에 대해 정렬된다. 이들 워크피스 대향 표면들과 워크피스(302) 간의 간격은 워크피스(302)의 전체 영역 도처에서 일정하다.
도 3b는 오목한 워크피스(312)를 지지하는 페데스탈(300)을 도시한다. 이 워크피스는 에지들보다 평면(310)에 가까운 중심을 갖는다. 이와 같이, 3개의 부분들(304, 306, 및 308)의 워크피스 대향 표면들은 평면(310)에 대해 정렬되고, 열 교환이 불균일할 수 있다. 예를 들어, 부분(304)과 오목한 워크피스(312)의 에지 사이보다 부분(308)과 오목한 워크피스(312)의 중심 사이에서 더 많은 열 교환이 있을 수 있다. 페데스탈(300)이 워크피스(312)를 가열하기 위해 사용되면, 이러한 불균일은 워크피스(312)의 중심의 온도가 워크피스(312)의 에지의 온도보다 높게 할 수 있다. 이러한 불균일을 회피하기 위해, 부분들(304 및 306)이 평면(310)에 대해 상승될 수 있다. 또한, 부분(304)은 오목한 워크피스(312)의 형상을 더 잘 따르도록 부분(306)보다 더 상승될 수 있다.
도 3c는 볼록한 워크피스(322)를 지지하는 페데스탈(300)을 도시한다. 이 워크피스는 에지들보다 평면(310)에서 더 먼 중심을 갖는다. 이와 같이, 3개의 부분들(304, 306, 및 308)의 워크피스 대향 표면들은 평면(310)에 대해 정렬되고, 열 교환은 또한 불균일할 수 있다. 그러나, 도 3b를 참조하여 상기에 설명된 예와 달리, 예를 들어, 부분(304)과 볼록한 워크피스(322)의 에지 사이보다 부분(308)과 볼록한 워크피스(322)의 중심 사이에서 더 적은 열 교환이 있을 수 있다. 페데스탈(300)이 워크피스(322)를 가열하기 위해 사용되면, 이러한 불균일은 워크피스(322)의 중심 온도가 워크피스(322)의 에지 온도보다 낮게 할 수 있다. 이러한 불균일을 회피하기 위해, 부분들(304 및 306)이 평면(310)에 대해 하강될 수 있다. 또한, 부분(304)은 볼록한 워크피스(322)의 형상을 더 잘 따르도록 부분(306)보다 더 하강될 수 있다.
피봇가능한 워크피스 대향 표면들
세로 방향으로 동일한 페데스탈의 부분들을 이동시키는 대신 또는 부가하여, 이들 부분들은 서로에 대해 피봇될 수 있다. 특정한 실시예들에서, 피봇을 정의하기 위해 사용된 기준점이 페데스탈의 중심이다. 도 4a는 특정한 실시예들에 따른, 4개의 섹터 형상부들(402, 404, 406, 및 408)을 갖는 페데스탈(400)의 상면 개략도이고 도 2b에 도시된 페데스탈과 유사할 수 있다. 부분들(402 - 408)은 페데스탈(400)의 피봇 중심(410)에 대해, 페데스탈(400)의 에지들(414)에 대해, 및/또는 인접한 부분들의 계면(412)에 대해 피봇될 수 있다. 힌지들 또는 다른 피봇가능한 메커니즘들이 하나 이상의 이들 위치들에 제공될 수 있다. 페데스탈(400)의 전체 직경 또는 부분들(402 - 408)의 인접한 에지들 사이의 분리부들은 피봇하는 위치들에 따라 변할 수 있다. 일반적으로, 페데스탈은 셋 이상의 섹터 형상 피봇가능 부분들을 가질 수 있다.
페데스탈의 다수의 워크피스 대향 표면들의 피봇가능한 조정이 이제 3가지 상이한 조정들을 갖는 부분들(406 및 408)을 도시하는 세그먼트된 페데스탈(400)의 측면 개략도인, 도 4b 내지 도 4d를 참조하여 설명될 것이다. 도 4b는 플레이너 워크피스(420)를 지지하는 페데스탈(400)을 도시한다. 부분들(406 및 408)의 워크피스 대향 표면들은 동일한 평면에 정렬되고, 이들 표면들 간의 각은 페데스탈(400)의 중심(410)에 대해 약 180°이다. 이들 워크피스 대향 표면들과 워크피스(420) 간의 간격은 워크피스(420)의 전체 영역 도처에서 일정하다.
도 4c는 오목한 워크피스(422)를 지지하는 페데스탈(400)을 도시한다. 워크피스(422)는 Z 방향에서 자신의 에지들보다 낮은 중심을 갖는다. 이와 같이, 부분들(406 및 408)의 워크피스 대향 표면들이 동일한 평면에 정렬되면(도 4b와 같이), 열 교환은 불균일할 수 있다. 에지부 사이보다 워크피스의 중심부와 페데스탈 사이에서 더 많은 열 교환이 있을 수 있다. 페데스탈(400)이 워크피스(422)를 가열하기 위해 사용되면, 이러한 불균일은 워크피스(422)의 중심의 온도가 워크피스(422)의 에지의 온도보다 높게 할 수 있다. 이러한 불균일을 회피하기 위해, 이들 부분들의 워크피스 대향 표면들 간의 각이 중심(410)에 대해 180°보다 작도록 부분들(406 및 408)이 페데스탈(400)의 중심(410)에 대해 피봇된다. 이러한 조정은 워크피스 대향 표면들의 더 등각의 배향 및 더 균일한 열 교환을 제공한다.
도 4d는 볼록한 워크피스(424)를 지지하는 페데스탈(400)을 도시한다. 워크피스(424)는 Z 방향에서 자신의 에지들보다 높은 중심을 갖는다. 이와 같이, 부분들(406 및 408)의 워크피스 대향 표면들이 동일한 평면에 정렬되면(도 4b와 같이), 열 교환은 불균일할 수 있다. 에지부 사이보다 워크피스의 중심부와 페데스탈 사이에서 더 적은 열 교환이 있을 수 있다. 페데스탈(400)이 워크피스(424)를 가열하기 위해 사용되면, 이러한 불균일은 워크피스(424)의 중심의 온도가 워크피스(424)의 에지의 온도보다 낮게 할 수 있다. 이러한 불균일을 회피하기 위해, 이들 부분들의 워크피스 대향 표면들 간의 각이 중심(410)에 대해 180°보다 크도록 부분들(406 및 408)이 페데스탈(400)의 중심(410)에 대해 피봇된다. 이러한 조정은 워크피스 대향 표면들의 더 등각의 배향 및 더 균일한 열 교환을 제공한다.
워크피스의 반대 측면들 상의 두 워크피스 대향 표면들
특정한 실시예들에서, 다수의 열 교환부들은 동일한 페데스탈 또는 약간 다른 공통 바디를 형성하지 않는다. 대신 다수의 열 교환부들은 서로 떨어져 위치될 수 있다. 구체적인 실시예들에서, 두 열 교환부들이 워크피스의 반대 측면들에 위치될 수 있다. 이들 부분들 간의 간격은 이하에 더 설명되는 바와 같이 균일한 열 교환을 제공하도록 조정가능하다. 워크피스는 한 부분에 대한 고정된 위치 및 다른 부분에 대한 가변 위치를 가질 수 있다. 예를 들어, 한 부분은 워크피스를 지지하기 위한 MCA 지지대들의 세트를 가질 수 있다. 특정한 구체적인 실시예들에서, 두 부분들은 워크피스에 대해 조정가능하다.
도 5는 워크피스(502)의 반대 측면들에 위치된 2개의 열 교환부들(504 및 506)을 갖는 적응형 열 교환 시스템(500)을 도시한다. 오목한 형상을 갖는 워크피스(502)는 중심 위치(510), 중간 위치(512), 및 에지 위치(514)와 같이, 워크피스(502) 도처의 상이한 위치들에서 열 교환 유속들의 차이를 예시하도록 사용된다. 워크피스(502)는 열 교환부(506)의 표면(506a)을 마주보는 상부 표면(502a) 및 열 교환부(504)의 표면(504a)을 마주보는 하부 표면(502b)을 갖는다.
하부 열 교환부(504)는 페데스탈/플래튼(platen)일 수 있는 반면, 상부 열 교환부(506)는 다른 플래튼, 샤워 헤드, 또는 열 교환 표면(506a)을 갖는 약간 다른 구성요소일 수 있다. 적응형 열 교환 시스템(500)이 로드 록의 일부일 때, 두 플래튼들이 두 열 교환부들(504 및 506)로 사용될 수 있다. 적응형 열 교환 시스템(500)이 프로세싱 챔버의 일부일 때, 플래튼은 하부 열 교환부(504)로 사용될 수 있는 반면, 샤워헤드는 상부 열 교환부(506)로 사용될 수 있다. 워크피스와 샤워헤드로 사용된 상부 열 교환부(506) 간의 열 교환 동안, 샤워헤드는 프로세싱 챔버로 가스(들)를 공급하거나 공급하지 않을 수 있다.
중심 위치(510)에서, 워크피스(502)의 상부 표면(502a)과 열 교환부(506)의 표면(506a) 간의 간격(D1으로 도시됨)은 워크피스(502)의 하부 표면(502b)과 열 교환부(504)의 표면(504a) 간의 간격(D2로 도시됨)보다 크다. 이와 같이, D2 간격을 통한 열 유속은 D1 간격을 통한 유속보다 클 수 있다. 중심 위치(510)에서 워크피스(502)의 온도는 D2 및 D1 간격들 모두를 통한 결합된 열 유속에 따를 수 있다. D1 간격을 통한 열 유속의 임의의 결손들은 D2를 통한 열 유속의 초과분들에 의해 보상될 수 있고 반대도 된다.
중간 위치(512)에서, 워크피스(502)의 상부 표면(502a)과 열 교환부(506)의 표면(506a) 간의 간격(D5로 나타냄)은 워크피스(502)의 하부 표면(502b)과 열 교환부(504)의 표면(504a) 간의 간격(D6로 나타냄)과 실질적으로 동일할 수 있다. 이와 같이, D5 간격을 통한 열 유속은 D5 간격을 통한 열 유속과 실질적으로 동일할 수 있다. 열 교환부들(504 및 506)이 평행하고(즉, 이들의 워크피스 대향 표면들(504a 및 506a) 사이에 일정한 간격을 가짐) 워크피스(502)의 두께가 실질적으로 동일하기 때문에, D5 및 D6 간격들의 총 높이는 D1 및 D2 간격들의 총 높이와 충분히 동일할 수 있다. 이와 같이, 중심 위치(510)에서의 열 유속은 중간 위치(512)에서와 실질적으로 동일할 수 있다.
에지 위치(514)에서, 워크피스(502)의 상부 표면(502a)과 열 교환부(506)의 표면(506a) 간의 간격(D3로 나타냄)은 워크피스(502)의 하부 표면(502b)과 열 교환부(504)의 표면(504a) 간의 간격(D4로 나타냄)보다 작다. 이와 같이, D3 간격을 통한 열 유속이 D4 간격을 통한 열 유속보다 클 수 있다. 중심 위치(510)에서 워크피스(502)의 온도는 D3 및 D4 간격들 모두를 통한 결합된 열 유속에 따를 수 있다. D4 간격을 통한 열 유속의 임의의 결손들은 D3을 통한 열 유속의 초과분들에 의해 보상될 수 있고 반대도 된다. 또한, D3 및 D4 간격들의 결합은 D5 및 D6 간격들의 결합과 실질적으로 동일할 수 있는, D1 및 D2 간격들의 결합과 실질적으로 동일할 수 있다. 이와 같이, 에지 위치(514)에서 결합된 열 유속들 및/또는 온도들은 중간 위치(512)에서 및 중심 위치(510)에서와 실질적으로 동일할 수 있다.
연속하는 워크피스 대향 표면을 갖는 구부릴 수 있는 열 교환 플레이트
워크피스들의 다양한 변형들을 따르기 위해 고정된 표면들을 갖는 다수의 열 교환부들을 사용하는 대신, 열 교환 페데스탈은 워크피스들의 형상들을 따르기 위해 자신의 형상을 변화시키도록 구성된 연속하는 표면을 갖는 구부릴 수 있는 열 교환 플레이트를 가질 수 있다. 예를 들어, 박막의 라운드 플레이트가 페데스탈의 베이스 지지대에 대해 에지들을 따라 지지될 수 있다. 플레이너로부터 돔 또는 바우로 자신의 형상을 변화시키기 위해 플레이트의 중심에 세로로 힘이 인가될 수 있다. 전체 페데스탈 구조는 플레이트의 중심이 에지들에 대해 세로 방향으로 이동하도록 할 수 있는 충분한 유연성을 가질 수 있다. 플레이트 아래의 압력을 변화시킴으로써 또는 어떤 기계적인 구조를 사용하여 플레이트의 후면을 푸시(pushing)/풀(pulling)함으로써 압력이 인가될 수 있다.
도 6a는 특정한 실시예들에 따른, 베이스 지지대(606) 및 플레이너 워크피스(602)를 지지하는 구부릴 수 있는 열 교환 플레이트(604)를 갖는 페데스탈의 측면 개략도이다. 구부릴 수 있는 열 교환 플레이트(604)는 워크피스(602)의 형상을 따르기 위해 자신의 형상을 변화시키도록 구성된 연속하는 워크피스 대향 표면(605)을 갖는다. 본 예에서, 플레이너 워크피스(602)는 표면(605) 위에 제공된다. 이와 같이, 워크피스(602)와 표면(605) 사이에 균일한 열 교환을 제공할 뿐만 아니라 플레이트(604)는 구부려지지 않고 표면(605)은 플레이너로 유지된다.
도 6b는 특정한 실시예들에 따른, 베이스 지지대(606) 및 바우 워크피스(612)를 지지하는 열 교환 플레이트(604)를 갖는 동일한 페데스탈(600)의 측면 개략도이다. 균일한 열 교환을 보장하기 위해, 플레이트(604)는 자신의 워크피스 대향 표면(605)이 또한 바우 형상을 갖도록 구부려진다(도 6a에 도시된 상태에 비해). 플레이트(604)는 적어도 플레이트(604)의 중간 부분 내 또는 주변의 플레이트 상에 세로로 하강하는 힘을 인가하는 방식으로 구부려질 수 있다. 이 힘은 플레이트(604)에 의해 형성된 캐비티(608) 내와 같이, 플레이트(604) 아래의 압력을 감소시킴으로써 인가될 수 있다. 이 압력은 캐비티(608) 밖으로 액체 또는 가스를 펌핑함으로써 감소될 수 있다.
도 6c는 특정한 실시예들에 따른, 베이스 지지대(606) 및 돔 워크피스(622)를 지지하는 구부릴 수 있는 열 교환 플레이트(604)를 갖는 동일한 페데스탈(600)의 측면 개략도이다. 본 예에서, 플레이트(604)는 자신의 워크피스 대향 표면(605)이 워크피스(622)의 형상을 따르기 위해 돔 형상을 갖도록 구부려진다. 플레이트(604)는 예를 들어, 플레이트(604) 아래의 압력을 증가시킴으로써 적어도 플레이트(604)의 중간 부분 내 또는 주변의 플레이트 상에 세로로 상승하는 힘을 인가하는 방식으로 구부려질 수 있다. 이 압력은 캐비티(608)로 액체 또는 가스를 펌핑함으로써 증가될 수 있다.
도 6d에 도시된 다른 실시예에서, 플레이트(634)에 부착된 기계적 구조(639)에 의해 힘이 인가된다. 구체적으로, 특정한 실시예들에 따라 페데스탈(630)은 베이스 지지대(636) 및 워크피스(632)를 지지하는 구부릴 수 있는 열 교환 플레이트(634)를 포함한다. 플레이트(634)는 베이스 지지대(636)에 대해 이동하도록 구성된 기계적 구조(639)에 의해 힘을 인가함으로써 구부려진다. 기계적 구조(639)가 세로 방향(즉, Z 방향에서)의 위쪽으로 이동할 때, 플레이트(634)는 돔 형상으로 구부려진다. 기계적 구조(639)가 세로 방향(즉, Z 방향에서)의 아래쪽으로 이동할 때, 플레이트(634)는 바우 형상으로 구부려진다.
센서들 및 시스템 제어기
적응형 열 교환 시스템들은 상기에 설명된 바와 같이 다수의 열 교환부들의 위치들을 조정하기 위해 및/또는 연속하는 워크피스 대향 표면의 형상을 변화시키기 위한 폐루프 제어들을 포함할 수 있다. 폐루프 제어들은 하나 이상의 열 교환부들 내 또는 더 구체적으로 하나 이상의 워크피스 대향 표면들 내에 제공된 하나 이상의 센서들을 포함할 수 있다. 폐루프 제어들은 또한 이들 센서들로부터의 입력들을 수신하고 상기된 조정들 및/또는 변화들을 위한 다양한 메커니즘들을 제어하는 시스템 제어기를 포함할 수 있다. 이들 폐루프 제어 구성요소들의 다양한 예들이 이제 더 상세히 설명될 것이다.
도 7a는 특정한 실시예들에 따른, 세그먼트된 페데스탈(702) 및 시스템 제어기(720)를 포함하는 적응형 열 교환 시스템(700)을 나타내는 개략도이다. 세그먼트된 페데스탈(702)은 3개의 부분들(704, 706, 및 708)을 포함할 수 있고 상기된 바와 같이 도 2a에 도시된 페데스탈과 유사할 수 있다. 부분들(704, 706, 및 708)은 드라이버들(724, 726, 및 728)에 의해 세로 방향(Z 방향)으로 독립적으로 이동가능하다. 특정한 실시예들에서, 한 부분은 고정된 위치를 갖는 반면 다른 두 부분들은 이동가능하다. 부분들(704, 706, 및 708)은 부분들(704, 706, 및 708)의 워크피스 대향 표면들에 설치된 센서들(714, 716, 및 718)을 갖는다. 센서들(714, 716, 및 718)은 워크피스와 워크피스 대향 표면들 간의 간격들 및/또는 워크피스의 온도 프로파일을 검출하도록 사용될 수 있다. 워크피스 대향 표면들 상의 센서들의 유형들 및 센서들의 위치에 관한 부가적인 정보가 도 7b를 참조하여 이하에 설명된다.
부분들(704, 706, 및 708)의 수직 위치들이 조정될 필요가 있는지 결정하는 시스템 제어기(720)에 센서들(714, 716, 및 718)의 출력이 제공된다. 예를 들어, 시스템(700)이 워크피스를 가열하기 위해 사용되고 센서들(714 및 718)이 워크피스의 에지가 워크피스의 중심보다 낮은 온도를 갖는다고 확인하면, 시스템 제어기(720)는 부분(704)을 상승 및/또는 부분(708)을 하강시키도록 대응하는 드라이버들에 명령할 수 있다.
드라이버들(724, 726, 및 728)은 때때로 액추에이터들로 참조된다. 특정한 실시예들에서, 드라이버들(724, 726, 및 728)은 위치 피드백을 포함할 수 있는 서보-구동 모터들(servo-driven motors)이다. 부분들(704, 706, 및 708)의 위치는 다양한 서보 메커니즘들로부터의 피드백에 기초할 수 있거나 드라이버들(724, 726, 및 728)의 샤프트들에 탑재된 인코더들 및/또는 포텐시오미터들(potentiometers)을 통해 획득될 수 있다. 드라이버들(724, 726, 및 728)의 회전하는 움직임은 리드-스크류(lead-screw) 및/또는 볼-너트 방식들(ball-nut arrangements)과 같은, 다양한 메커니즘들을 사용하여 페데스탈 세그먼트들의 액추에이션을 위한 축방향 움직임으로 변환될 수 있다.
시스템 제어기(720)는 사용자 인터페이스들(예를 들어, 온도 설정)뿐만 아니라 모든 센서들로부터의 입력을 수신할 수 있다. 시스템 제어기(720)는 전형적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함한다. 프로세서는 CPU(central processing unit) 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수 있다. 특정한 실시예들에서, 시스템 제어기(720)는 그것과 연관된 사용자 인터페이스를 갖는다. 이 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽 소프트웨어 디스플레이들 및/또는 프로세스 조건들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수 있다.
시스템 제어기(720) 또는 다른 시스템 제어기들(예를 들어, 시스템 제어기들(921 또는 1011))은 적응형 열 교환 시스템(700)의 하나 이상의 액티비티들을 제어할 수 있다. 예를 들어, 시스템 제어기(예를 들어, 시스템 제어기(720))는 다양한 프로세싱 동작들의 타이밍, 상이한 페데스탈 부분들(예를 들어, 부분들(704, 706, 및 708))의 세로 위치들, 워크피스 및 페데스탈 부분 온도들, 간격들, 및 다른 프로세스 파라미터들을 제어하기 위한 명령들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행할 수 있다. 다른 컴퓨터 프로그램들이 시스템 제어기(720)와 연관된 메모리 디바이스들에 저장될 수 있다. 이들 프로그램들은 다양한 프로세싱 및 유지 태스크들을 위해 사용될 수 있다. 프로세싱 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 등으로 작성될 수 있다. 컴파일된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. 제어기 파라미터들은 예를 들어, 프로세싱동작들의 타이밍, 워크피스의 온도(예를 들어, 워크피스에 대한 페데스탈 부분들의 위치 및/또는 페데스탈로 전달된 에너지/전력에 의해 제어되는)와 같은 프로세스 조건들, 및 다른 특정한 프로세스의 파라미터들과 관련된다. 이들 파라미터들은 레시피(recipe)의 형태로 사용자에게 제공되고 사용자 인터페이스를 활용하기 위해 입력될 수 있다.
시스템 소프트웨어는 많은 상이한 방식들로 설계 또는 구성될 수 있다. 예를 들어, 다양한 챔버 구성요소 서브루틴들 또는 제어 객체들이 본원에 설명된 어떤 동작들을 수행하기 위해 필요한 적응형 열 교환 시스템의 동작을 제어하도록 작성될 수 있다.
적응형 열 교환 시스템 내에서 조정들이 매 새로운 워크피스에 대해 한번, 일반적으로, 워크피스가 시스템에 제공된 직후에 수행될 수 있다. 다른 실시예들에서, 워크피스가 시스템 내에 있는 동안 미리 결정된 간격들 후 또는 연속적으로, 조정들이 다수 회 수행된다. 예를 들어, 가열 또는 냉각 때문에, 워크피스 내의 스트레스의 감소로 인해 워크피스는 자신의 형상을 변화시킬 수 있다. 또한, 워크피스는 열 팽창 계수 차이들 및/또는 열 교환 동안 증가 또는 감소하는 온도 기울기들로 인해 자신의 형상을 변화시킬 수 있다. 이들 상황들에서, 페데스탈의 최초 조정은 형상의 이들 변화 후에 균일한 열 교환을 유지하기에 불충분할 수 있다. 적응형 열 교환 시스템은 상이한 열 교환부들의 세로 위치들 및/또는 구부릴 수 있는 열 교환 플레이트의 연속하는 워크피스 대향 표면의 형상들을 동적으로 조정할 수 있다. 예를 들어, 워크피스가 처음에 돔 형상으로 제공되었으면, 중앙 페데스탈 부분은 처음에 상승되었을 것이다. 워크피스가 이완되고 더 플랫한 형상을 취함에 따라, 중앙 페데스탈 세그먼트들은 점진적으로 하강될 수 있다.
도 7b는 특정한 실시예들에 따라 표면(750) 도처에서 센서들의 다양한 위치들을 도시하는 워크피스 대향 표면(750)을 나타내는 개략도이다. 표면(750)은 구부릴 수 있는 열 교환 플레이트 또는 워크피스의 반대 측면들에 위치된 두 플레이트들 중 하나와 같이, 단일 열 교환부에 의해 형성될 수 있다. 다른 실시예들에서, 표면(750)은 상기에 설명된 동심의 실린더들, 섹터들, 또는 베이스 표면/페그 구성들과 같은 다수의 열 교환부들에 의해 형성될 수 있다. 제어 구성요소들이 특정한 실시예들을 참조하여 설명되었지만, 유사한 제어 구성요소들이 다른 실시예들의 페데스탈들을 참조하여 사용될 수 있다.
표면(750)은 라운드 형상(도 7b에 도시된 바와 같이) 또는 다각형상과 같은 임의의 다른 형상을 가질 수 있다. 간결성을 위해, 이하의 설명은 라운드 표면을 참조한다. 표면(750)은 중심(754) 및 에지(752)에 의해 규정될 수 있다. 라운드 표면들에 대해, 중심(754)과 에지(752) 사이의 거리는 반경으로 참조된다.
워크피스들이 실질적으로 돔 또는 바우 형상들을 가질 때, 표면(750)은 자신의 반경 중 하나를 따라 위치된 2개의 센서들을 가질 수 있다. 예를 들어, 하나의 센서는 중심(754)에 위치될 수 있는 반면, 다른 하나는 에지(752)에 위치될 수 있다. 이러한 센서들이 간격을 측정하기 위해 사용되면, 이들은 워크피스가 얼마나 변형되었는지를 반영할 수 있다. 특정한 실시예들에서, 적응형 열 교환 시스템은 긴 동일한 반경에 제공된 셋 이상의 센서들을 포함할 수 있다. 예를 들어, 하나의 센서는 중심(754)에 위치될 수 있고, 다른 하나는 에지(752)에 위치될 수 있고, 한편 또 다른 하나는 다른 두 센서들 사이의 중간에 위치될 수 있다. 이 실시예는 점들(754, 762, 및 760)로 도 7b에 도시된다.
워크피스들의 다른 부분들을 프로파일하기 위해, 특히 비대칭으로 형성된 워크피스들이 사용될 때, 센서들은 서로 일정한 각을 가질 수 있는 다수의 반경들을 따라 분포될 수 있다. 예를 들어, 하나 이상의 센서들은 X 방향으로 연장하는 반경을 따라 위치될 수 있고, 하나 이상의 센서들은 Y 방향으로 연장하는 반경을 따라 위치될 수 있다.
센서들은 비접촉 파이로메트리(pyrometry)를 채용하는 온도 측정 기구들 및/또는 광학 또는 캐패시티브 방법들을 채용하는 워크피스-열 교환 표면 간격 측정 기구들을 포함할 수 있다.
프로세싱 동작들
변형된 워크피스들의 균일한 가열 및/또는 냉각을 제공하는 방법들이 또한 제공된다. 도 8은 이러한 방법들의 일 예를 도시한다. 본 예에서, 방법(800)은 동작(801) 동안 열 교환을 위해 사용된 하나 이상의 표면들을 가열 또는 냉각하는 단계로 시작한다. 그 후 워크피스는 동작(802)에서 하나 이상의 표면들 위에 위치된다. 동작(802)에서, 하나 이상의 부가적인 열 교환 표면들이 워크피스 위에 제공될 수 있다.
프로세스(800)는 동작(804) 동안 하나 이상의 프로세스 파라미터들을 모니터링하는 단계로 계속된다. 예를 들어, 온도 프로파일 및/또는 워크피스와 하나 이상의 워크피스 대향 표면들 간의 간격 변화들이 이 동작 동안 측정될 수 있다. 그 후 이들 측정들은 이들 측정들에 기초하여 하나 이상의 열 교환 표면들을 조정하기 위해 동작(806)에서 사용된다. 예를 들어, 상이한 열 교환부들의 세로 위치들은 워크피스가 균일한 온도를 갖는다는 것을 보장하기 위해 온도 프로파일에 기초하여 조정될 수 있다. 이들 측정들 및 조정들은 결정 블록(808)으로 도시된 바와 같이 다수 회 수행될 수 있다. 반복적인 측정들 및 조정들은 동적 프로세스 제어로 참조될 수 있다.
일단 워크피스가 미리 결정된 온도로 가열 또는 냉각되고, 특정한 실시예들에서, 다양한 다른 동작들(증착, 에칭, 등)이 워크피스 상에 수행되면, 동작(810) 동안 이는 하나 이상의 열 교환 표면들로부터 제거된다.
결정 블록(812)에 의해 반영된 바와 같이 다양한 동작들이 다른 워크피스들에 반복될 수 있다. 다수의 워크피스들은 상이한 변형들의 정도들 및 유형들을 가질 수 있고 하나 이상의 표면들의 조정들을 필요로 할 수 있다.
멀티 스테이션 장치 예들
상기에 설명된 다양한 열 교환 시스템 예들이 싱글 스테이션 장치 또는 멀티 스테이션 장치에 사용될 수 있다. 도 9는 특정한 실시예들에 따른, 멀티 스테이션 장치(900)를 도시하는 개략도이다. 장치(900)는 프로세스 챔버(901) 및 프로세스될 웨이퍼들 및 원하는 프로세스(증착, 에칭, 등)가 완료된 웨이퍼들을 홀딩하기 위한 하나 이상의 카세트들(903)(예를 들어, Front Opening Unified Pods)을 포함한다. 프로세싱 챔버(901)는 다수의 스테이션들, 예를 들어, 2개의 스테이션들, 3개의 스테이션들, 4개의 스테이션들, 5개의 스테이션들, 6개의 스테이션들, 7개의 스테이션들, 8개의 스테이션들, 10개의 스테이션들, 또는 임의의 수의 스테이션들을 가질 수 있다. 스테이션들의 수는 보통 프로세싱 동작들의 복잡도 및 공유된 환경에서 수행될 수 있는 이들 동작들의 수에 의해 결정된다. 도 9는 6개의 스테이션들(911 - 916)을 포함하는 프로세스 챔버(901)를 도시한다. 싱글 프로세스 챔버 내의 멀티 스테이션 장치(900)의 모든 스테이션들(911 - 916)은 동일한 압력 환경에 노출된다. 그러나, 각각의 스테이션(911 - 916)은 전용 플라즈마 발생기들, 히터들, 및 플래튼 구성들에 의해 달성된 개별적인 로컬 가열 조건들 뿐만 아니라 개별적인 로컬 플라즈마 조건들을 가질 수 있다. 상기 설명된 하나 이상의 적응형 열 교환 시스템들은 하나 이상의 스테이션(911 - 916) 및/또는 로드 록들(905a 및 905b)에 제공될 수 있다.
장치(900)에서 프로세스될 워크피스는 하나 또는 두 로드 록들(905a 및 905b)을 통해 카세트들(903) 중 하나로부터 스테이션(911)으로 로드된다(load). 외부 로봇(907)이 카세트(903)와 로드 록들(905a 및 905b) 사이에서 워크피스를 교환하기 위해 사용될 수 있다. 도시된 실시예에서, 2개의 별도의 로드 록들(905a 및 905b)이 있다. 로드 록들(905a 및 905b)은 하나의 압력 환경(예를 들어, 프로세스 챔버(901) 외부의 대기압)과 다른 압력 환경(예를 들어, 프로세스 챔버(901) 내부의 훨씬 낮은 압력) 사이에서 워크피스들을 교환하기 위해 사용된다. 일단 압력이 프로세스 챔버(901)의 내부 환경에 대응하는 레벨로 평형이 되면, 다른 교환 디바이스(도시되지 않음)가 로드 록(905a)으로부터 스테이션(911)으로 워크피스를 이동시키기 위해 사용될 수 있다. 프로세싱 챔버(901)로부터 제거하기 위해 스테이션(916)으로부터 로드 록(905b)으로 워크피스를 다시 이동시키기 위해 동일하거나 다른 교환 디바이스가 사용될 수 있다. 내부 로봇(909)은 프로세싱 스테이션들(911 - 916) 사이에서 워크피스들을 교환하기 위해 사용될 수 있다. 내부 로봇(909)은 프로세싱 스테이션들을 향하여 연장하는 다수의 암들을 갖는 스핀들 어셈블리를 포함할 수 있다. 각각의 암은 4개의 핑거들(예를 들어, 스테이션들을 향해 연장하는 암의 각각의 측면 상의 2개의 핑거들)을 포함할 수 있다. 이들 핑거들은 프로세싱 스테이션들 내의 워크피스들을 리프트, 하강, 및 위치시키기 위해 사용된다.
워크피스가 스테이션(911) 상에 위치되기 전에, 4개의 핑거들(즉, 스테이션(911)의 반대 측면들에 위치된 두 인접한 암들의 각 측면 상의 2개의 핑거들)이 플래튼의 리세스들(recesses) 내에 속하도록 내부 로봇(909)의 대응하는 암들이 위치된다. 상기 설명된 바와 같이, 이들 리세스들은 이러한 핑거들을 수용하기 위해 적응된다. 그 후 이 핑거들은 스테이션(911) 위의 워크피스를 지지하고 다른 스테이션 상으로 워크피스를 이동시키기 위해 스테이션(911)의 리세스들로부터 리프트될 수 있다. 따라서, 다른 스테이션들의 리세스들 또한 이들 핑거들을 수용하도록 구성된다. 대체로, 임의의 스테이션의 리세스들은 내부 로봇(909)의 임의의 핑거들의 세트를 수용하도록 구성된다. 내부 로봇(909) 및 스테이션들(911 - 916)의 페데스탈들은 페데스탈들의 표면들 위의 워크피스를 상승시키거나 페데스탈들의 표면들 상에 워크피스를 위치시키기 위해 서로에 대해 세로로 이동하도록 구성된다. 페데스탈의 표면 상에 워크피스를 위치시키는 단계는 이들 구성요소들의 상당한 부분들 사이에 직접 접촉을 수반하거나 수반하지 않을 수 있다는 것이 당업자에게 이해된다. 예를 들어, 워크피스의 후면 측과의 과도한 접촉을 방지하기 위해 페데스탈에 MCA 지지대가 설비될 수 있다. 반도체 프로세싱 장치 실시예들 및 프로세싱 실시예들을 설명하기 위해, 워크피스는 MCA 지지대들에 의해 지지되더라도 페데스탈 상에 위치된다고 한다. 더욱이, 내부 로봇(909) 및 스테이션들(911 - 916)의 페데스탈들은 하나의 스테이션에서 다른 스테이션으로 워크피스들을 이동시키기 위해 서로에 대해 회전 이동하도록(move rotationally) 구성된다. 모든 스테이션들을 동일한 환경에 나타내었기 때문에, 스테이션들 사이에 로드 록들 또는 다른 유형들의 교환 포트들이 필요하지 않다. 하나의 워크피스가 각각의 스테이션 또는 스테이션들의 선택된 서브세트 상에서 프로세싱(가열을 포함)될 수 있다.
하나의 스테이션(예를 들어, 스테이션(911))이 새롭게 수용된 워크피스 웨이퍼의 처음 가열을 위해 예비될 수 있다. 이 스테이션은 스테이션 위에 위치된 히팅 램프가 설비될 수 있다. 워크피스의 초기 온도는 실온 정도(예를 들어, 약 25℃)일 수 있다. 프리-히팅 동작 후 이 온도는 300℃를 초과할 수 있고 일반적으로 증착 또는 에칭과 같은 후속 동작에 의해 결정된다. 상기 설명된 다양한 열 교환 시스템들이 이 스테이션으로 사용될 수 있다. 예를 들어, 이 스테이션에서 어떠한 프로세싱도 수행되지 않기 때문에 워크피스의 반대 측면들에 위치된 열 교환부들을 갖는 시스템이 이 스테이션에 사용될 수 있다.
다른 스테이션들(예를 들어, 스테이션들(912, 913, 914, 915, 및 916))이 다른 유형들의 프로세싱에 사용될 수 있다. 장치의 다수의 스테이션들 상에서 프로세싱은 순차적으로 또는 동시에 수행될 수 있다. 특정한 실시예들에서, 장치(900)의 모든 또는 일부 선택된 프로세싱 스테이션들은 적응형 열 교환 시스템들을 가질 수 있다. 상기된 바와 같이, 프로세싱 스테이션들의 일부 또는 전부는 다운스트림 유도 결합 플라즈마 RF 소스와 같은 자신의 RF 전원 장치를 구비할 수 있다. 이들 스테이션들은 또한 페데스탈 표면 상에 위치된 워크피스에 바이어스를 인가하도록 설비될 수 있다. 더욱이, 일부 또는 전부의 플래튼들은 히팅 소자가 설비될 수 있다. 상기 설명된 다양한 열 교환 시스템들도 이들 스테이션들에 사용될 수 있다.
상이한 스테이션들이 내부 로봇(909)에 대해 상이한 세로 위치들에 페데스탈들을 가질 수 있다. 예를 들어, 스테이션들(912 및 913)은 그들의 페데스탈들로부터 더 낮은 열 교환 유속들을 갖도록 이들 페데스탈들이 하강된 위치들에 있도록 할 수 있다. 이들 스테이션들은 예를 들어, 포토레지스트로부터 주입된 크러스트를 에칭하기 위해 사용될 수 있다. 따라서, 이 동작 동안 다른 스테이션들 상에서 수행된 다른 동작들 동안보다 낮은 온도들로 워크피스들을 유지하기 위해 워크피스들과 페데스탈들 사이에 간격이 있을 수 있다. 이 간격은 약 0.1인치에서 3인치 사이 또는 더 구체적으로, 약 1.5인치에서 2.5인치 사이일 수 있다. 간격은 플래튼의 웨이퍼-대향 표면의 복사율, 플래튼의 온도, 웨이퍼가 스테이션으로 이동될 때 웨이퍼의 초기 온도, 동작 동안 웨이퍼 온도 요건들, 웨이퍼의 열 경비(thermal budget), 웨이퍼의 저항, 워크피스 상의 층들의 유형, 및 다른 프로세스 파라미터들과 같은 하나 이상의 요인들에 기초하여 프로세싱 동안 선택 및/또는 조정될 수 있다. 페데스탈의 하강된 위치는 페데스탈(즉, 이들의 워크피스-대향 표면 또는 MCA 지지대들)이 워크피스와 접촉하지 않는 임의의 위치로 정의된다. 페데스탈들의 세로 배향들에서의 이러한 차이들(즉, 상승된 위치들과 하강된 위치들 간)은 실질적으로 유사한 페데스탈 가열 구성들(페데스탈들의 구조들 및 가열 소자들의 출력 모두에 대한)을 유지하면서 상이한 워크피스 온도들을 달성하도록 한다. 대안적으로, 상이한 스테이션들은 상이한 유형들의 적응형 열 교환 시스템들 또는 상이하게 구성된 동일한 유형의 적응형 열 교환 시스템들을 가질 수 있다. 동일한 또는 다른 실시예들에서, 이들 페데스탈들은 적은 열 전도성 재료들로 만들어질 수 있다. 더욱이, 히터들의 출력들은 상이한 워크피스 온도들을 달성하도록 제어될 수 있다.
멀티 스테이션 장치(900)를 사용하는 HDIS(high dose implant strip)라고 하는 에칭 프로세스의 일예가 이제 간략하게 설명될 것이다. 이 프로세스에서, 워크피스는 도펀트들을 주입하기 위한 프로세스 동안 상기 워크피스의 지정된 영역들을 마스크하도록 사용된 포토레지스트 층으로 코팅된다. 도펀트 주입에 후속하여, 후속 프로세싱 단계들에 대비하여, 워크피스로부터 에칭 또는 제거될 포토레지스트를 유지할 필요가 있다. 주입 프로세스로 인해, 워크피스의 지정된 영역들로 마스크된 포토레지스트 층들은 내측에 더 소프트한 벌크 포토레지스를 유지하면서 외측에 경화된 크러스트를 성장시킨다. 워크피스는 상승된 위치의 페데스탈과 함께 스테이션(911) 상에 먼저 위치되고, 약 120℃에서 140℃ 사이의 온도로 가열된다. 워크피스가 스테이션(912)으로 그리고 그 후 스테이션(913)으로 이동될 때, 이들 스테이션들의 페데스탈들은 워크피스가 이들 페데스탈들과 접촉하지 않고 열 교환이 최소화되도록 하강된 위치에 있다. 대안적으로, 스테이션들(912 및 913)의 하나 또는 두 페데스탈들은 전체 프로세싱의 일부 동안 상승될 수 있다. 특정한 실시예들에서, 이들 페데스탈들은 포토레지스트 크러스트가 에칭되는 동안 동일한 레벨(예를 들어, 스테이션(911) 상에서 약 120℃에서 140℃ 사이에 이르는)로 워크피스의 온도를 유지하도록 구성된다. 그 후 워크피스는 벌크 스트리핑을 시작하기 위해 스테이션(914)으로 이동된다. 워크피스 온도는 적어도 약 250℃ 또는 더 구체적으로, 약 280℃로 상승될 필요가 있다. 이 스테이션의 페데스탈은 상승된 위치에 있을 수 있다.
특정한 실시예들에서, 장치는 상이한 워크피스 유형들을 프로세스하도록 사용된다. 예를 들어, 일반적으로 고온 조건을 요구하는 "크러스트되지 않은(un-crusted)" 포토레지스트 및 저온 조건들을 필요로 하는 크러스트된 포토레지스트를 스트립하기 위해 동일한 장치가 사용될 수 있다. 이들 상이한 온도 동작 체제들 간의 스위칭은 적응형 열 교환 시스템들의 상이한 구성들을 사용할 수 있다. 장치의 이러한 구조적 변화는 히터 출력들 및/또는 페데스탈의 세로 위치의 변화들과 결합될 수 있다.
특정한 실시예들에서, 시스템 제어기(921)는 이하에 설명된 스트립핑 프로세스의 다양한 동작들에 대한 프로세스 조건들을 제어하도록 사용된다. 예를 들어, 시스템 제어기(921)는 그들의 히터 출력들 및 상기 설명된 적응형 열 교환 시스템들의 다양한 파라미터들뿐만 아니라 각각의 스테이션(911 - 916)의 페데스탈들의 위치들을 제어할 수 있다.
멀티 챔버 장치 예
도 10은 특정한 실시예에 따른 적응형 열 교환 시스템들이 설비된 멀티 챔버 장치(1000)의 개략도이다. 장치(1000)는 3개의 별도의 챔버들(1001, 1003, 및 1005)(도시된 바와 같이) 또는 임의의 다른 수의 챔버들을 가질 수 있다. 각각의 챔버(1001 - 1005)는 다른 챔버들과 공유되지 않는, 자신의 압력 환경을 갖는다. 예를 들어, 챔버(1001)는 챔버들(1003 및 1005)과 상이한 압력 레벨에서 동작할 수 있거나 자신의 환경에서 상이한 화학적 조성을 가질 수 있다. 이는 부가적인 프로세싱 유연성을 제공하지만, 이들 환경들 간의 교차 오염을 방지하기 위해 상이한 동작 환경들 사이의 교환 포트들을 통해 워크피스들을 교환할 것을 또한 요구한다. 구체적으로, 도 10은 2개의 로드 록들을 갖는 각각의 챔버(즉, 로드 록들(1021)의 세트를 갖는 챔버(1001), 로드 록들(1023)의 세트를 갖는 챔버(1003), 및 로드 록들(1025)의 세트를 갖는 챔버(1005))를 도시한다. 각각의 개별 챔버들에 대해 임의의 수의 로드 록들이 사용될 수 있다는 것이 이해될 것이다. 로드 록들(1021 - 1025)은 저장 카세트들(1009)의 주변 환경과 다를 수 있고, 로드 록들의 세트(도시되지 않음)로 분리될 수 있는 중간 환경(1031)에 노출될 수 있다. 더욱이, 하나 이상의 챔버들(1001 - 1005)은 중간 환경(1031)과 자신의 환경을 공유할 수 있고, 따라서, 하나 이상의 대응하는 로드 록 세트들(1021 - 1025)이 양 측면들에서 생략되거나 유지될 수 있다.
도 10은 2개의 스테이션들이 설비된 각각의 챔버를 도시한다. 그러나, 임의의 수의 스테이션들이 사용될 수 있다. 일 실시예에서, 멀티 챔버 장치의 하나 이상의 챔버들은 도 9를 참조하여 상기 설명된 6개의 스테이션 예들과 유사할 수 있다. 각각의 챔버가 동일한 수의 스테이션들을 가질 필요는 없다. 멀티 챔버 장치(1000)의 하나 이상의 스테이션들은 상기에 설명된 바와 같은 적응형 열 교환 시스템들을 갖는다. 특정한 실시예들에서, 챔버들 중 하나 또는 모든 챔버들의 모든 스테이션들은 적응형 열 교환 시스템들을 갖는다. 적응형 열 교환 시스템들은 프로세싱 챔버들 또는 로드 록들 중 임의의 하나에 위치될 수 있다.
멀티 챔버 장치(1000)는 또한 로드 록들(1021 - 1025) 사이에서 웨이퍼들을 이동하기 위한 공유된 워크피스 핸들링 로봇(1007) 및 하나 이상의 카세트들(1009) 또는 약간 다른 구성요소들을 가질 수 있다. 각각의 챔버, 및 심지어 각각의 개별 스테이션은 도 9를 참조하여 상기 설명된 것들과 유사하게 구성될 수 있는 시스템 제어기(1011)에 의해 제어될 수 있다.
실험/모델링
적응형 페데스탈들을 종래의 정적인 페데스탈들과 비교하기 위해 모델링 테스트들이 수행된다. 450mm의 직경을 갖는 실리콘 웨이퍼들 및 1mm의 초기 돔 변형이 모델링에 사용된다. 시작 온도는 350℃였다. 이 온도는 처음에 기판 도처에서 균일했다.
종래의 플랫 기판을 수반한 한 모델이 25℃의 온도에서 유지된다. 이 기판은 기판으로부터 약 254㎛의 거리에 위치된다. 돔 변형때문에, 기판의 에지는 기판의 중심보다 페데스탈 표면에 1㎜ 더 가깝다. 다른 모델은 3개의 동심의 실린더부들을 갖는 적응형 페데스탈에 수반된다. 중심 부분은 150㎜의 직경을 갖고, 중간 부분은 300㎜의 외부 직경을 갖고, 마지막으로 에지부는 450㎜의 외부 직경을 갖는다. 중간 부분의 내부 직경은 중심 부분의 직경과 실질적으로 동일한 반면, 에지부의 내부 직경은 중간 부분의 외부 직경과 실질적으로 동일하다. 세 부분들은 모두 25℃로 유지된다.
페데스탈의 이 세 부분들은 기판의 프로파일을 따르도록 조정된다. 즉, 중심 부분은 에지부에 대해 1㎜ 만큼 상승되고, 중간 부분은 에지부에 대해 0.5㎜ 만큼 상승된다.
이들 모델들은 10분 동안 기판들의 냉각을 시뮬레이팅하도록 사용된다. 기판 에지들 및 중심들의 온도들은 이 시간동안 모니터링된다. 더욱이, 이 기간동안 두 기판들의 편향이 추정된다.
도 11a는 모델링의 처음 10분 동안 4개의 온도 프로파일들을 도시한다. 선(1102)은 종래의 플랫 페데스탈을 사용하여 냉각된 기판의 중심부의 온도 프로파일이다. 선(1104)은 적응형 페데스탈을 사용하여 냉각된 기판의 에지부의 온도 프로파일이다. 선(1106)은 적응형 페데스탈을 사용하여 냉각된 기판의 중심부의 온도 프로파일이다. 선(1108)은 종래의 페데스탈을 사용하여 냉각된 기판의 중심부의 온도 프로파일이다. 분명하게, 선들(1104 및 1106)은 적응형 페데스탈을 사용하여 냉각된 기판이 종래의 플랫 페데스탈을 사용하여 냉각된 기판보다 훨씬 균일한 온도 프로파일을 갖는다는 것을 나타내는 선들(1102 및 1108)보다 서로 훨씬 가깝다.
성능의 차이는 두 기판들에 대해 중심부와 에지부들 간의 온도 차이들의 두 프로파일을 도시하는 도 11b에서 훨씬 명백하다. 선(1112)은 종래의 플랫 기판을 사용하여 냉각된 기판에 대응하는 한편, 선(1114)은 상기에 설명된 적응형 페데스탈을 사용하여 냉각된 기판에 대응한다. 모델링 동안 어떤 시점에서, 종래의 플랫 기판으로 냉각된 기판의 중심은 에지보다 높은 48℃였다. 적응형 페데스탈로 냉각된 기판에 대한 온도 편차는 일반적으로 10℃ 미만이다.
도 11c는 2개의 기판들에 대해 모델링된 편향 프로파일을 도시한다. 선(1122)은 종래의 플랫 기판을 사용하여 냉각된 기판의 편향을 나타내는 반면, 선(1124)은 상기 설명된 적응형 페데스탈을 사용하여 냉각된 기판의 편향을 나타낸다. 처음에, 두 기판들은 약 1㎜의 편향을 갖는다. 적응형 페데스탈을 사용하여 냉각된 기판의 편향은 냉각 동안 약 0.6㎜로 실제로 감소된다. 동시에, 종래의 플랫 페데스탈을 사용하여 냉각된 기판의 편향은 냉각 동안 증가되고 약 2.2㎜에서 피크가 된다.
전술한 개념들이 이해의 명확성을 목적으로 약간 상세히 설명되었지만, 특정한 변화들 및 수정들은 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 이해될 것이다. 프로세스들, 시스템들, 및 장치들을 구현하는 많은 대안적인 방법들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적인 것이고 제한적인지 않은 것으로 인식된다.

Claims (12)

  1. 적응형 열 교환 시스템에 있어서,
    제 1 워크피스 대향 표면을 갖는, 워크피스를 수용하기 위한 적응형 페데스탈의 제 1 피봇가능(pivotable) 부분; 및
    제 2 워크피스 대향 표면을 갖는, 상기 적응형 페데스탈의 제 2 피봇가능 부분을 포함하고,
    상기 제 1 워크피스 대향 표면 및 상기 제 2 워크피스 대향 표면 각각은 피봇 지점을 중심으로 피봇가능하고,
    상기 제 1 워크피스 대향 표면 및 상기 제 2 워크피스 대향 표면은 상기 워크피스의 한 측면에 위치되는, 적응형 열 교환 시스템.
  2. 제 1 항에 있어서,
    상기 제 1 워크피스 대향 표면에서의 측정을 위한 제 1 센서 및 상기 제 2 워크피스 대향 표면에서 측정을 위한 제 2 센서로부터 입력을 수신하기 위한 시스템 제어기를 더 포함하고, 상기 시스템 제어기는 상기 제 1 센서 및 상기 제 2 센서로부터의 입력에 기초하여 상기 제 1 피봇가능 부분 및 상기 제 2 피봇가능 부분의 피봇을 제어하도록 구성되는, 적응형 열 교환 시스템.
  3. 제 2 항에 있어서,
    상기 제 1 피봇가능 부분 및 상기 제 2 피봇가능 부분의 제어된 피봇은 상기 워크피스에 걸쳐 열 교환 균일성을 개선하도록 결정되는, 적응형 열 교환 시스템.
  4. 제 2 항에 있어서,
    상기 제 1 센서 및 상기 제 2 센서는 상기 적응형 페데스탈의 일부인, 적응형 열 교환 시스템.
  5. 제 2 항에 있어서,
    상기 제 1 센서 및 상기 제 2 센서로부터의 입력은 상기 워크피스와 상기 제 1 워크피스 대향 표면 및 상기 제 2 워크피스 대향 표면 간의 간격들과 연관되는, 적응형 열 교환 시스템.
  6. 제 2 항에 있어서,
    상기 제 1 센서 및 상기 제 2 센서로부터의 입력은 상기 제 1 워크피스 대향 표면 및 상기 제 2 워크피스 대향 표면에 가까운 상기 워크피스의 온도와 연관되는, 적응형 열 교환 시스템.
  7. 제 1 항에 있어서,
    상기 제 1 워크피스 대향 표면 및 상기 제 2 워크피스 대향 표면 중 적어도 하나로부터 연장하는 지지대들의 세트를 더 포함하고, 상기 지지대들의 세트는 상기 워크피스를 지지하기 위한 것인, 적응형 열 교환 시스템.
  8. 제 1 항에 있어서,
    상기 워크피스는 상기 제 1 워크피스 대향 표면 위로 미리 결정된 거리에 지지되는, 적응형 열 교환 시스템.
  9. 적응형 열 교환 시스템에 있어서,
    제 1 워크피스 대향 표면을 갖는 구부릴 수 있는 열 교환 플레이트; 및
    상기 구부릴 수 있는 열 교환 플레이트에서 하나 이상의 센서들로부터의 입력을 수신하고 상기 하나 이상의 센서들로부터의 입력에 기초하여 상기 구부릴 수 있는 열 교환 플레이트에 인가된 굽힘력(bending force)을 제어하는 시스템 제어기를 포함하는, 적응형 열 교환 시스템.
  10. 제 9 항에 있어서,
    상기 구부릴 수 있는 열 교환 플레이트에 인가된 상기 제어된 굽힘력은 상기 워크피스에 걸쳐 열 교환 균일성을 개선하도록 결정되는, 적응형 열 교환 시스템.
  11. 제 9 항에 있어서,
    상기 하나 이상의 센서들로부터의 상기 입력은 상기 워크피스와 상기 제 1 워크피스 대향 표면 간의 간격과 연관되는, 적응형 열 교환 시스템.
  12. 제 9 항에 있어서,
    상기 하나 이상의 센서들로부터의 상기 입력은 상기 워크피스의 온도와 연관되는, 적응형 열 교환 시스템.
KR1020197034186A 2012-01-06 2012-12-28 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템 KR20190132561A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261584130P 2012-01-06 2012-01-06
US61/584,130 2012-01-06
PCT/US2012/071976 WO2013103594A1 (en) 2012-01-06 2012-12-28 Adaptive heat transfer methods and systems for uniform heat transfer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147022086A Division KR20140119726A (ko) 2012-01-06 2012-12-28 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템

Publications (1)

Publication Number Publication Date
KR20190132561A true KR20190132561A (ko) 2019-11-27

Family

ID=48743103

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197034186A KR20190132561A (ko) 2012-01-06 2012-12-28 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
KR1020147022086A KR20140119726A (ko) 2012-01-06 2012-12-28 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020147022086A KR20140119726A (ko) 2012-01-06 2012-12-28 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템

Country Status (5)

Country Link
US (1) US9835388B2 (ko)
KR (2) KR20190132561A (ko)
CN (1) CN104040710B (ko)
TW (1) TWI612628B (ko)
WO (1) WO2013103594A1 (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US20090277472A1 (en) * 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US9202964B2 (en) * 2010-03-01 2015-12-01 First Solar, Inc. System and method for photovoltaic device temperature control while conditioning a photovoltaic device
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
CN104040710B (zh) 2012-01-06 2017-11-28 诺发系统公司 用于均匀传热的自适应传热方法和系统
NL2011876C2 (en) * 2013-12-02 2015-06-03 Univ Delft Tech Low specimen drift holder and cooler for use in microscopy.
TWI650832B (zh) * 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
US10062598B2 (en) 2014-05-21 2018-08-28 Applied Materials, Inc. Thermal processing susceptor
KR102398067B1 (ko) * 2014-11-05 2022-05-13 삼성디스플레이 주식회사 정전 척
US10014228B2 (en) * 2014-11-24 2018-07-03 Rudolph Technologies, Inc. Method and apparatus to assist the processing of deformed substrates
US10109510B2 (en) 2014-12-18 2018-10-23 Varian Semiconductor Equipment Associates, Inc. Apparatus for improving temperature uniformity of a workpiece
JP6153095B2 (ja) * 2014-12-19 2017-06-28 信越半導体株式会社 エピタキシャルウェーハの製造方法
KR102370614B1 (ko) * 2015-03-30 2022-03-04 삼성디스플레이 주식회사 플레이트의 평탄도 조절 장치
US10453734B2 (en) 2015-07-02 2019-10-22 Asml Netherlands B.V. Substrate holder, a lithographic apparatus and method of manufacturing devices
US9786496B2 (en) * 2015-08-17 2017-10-10 Lam Research Corporation Method of densifying films in semiconductor device
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10747127B2 (en) 2016-09-02 2020-08-18 Asml Netherlands B.V. Lithographic apparatus
US10655224B2 (en) * 2016-12-20 2020-05-19 Lam Research Corporation Conical wafer centering and holding device for semiconductor processing
US10354887B2 (en) 2017-09-27 2019-07-16 Lam Research Corporation Atomic layer etching of metal oxide
USD860146S1 (en) 2017-11-30 2019-09-17 Veeco Instruments Inc. Wafer carrier with a 33-pocket configuration
USD863239S1 (en) 2018-03-26 2019-10-15 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD858469S1 (en) 2018-03-26 2019-09-03 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD866491S1 (en) 2018-03-26 2019-11-12 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD860147S1 (en) 2018-03-26 2019-09-17 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD854506S1 (en) 2018-03-26 2019-07-23 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
CN110434991B (zh) * 2019-08-10 2021-11-30 广东东泓住工科技有限公司 一种预制混凝土构件蒸汽养护工艺
US20220415675A1 (en) * 2019-12-02 2022-12-29 Ev Group E. Thallner Gmbh Apparatus and method for heating a substrate
EP3916482A1 (en) * 2020-05-27 2021-12-01 ASML Netherlands B.V. Conditioning device and corresponding object handler, stage apparatus and lithographic apparatus
CN117855020B (zh) * 2024-03-04 2024-05-07 上海谙邦半导体设备有限公司 一种温度可调的晶圆等离子体去胶装置

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3020131A (en) 1959-10-22 1962-02-06 Knapic Electro Physics Inc Rotating pedestal
US3612825A (en) 1969-08-08 1971-10-12 Shatterproof Glass Corp Windowed high-temperature ovens
US4535835A (en) 1982-05-25 1985-08-20 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
JPS61264649A (ja) 1985-05-20 1986-11-22 Ulvac Corp 基板冷却装置
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
KR940011708B1 (ko) 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5228208A (en) 1991-06-17 1993-07-20 Applied Materials, Inc. Method of and apparatus for controlling thermal gradient in a load lock chamber
JP3238200B2 (ja) 1992-07-17 2001-12-10 株式会社東芝 基体処理装置及び半導体素子製造方法
US5248922A (en) * 1992-04-27 1993-09-28 Motion Control Technologies, Inc. Multi-DSP, multi-functional motion controller
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JPH0790582A (ja) 1993-06-22 1995-04-04 Nissin Electric Co Ltd 基板保持装置
DE4418562A1 (de) 1993-06-29 1995-01-12 Leybold Durferrit Gmbh Verfahren und Vorrichtung zur Behandlung von im wesentlichen aus Kunststoff oder Gummi bestehendem Gut
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
JP3017631B2 (ja) 1993-11-24 2000-03-13 東京エレクトロン株式会社 低温処理装置の制御方法
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3220619B2 (ja) 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
US5830277A (en) 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
JPH0992615A (ja) 1995-09-27 1997-04-04 Sony Corp 半導体ウェハの冷却装置
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5811762A (en) 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6214184B1 (en) 1997-05-14 2001-04-10 Taiwan Semiconductor Manufacturing Company, Ltd Insulated wafer pedestal
GB9711080D0 (en) 1997-05-29 1997-07-23 Imperial College Film or coating deposition on a substrate
US6113698A (en) 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
JP3758009B2 (ja) 1998-07-01 2006-03-22 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP4111625B2 (ja) 1999-03-31 2008-07-02 芝浦メカトロニクス株式会社 真空処理装置の基板冷却台
WO2000070666A1 (fr) 1999-05-14 2000-11-23 Tokyo Electron Limited Technique de traitement et dispositif correspondant
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6307184B1 (en) 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6410457B1 (en) 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
US6561796B1 (en) 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
KR100389913B1 (ko) 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
US6803237B2 (en) 2000-01-25 2004-10-12 Woods Hole Oceanographic Institution Sequential processing reaction vessel for chemical fractionation and analysis
US6534751B2 (en) * 2000-02-28 2003-03-18 Kyocera Corporation Wafer heating apparatus and ceramic heater, and method for producing the same
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
JP4540796B2 (ja) 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP3516392B2 (ja) 2000-06-16 2004-04-05 イビデン株式会社 半導体製造・検査装置用ホットプレート
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
CN1446127A (zh) 2000-08-04 2003-10-01 S·C·流体公司 带防护罩机构的倒置压力容器
DE10043234A1 (de) 2000-09-02 2002-03-14 Stihl Maschf Andreas Ventiltrieb mit einem Kipphebel
JP2004523880A (ja) 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
TWI313059B (ko) 2000-12-08 2009-08-01 Sony Corporatio
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
JP2002246375A (ja) 2001-02-21 2002-08-30 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
WO2002071446A2 (en) 2001-03-02 2002-09-12 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6563686B2 (en) 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
US20020179006A1 (en) 2001-04-20 2002-12-05 Memc Electronic Materials, Inc. Method for the preparation of a semiconductor substrate with a non-uniform distribution of stabilized oxygen precipitates
JP3825277B2 (ja) 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6529686B2 (en) 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP3713220B2 (ja) 2001-06-15 2005-11-09 日本特殊陶業株式会社 セラミックヒータ
KR20020096524A (ko) 2001-06-20 2002-12-31 삼성전자 주식회사 반도체 장치 제조용 공정챔버의 웨이퍼 안착 구조
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6752948B2 (en) 2001-10-03 2004-06-22 3D Systems, Inc. Post processing three-dimensional objects formed by selective deposition modeling
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6899765B2 (en) 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
JP3588457B2 (ja) 2002-04-26 2004-11-10 京セラ株式会社 ウェハ加熱装置
KR20030096732A (ko) 2002-06-17 2003-12-31 삼성전자주식회사 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
JP4133062B2 (ja) 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 熱処理装置
JP2005538566A (ja) 2002-09-10 2005-12-15 アクセリス テクノロジーズ, インコーポレイテッド 温度固定されたチャックを用いた温度可変プロセスにおける基板の加熱方法
US6768084B2 (en) 2002-09-30 2004-07-27 Axcelis Technologies, Inc. Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US6887523B2 (en) 2002-12-20 2005-05-03 Sharp Laboratories Of America, Inc. Method for metal oxide thin film deposition via MOCVD
JP4212888B2 (ja) 2002-12-26 2009-01-21 三菱化学エンジニアリング株式会社 プレート型触媒反応器
DE10261362B8 (de) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US6933004B2 (en) 2003-05-20 2005-08-23 Lucent Technologies Inc. Control of stress in metal films by controlling the temperature during film deposition
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
JP2005116655A (ja) 2003-10-06 2005-04-28 Canon Inc ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7410355B2 (en) 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
JP4376070B2 (ja) 2004-01-14 2009-12-02 日本碍子株式会社 加熱装置
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
DE102004055449B4 (de) 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
TW200723352A (en) 2004-12-06 2007-06-16 Axcelis Tech Inc Medium pressure plasma system for removal of surface layers without substrate loss
JP2006210372A (ja) * 2005-01-25 2006-08-10 Sony Corp 半導体製造装置および半導体製造方法
KR100702010B1 (ko) 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7194199B2 (en) 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070029046A1 (en) 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
US7956310B2 (en) 2005-09-30 2011-06-07 Tokyo Electron Limited Stage, substrate processing apparatus, plasma processing apparatus, control method for stage, control method for plasma processing apparatus, and storage media
JP2007158074A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2009518854A (ja) 2005-12-07 2009-05-07 アクセリス テクノロジーズ インコーポレーテッド 基板損失のない表面層除去のための中圧プラズマシステム
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4497103B2 (ja) 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
JP4702799B2 (ja) 2006-03-17 2011-06-15 ルネサスエレクトロニクス株式会社 ボルト及び半導体製造装置
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20070283709A1 (en) 2006-06-09 2007-12-13 Veeco Instruments Inc. Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system
JP5347214B2 (ja) 2006-06-12 2013-11-20 東京エレクトロン株式会社 載置台構造及び熱処理装置
US20080102644A1 (en) 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7870804B2 (en) 2006-12-08 2011-01-18 GM Global Technologies Operations LLC Multi-speed dual clutch transmission
US8222574B2 (en) 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
KR100836183B1 (ko) 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
KR20080072275A (ko) 2007-02-01 2008-08-06 세메스 주식회사 반도체 제조용 애싱 장비 및 그 구동방법
JP2008192840A (ja) 2007-02-05 2008-08-21 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US9383138B2 (en) 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
JP5135915B2 (ja) 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8047706B2 (en) 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
JP2009218536A (ja) * 2008-03-13 2009-09-24 Seiko Epson Corp 基板加熱装置および電気光学装置の製造装置
US20090277472A1 (en) 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
CN102341902A (zh) 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
US20100247804A1 (en) 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
KR20110137775A (ko) * 2009-03-26 2011-12-23 파나소닉 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20110054840A (ko) 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US20120074126A1 (en) 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
WO2013049589A1 (en) * 2011-09-30 2013-04-04 Applied Materials, Inc. Electrostatic chuck with temperature control
US20130145989A1 (en) 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
CN104040710B (zh) 2012-01-06 2017-11-28 诺发系统公司 用于均匀传热的自适应传热方法和系统
US20140170857A1 (en) 2012-12-18 2014-06-19 Intermolecular, Inc. Customizing Etch Selectivity with Sequential Multi-Stage Etches with Complementary Etchants
US20160056032A1 (en) 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling

Also Published As

Publication number Publication date
CN104040710A (zh) 2014-09-10
CN104040710B (zh) 2017-11-28
WO2013103594A1 (en) 2013-07-11
US9835388B2 (en) 2017-12-05
TW201351581A (zh) 2013-12-16
KR20140119726A (ko) 2014-10-10
TWI612628B (zh) 2018-01-21
US20130175005A1 (en) 2013-07-11

Similar Documents

Publication Publication Date Title
US9835388B2 (en) Systems for uniform heat transfer including adaptive portions
TWI677049B (zh) 方位可調整的多區域靜電夾具
KR102650384B1 (ko) 에지 시일링을 달성하도록 웨이퍼 지지부의 제어에 의한 배면 디포지션 감소를 위한 방법 및 장치
KR101275336B1 (ko) 페데스탈 커버
JP4781901B2 (ja) 熱処理方法,プログラム及び熱処理装置
JP2006049867A (ja) 独立して移動する基板支持体
TWI748437B (zh) 用於處理基板的處理套件及裝置以及用於該裝置的基板邊緣環的升降解決方案
KR20090116649A (ko) 포토레지스트 스트리핑 방법 및 장치
WO2017105627A1 (en) Flat susceptor with grooves for minimizing temperature profile across a substrate
US10655226B2 (en) Apparatus and methods to improve ALD uniformity
WO2020195920A1 (ja) 成膜装置および成膜方法
JP2018120978A (ja) 熱処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
WITB Written withdrawal of application