TWI650832B - 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具 - Google Patents

用於化學氣相沉積系統之具有隔熱蓋的晶圓載具 Download PDF

Info

Publication number
TWI650832B
TWI650832B TW103145394A TW103145394A TWI650832B TW I650832 B TWI650832 B TW I650832B TW 103145394 A TW103145394 A TW 103145394A TW 103145394 A TW103145394 A TW 103145394A TW I650832 B TWI650832 B TW I650832B
Authority
TW
Taiwan
Prior art keywords
top plate
wafer
wafers
wafer carrier
bottom plate
Prior art date
Application number
TW103145394A
Other languages
English (en)
Other versions
TW201530690A (zh
Inventor
亞歷山大I 古拉瑞
艾瑞克 阿莫
Original Assignee
維克儀器公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 維克儀器公司 filed Critical 維克儀器公司
Publication of TW201530690A publication Critical patent/TW201530690A/zh
Application granted granted Critical
Publication of TWI650832B publication Critical patent/TWI650832B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67333Trays for chips
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49833Punching, piercing or reaming part by surface of second part
    • Y10T29/49835Punching, piercing or reaming part by surface of second part with shaping
    • Y10T29/49837Punching, piercing or reaming part by surface of second part with shaping of first part

Abstract

本發明基本上為關於半導體製造技術,更具體地說,關於化學氣相沉積(CVD)加工及其用以處理半導體晶圓表面溫度不均之關聯設備。實施例包括一種適用於藉由CVD在晶圓上成長磊晶層之系統之晶圓載具,該晶圓載具包含一頂板及一底板,其作用為協調地減少在CVD加工期間所造成的溫度變異性。

Description

用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
本發明基本上關於一種半導體製造技術,更具體地說,關於化學氣相沉積(CVD)加工、及具有可減輕半導體晶圓表面的溫度不均勻性之特徵的相關設備。
在製造發光二極體(LEDs)及例如雷射二極體、場效電晶體等之其他高性能裝置時,通常會使用化學氣相沉積(CVD)方法,使用如氮化鎵等之材料,於藍寶石或是矽晶圓上成長薄膜堆疊構造。CVD工具包括加工腔體,其為密封環境,可使注入的空氣被沉積在基板(通常為晶圓形式)以成長薄膜層。此種製造設備的現有產品線的實例之一,為美國紐約的普莱恩维尤的威科儀器(Veeco Instruments Inc.)的TurboDisc系列的金屬有機化學氣相沉積(MOCVD)系統。
可對於複數個加工參數例如溫度、壓力、氣體流動率等加以控制,以便達到理想的結晶成長。可以利用各種的材料及加工參數來形成不同的層。例如,由第III-V族等化合物半導體所形成之裝置,通常使用MOCVD來成長化合物半導體的連續層。在此製程中,該晶圓暴露於氣體之組合物下,包括以第III族金屬為來源之金屬有機化合物,亦包括以第IV族元素來源;當晶圓保持上升溫度時,該氣體之組合物將在晶圓的表面上流動。一般來說,金屬有機化合物及第V族源與載氣(carrier gas)結合;該載氣通常不會參與該反應,例如氮。第III-V族半導體的中之一個實例是氮化鎵,它可藉由使有機鎵化合物和氨起反應而形成在具有合適晶格間距的基板上,例如藍寶石晶圓。在沉積氮化鎵及有關的化合物期間,晶圓通常是維持在1000-1100℃的級別。
在MOCVD加工中,藉由在該基底的表面上發生化學反應,可以使晶體成長,該加工參數必須特別嚴緊地加以控制,以確保化學反應可在所要求的條件下進行。即使加工狀況發生微小的變化,亦會使元件品量及產量造成不利影響。舉例來說,在沉積鎵和銦的氮化物層時,晶圓表面溫度的變化會導致沉積層的組成及能帶隙的變化。因為銦具有相對較高的蒸氣壓,因此沉積層具有比例較低的銦且在晶圓表面溫度較高的區域具有較大的能帶隙。如果沉積層為發光二極體結構的主動發光層,在該晶圓上所形成的發光二極體之發光波長也會變成不可接受的程度。
在MOCVD的處理室內,半導體晶圓中的薄膜將成長並且被放置於一快速旋轉之轉盤,其可稱為晶圓載具,如圖中晶圓載具顯示,其在半導體沉積用之反應腔體內可均勻暴露其表面於大氣中。轉速可為1,000 RPM的等級。該晶圓載體通常是由高導熱性材料所製成,例如石墨,並且塗有諸如碳化矽材料的保護層。每一個晶圓載體具有一組圓形凹槽或是容置腔於其上表面,供各別晶圓放置於其中。一般而言,晶圓被支撐使得晶圓與容置腔下表面存有間隙空間,以使氣流環繞晶圓邊緣。有一些相關的技術已記載於美國專利申請公開第2012/0040097號、美國專利第8,092,599號、美國專利第8,021,487號、美國專利申請公開第2007/0186853號、美國專利第6,902,623號,美國專利第6,506,252號及美國專利第6,492,625號,該等之揭示內容均引用來做為參考資料而併入本說明書中。
該晶圓載具在該反應腔內由轉軸支撐,因此該晶圓載具上表面的具有暴露面之晶圓為面向著氣體分布裝置。當轉軸轉動時,氣體直接往下吹到晶圓載具之上表面,並且經過上表面而往該晶圓載具之周圍流動,經使用過的氣體從位於該晶圓下方的通口而排出反應腔。藉由通常配置於該晶圓載具下表面的下方的熱電阻加熱元件,將該晶圓載具維持在所期望之高溫下。該等加熱元件為保持在高於該晶圓表面的所期望之溫度中,而該氣體分布裝置通常維持在低於所期望之反應溫度中,以避免氣體過早反應。因此,熱就從加熱元件被傳送至該晶圓載具之下表面,且往上流動通過該晶圓載具而流到個別的晶圓。晶圓上的氣流隨著每一個晶圓的徑向位置之不同而變化,由於在轉動時速度較快,因而對於最外圍的晶圓會有較高的流動率。即使每一個個別的晶圓可具有溫度不均性,例如,取決於與載具上其他晶圓的相對幾何位置所決定的冷點與熱點。
在MOCVD加工期間,該晶圓載具主要以輻射加熱,而輻射能由該晶圓載具的底部發出。例如一種冷壁CVD反應器設計(例如,其中之一種為使用從底部進行非等溫加熱)而在反應腔來形成反應條件,即該晶圓載具的上表面溫度低於下表面。從該晶圓載具放射輻射的程度,由該晶圓載具及周邊元件的發射率決定。將反應腔的例如冷板、限定入口法蘭、遮板及其他部位之內部元件更換成高發射率的材料,就可得到較高的輻射熱轉換效果。同樣地,減少該晶圓載具的發射率將會導致自該晶圓載具輻射熱排放較低,該晶圓載具表面的對流冷卻程度在由輸送通過腔體的整個氣流,以及氣體混合(H2 , N2 , NH3 , OMs等)的熱容量而變化。此外,導入晶圓(例如藍寶石晶圓)至容置腔中可增加熱流線的橫向構成,造成覆蓋(blanketing)效應。此種現象導致在容置腔底板的底面呈現放射狀的熱分布,即愈在容置腔之中心愈熱,從徑向愈往外則溫度愈低。
在此晶圓表面上的不均勻溫度分布為與在轉動期間之向心力(例如鄰近效應) 具有依存關係,其能夠顯著地降低半導體生產率。因此,已有許多研究致力於設計一種具有足以使加工期間溫度變化成為最小化的物件之系統。由於在MOCVD加工期間給予晶圓極嚴苛的條件,而且這些條件皆影響生產率;因此仍有需要一種經改良的技術以便再更進一步地減少溫度不均勻現象。
本發明之各個態樣皆關於一種化學氣相沉積(CVD)系統,在此系統中之半導體晶圓表面上的溫度不均現象已顯著地降低。一個態樣為一種晶圓載具,其具有一本體;該本體為對稱於中心軸而形成並包括大致上平坦的上表面,且垂直於該中心軸配置。複數個晶圓容置腔容置腔為在本體中自上表面起凹陷而成。每一個晶圓容置腔包括:底面,其大致上與上表面平行;及周壁面,其環繞該底面並定義該晶圓容置腔之周圍。每一個晶圓容置腔皆具有一沿著與該中心軸垂直的晶圓載具半徑軸配置之腔室中心。
於各種不同的實施例中,用以藉由CVD方式在一或複數個晶圓成長磊晶層之系統之晶圓載具,可包含頂板及底板,其中該頂板覆蓋該底板中之未被一個或更多個晶圓所覆蓋之區域,且其中因該頂板的存在而得以減輕CVD加工期間的溫度變化。該頂板可包含與該複數個晶圓相同之材料,例如:矽或藍寶石;或是該頂板可包含與該複數個晶圓類似之材料,例如:石英、碳化矽、固態碳化矽、氮化硼、碳化硼、氧化鋁、氮化鋁或是其他耐熱材料。於此等實施例中,該底板一般是可以由碳化矽或是被覆有碳化矽之石墨所組成。於各種不同的實施例中,當該頂板及複數個晶圓於晶圓載具中為處在同一個水平面時,溫度不均現象就能夠被減輕。於其他的實施例中,當該頂板及複數個晶圓距離該底板為同樣的距離時,溫度不均現象就能夠被減輕。例如,可以將晶圓及該頂板或是複數個頂板裝設於由該底板延伸之調整片或環狀構造上,其中晶圓及該晶圓容置腔之上表面間的間隙距離、與在未被晶圓佔據區域中之該頂板及該底板之上表面間的間隙距離為相同或是相近。
於其他的實施例中,當頂板及複數個晶圓具有相同厚度時,溫度不均現象就能夠被減輕。例如,可以將該頂板及該複數個晶圓設置於同一水平面上且直接接觸;或者可以將該頂板及該複數個晶圓設置於同一水平面上但不使之直接接觸。於一些實施例中,該底板可包含直接位於複數個晶圓下方的表面,或是該頂板可包含直接位於該複數個晶圓下方,並且與晶圓直接接觸的的表面。通常,在當該頂板包含與該複數個晶圓相同材料時、當該頂板與該複數個晶圓之距離該底板垂直高度為相同時、及當該頂板及該複數個晶圓為相同厚度時,能夠獲得溫度不均現象之最大減輕量。然而,其他實施例則考量在晶圓及該頂板間的材料選擇。在此種情況,溫度不均現象可藉由相應地改變該頂板與晶圓間之相對厚度、該頂板及晶圓離該底板之相對間隙來達成;或者是此等參數的某一些組合來產生整體配置而減輕。其他實施例為包括一頂板,該頂板被配置在相對於該底板之不同的垂直間隙;而不是以相對於該底板之該複數個晶圓和該底板之間的垂直間隙來配置。
於一些實施例中,該頂板可由一單一構件的材料組成,或是該頂板可由一或複數個節段構成。除此之外,可將該頂板與該底板緊固定結合,例如使用含鉬或類似材料的釘件。當緊固定結合時,該頂板與該底板可協調性地形成晶圓容置腔,該晶圓容置腔的形狀來自兩個或更多個交叉的弧線所複合而成之半徑。或是該頂板及該底板可協調性地形成晶圓容置腔,該晶圓容置腔之形狀來自兩個或更多個不交叉的弧線所複合而成之半徑。於一些實施例中,此處所述由頂板及底板構成的晶圓載具於CVD加工期間能夠以約2.0、約2.5、約3.0、約3.5、約4.0、約4.5、約5.0、約5.5、約6.0、約6.5、約7.0、約7.5、約8.0、約8.5、約9.0、約9.5、約10之係數減輕溫度變化。
實施例亦可包括一種減輕溫度不均之方法,其適用在以化學氣相沉積(CVD)於一個或更多個晶圓上成長磊晶層之系統。該方法包含組裝一晶圓載具,該晶圓載具包含頂板及底板,其中該頂板覆蓋該底板中未被一個或複數個晶圓覆蓋的區域,且其中因該頂板的存在而使得在CVD加工間的溫度變化減輕。該頂板及該底板可被組態成如上述之構成,藉以在該頂板包含與該複數個晶圓為相同材料時、當該頂板及該複數個晶圓距離該底板的距離為同樣時、及當該頂板及該複數個晶圓為具有同樣的厚度時得到溫度不均之最大減輕量。
優點在於,使用頂板及底板且其中之該頂板覆蓋該底板中未被一個或複數個晶圓覆蓋的區域時,如上所述,得以對於以CVD加工之晶圓表面的熱分布提供更良好的均一性。至於其他的數個優點,則顯然可從以下的詳細說明中明白。
圖1顯示根據本發明之一實施例之化學氣相沉積裝置。反應腔5界定一處理環境空間。氣體分布裝置10設置於反應腔之腔體之一端部。具有氣體分布裝置10之該端部在此引述為反應腔5之"上"端部。該腔體之該端部通常(非必須)配置於一般重力框架之該腔體的頂部。因此,在此所述下行方向指的是遠離氣體分布裝置10的方向,而上行方向指的是從該腔體往氣體分部裝置10的方向,而不論此等方向是否依照重力往上或往下排列無關。與此類似地,此處所述之元件之上/下表面,其指的亦為反應腔5及氣體分布裝置10之框架中的"上"/"下"。
氣體分布裝置10連結至源部(加工氣體供給單元)15、20及25,以便供給用晶圓處理加工中所使用的處理氣體,例如載氣(carrier gas)及反應氣體(reactant gases),例如有機金屬化合物,及第V族金屬之來源。氣體分布裝置10用以接收各種氣體,並且以大致以下游方向引導處理氣體之氣流。氣體分布裝置10理想上也連結至冷卻系統30,冷卻系統30配置為使液體在氣體分布裝置10中循環,以在操作期間能使該氣體分布裝置的溫度維持在期望的溫度。亦可具有類似的冷卻配置(未顯示)用於冷卻反應腔5之牆部。反應腔5亦可具備排放系統35,其配置為由該腔體內部透過該腔體之靠近或位於該腔體底部的通口,將所使用的氣體排出,以使連續的氣體氣流能夠從由氣體分布裝置10往下行方向移動。
轉軸40設置為在該腔體之中,使得轉軸40之中心軸45於上行及下行方向中延伸。轉軸40以包含軸承及密封件(未顯示)的傳統式旋轉貫穿裝置50安裝至該腔體,使得轉軸40在將密封件維持在轉軸40及反應腔5的周壁之間時,能夠繞著中心軸45轉動。轉軸40之頂端,即轉軸最靠近氣體分布裝置10的端部上具有接頭55。如下進一步敘述般,接頭55為一晶圓載具留置機構的範例,適於可拆卸地嚙合晶圓載具。在具體實施例中描述般,接頭55為大致為圓錐台元件,其頭部朝向該轉軸之上端部,並終止於平坦之上表面。圓錐台元件為具有圓錐之平截頭形狀。轉軸40連結至旋轉驅動機構60,例如電動馬達驅動,其配置為使轉軸40繞著中心軸45轉動。
加熱元件65安裝於該腔體中,並且於接頭55下方環繞轉軸40。反應腔5具有導向前腔體75之引導開口70,及用以關閉與打開引導開口70的門80。門80只有顯示於圖1,並且如所示般為可於實線所示位置及虛線80’位置間移動,其中於實線位置隔離了反應腔5內部及前腔體75。門80具備適當的控制及啟動機構,其用以將門80於打開位置及關閉位置間移動。具體地,門80可包括例如美國專利公開公報第 7,276,124號所示般於上行方向及下行方向移動的遮片,在此引述該公報揭露內容至本案內容作為參考。
該裝置亦包括複數個晶圓載具。於圖1所示之操作環境中,第一晶圓載具85位於反應腔5中一操作位置。而第二晶圓載具90位於前腔體75中。每一個晶圓載具包括本體95,其大致上為圓盤形狀並且具有中心軸(見圖2),本體95依中心軸對稱成形。於操作位置中,晶圓載具本體的中心軸與轉軸40的中心軸45一致。本體95可為一單一構件或是複數個構件之集合體。例如,如在此引述為本案內容參考之美國專利公開公報第20090155028號所示,該晶圓載具本體可包括轂,其限定了環繞中心軸的本體中小型區域,及限定其盤狀本體之剩餘部分的較大的部分。本體95理想上是以不污染製程且能承受製程中溫度變化的材料來形成。例如,盤狀體的該較大的部分可以用石墨、碳化矽、或是其他耐熱材料為主要或是唯一材料。本體95通常具有平坦的上表面100及底表面110,前後兩者互為平行延伸,且大致上垂直於該盤狀體之該中心軸。本體95亦可具有一個或複數個適於保持複數個晶圓的晶圓保持特徵。
操作上,如盤狀晶圓等的晶圓115,可以用藍寶石、碳化矽、或是其他結晶基板形成,而其放置於每一個晶圓載具的每一個容置腔120之中。一般來說,晶圓115所具有之厚度為遠小於其本身的主表面的尺寸。比方說一個直徑約2英寸(50公厘)的圓形晶圓,其厚度約為430微米(µm)或更小。如圖1所示,晶圓115具有朝上之上表面,因此該上表面為暴露於該晶圓載具的頂部。需注意的是在不同的實施例中,晶圓載具85承載不同數量的晶圓。例如一個實施例當中,晶圓載具85可適於保持6個晶圓。於另一實施例中,如圖2所示般,該晶圓載具保持12個晶圓。
在一般的MOCVD加工中,承載有晶圓的晶圓載具85為由前腔體75被負載到反應腔5中並被置放於如圖1所示的操作位置。於此狀況下,晶圓之上表面朝上行方向,朝向氣體分布裝置10。加熱元件65被啟動,而旋轉驅動機構60操作轉動轉軸40,因此晶圓載具85環繞軸45移動。一般來說,轉軸40以轉速可為約50-1500轉/分。加工氣體供給單元15、20及25被啟動用以透過起氣體分布裝置10來供給氣體。氣體朝下行方向流向晶圓載具85,並經過晶圓載具85之上表面100及晶圓115,並且往下行方向圍繞該晶圓載具的周邊至出口及排放系統50。因此,該晶圓載具之上表面及晶圓115的該上表面暴露於處理氣體中,該處理氣體包括由多種加工氣體供給單元所供給的多種氣體之混和物。最典型的情況是,該上表面之該加工氣體絕大多數由加工氣體供給單元(載氣)20所提供的載氣所組成。於典型的化學氣相沉積加工中,該載氣可為氮氣,而因此該晶圓載具之該上表面之處理氣體絕大多數由氮氣與部分量的反應氣體成分所組成。
加熱元件65傳送熱能至該晶圓載具85的該下表面110,主要以放射狀式熱傳導。傳導至該晶圓載具85之下表面的熱能往上行方向流經該晶圓載具之該本體95,流往該晶圓載具之該上表面100。往上經過該本體之熱能,亦往上通過往每個晶圓之該下表面的口孔,亦往上通過晶圓,乃至晶圓115的上表面。熱能為從晶圓載具85之上表面100、及晶圓之上表面釋出至處理腔體之較冷的元件,例如,該處理腔體的周壁,及氣體分布裝置10。熱能亦從晶圓載具85的上表面100及晶圓之上表面被傳送到流過這些表面的製程氣體。
於此實施例描述般,此系統包括數個設計用來決定每一個晶圓115表面的熱均一度之物件特徵。於此實施例中,溫度分布系統125可自溫度監視器130接收到可包括溫度及溫度監視位置資訊等之溫度資訊。此外,溫度分布系統125接收晶圓載具位置資訊,而在一實施例中該晶圓載具位置資訊可來自於旋轉驅動機構60。據此資訊,溫度分布系統125構築晶圓載具85上晶圓115的溫度分布。該溫度分布表示每一個該晶圓115之表面的溫度分布。
圖2及圖3詳細顯示晶圓載具200並參照為基座。每一個晶圓留置區為大致上為圓形的凹陷,或是容置腔205之型態,其從該上表面215沿著下行方向至本體210中。圖3為容置腔205的斷面圖(在圖2中,由一水平線及兩個角形箭頭線所界定者)。通常,圓形的形狀為相應於晶圓240的形狀。每一個晶圓載具200包括本體210,其實質上顯示出具有中心軸220之圓盤形狀。在操作位置中,晶圓載具本體210之中心軸220與轉軸40之中心軸(參考第1圖)一致。本體210可為單一構件或是複數個構件的組成物形式。每一個容置腔205具有底面225,其配置於上表面215之周圍部分之下方。每一個容置腔205亦具有周壁面230,周壁面230環繞底面225並且限定容置腔205的周圍。周壁面230由本體210之該上表面215,向下延伸至底面225。於變形實施例中,如圖3所具體描述般,周壁面230之至少在周圍的一部分為具有下方切除部,在該處,該壁為往內傾斜。因此,周壁面230形成與相對於底面225的尖角。於一實施例當中,形成於周壁面230與底面225之間的角度為80度。
在相關的實施例(未顯示)中,周壁面230的複數個部分具有變化的坡度層級。例如,在其中一個實施例中,周壁面230的該些部分之離晶圓中心軸220最遠者具有較尖銳角度。在另一相關的實施例中,如圖3所示,該容置腔底面225(即晶圓容置腔區域中的底板的上表面)具有立起(standoff)物件特徵,例如位於沿著每一個容置腔205周圍的特定位置的調整片235。調整片235將容置腔底面225的晶圓240舉起,因此使得氣流圍繞晶圓240之邊緣及其底表面之下方。於另一實施例當中,可使用緊套於容置腔205內之環件(緊位於周壁面230),來將晶圓240自容置腔底面225抬起,而環件可佔據調整片235(即取代調整片),而晶圓240的外部邊緣靠在環件上。
大致上晶圓留置處或是容置腔的形狀為圓形凹陷,且往下行方向延伸至晶圓載具本體中,如圖1至圖3所示。在複數個晶圓容置腔的情況下,其通常具有非同心的容置腔位置,而溫度分布(亦可稱作熱分布,見圖4),變化更大,其原因為氣體的流線路徑通過該晶圓載具及複數個晶圓區域,並且與晶圓加工中重要的向心力相關。例如,於高轉速盤狀反應器中,氣體流線以大致上切線方向往外螺旋。由某些態樣來看,如圖4所示,當氣體流線經過晶圓載具的暴露部分400時(例如晶圓之間的區域)時,暴露部分400的溫度相較氣體流線經過晶圓的部分來的高。通常暴露區域400會比晶圓載具的其他區域還要熱得許多,因熱流量流線會被導向因覆蓋(blanketing)效應造成的區域。於是,此氣體路徑因對流冷卻而創造一個溫度的切線梯度,其前緣(至晶圓的流體流線之入口)相對於後緣(流經晶圓之流體之出口)較熱。如圖4所示,此可能於晶圓表面上造成顯著的溫度不均,而降低了生產率。一般來說, 因覆蓋效應關係,晶圓表面的中央相較於晶圓表面的其他部分來的熱,正如因鄰近(proximity)效應(區域405),造成晶圓周圍的外側部分與晶圓容置腔接觸(旋轉時的向心力)。相對地,晶圓的周圍之最靠近晶圓載具之轉動軸線之內側部分則相對較冷(區域410)。
本案為一種適用於MOCVD加工期間維持較均勻溫度分布之改良構造,因而終究能夠減輕溫度不均並且增加生產良率,根據本發明實施例之晶圓載具配置為收容複數個個別的頂板,每一個頂板的尺寸及形狀皆為可覆蓋晶圓容置腔之間的該晶圓載具的上表面之對應部分。如圖5A所示之一實施例之斷面圖,晶圓載具容置腔500包含底板505及頂板510。如上所述,頂板510及晶圓515通常在同一水平面且與晶圓515直接互相接觸(如第5A、5C、及5E所示),且晶圓515與容置腔底表面525直接接觸。
於一相關的實施例中,晶圓515位在可置於複數個調整片520的上表面,複數個調整片520位於沿著每一個容置腔500周圍的特定位置。此配置於第5C圖至圖5E中示出,調整片520可包括為用以將晶圓515自底板505之容置腔底面525舉起,進而使一些氣體氣流環繞在晶圓515之邊緣及其底表面之下方。在相關的構造中,底板505及頂板510之間的距離,與底板505與晶圓515之間的距離相等。
如圖5E所示,例如,可形成相似尺寸的複數個調整片520,自底板505之延伸處提供頂板510及底板505之容置腔底表面525之間之相同或是相似間隙。如此組態構成之實施例,可於晶圓載具本體區域中未被晶圓覆蓋的部分(即介於晶圓之間空間區域的下方)大致上維持相似的熱流量,如同那些被晶圓覆蓋的區域(即晶圓容置腔)。
由某些態樣來看,環狀階可佔據調整片520的位置(即代替調整片520),以使晶圓515周圍的整個外圍置於該環狀階上。於其他的實施例中,如圖5B及圖5D所示,底板505之一部分可以向上延伸並且佔據晶圓515周圍的一部分,其中頂板510大致上與晶圓515為同一水平面,但可不直接與晶圓515接觸。底板505之向上延伸部分位於頂板510及晶圓515之間。於相關的組態構成中,底板505與頂板510之間的距離,及底板505與晶圓515之間的距離可大致上保持相同。
為了在晶圓515表面之斷面上創造更為均勻溫度梯度,則可以建構一晶圓載具,其中頂板510佔據晶圓載具的暴露部分(即未被晶圓所佔部分,見圖4及第6圖)。於各種不同的實施例中,頂板510可由與晶圓515相同材料來構成,與晶圓515具有相同之厚度,及距離底板505相同之距離。例如,若晶圓515由藍寶石構成,則頂板510將亦由藍寶石構成。若晶圓515由矽(Si)構成,則頂板510亦由矽構成。相似地,若晶圓515厚度為500微米,則頂板510的厚度亦為500微米。此外,若晶圓515為離底板505距離50微米,則頂板510亦離底板505距離50微米(如圖5E所示),或是若晶圓515直接接觸底板505,則頂板510將亦直接接觸底板505(如圖5A及圖5B所示)。關於此些實施例之底板505部分,例如,若晶圓515及頂板510由矽或藍寶石構成,則底板505可大致上由固態碳化矽或鍍有碳化矽之石墨構成。於各種不同的實施例中,該頂板由陶瓷材料形成,而陶瓷材料可選自:石英、固態碳化矽、氮化鋁、氮化硼、碳化硼、氧化鋁、或是其他耐熱材料。頂板用之陶瓷材料之選用,可以根據頂板之厚度、晶圓載具之底板之幾何形狀、及容置腔幾何形狀等之結合來進行,以便產出熱絕緣效果,此熱絕緣效果與位於其對應之容置腔中的晶圓之熱絕緣效果相同,因而能再操作時於晶圓載具之表面上,能夠產生均勻熱覆蓋效果。例如,於另一實施例中,包含矽之晶圓可使用於一底板,該底板具有:固態碳化矽或是鍍有碳化矽之石墨、及頂板,包括碳化矽或是氮化鋁或是石英、氮化硼、碳化硼、氧化鋁、或是其他耐熱材料。於另一實施例中,頂板510可由來自具有與晶圓515不同熱性質之材料構成。此熱性質之不同處可基於輻射率、熱膨脹係數(CTE)、及/或熱傳導率等。
於圖5A所示的實施例中,頂板510之設置及位置均為用以形成每一個晶圓容置腔的周壁。該些周壁在加工期間將保持住晶圓。圖5B及圖5D描繪其他的實施例,其中用於每一個晶圓容置腔之周壁506藉由底板505之延伸而形成。圖6B為此類構造之透視圖。於此類實施例中,頂板或是佔據晶圓載具暴露區域(即未被晶圓占去部分)之頂板510,及底板505之延伸處之周壁506將於加工期間保持住晶圓。因此,於斷面圖圖5B及圖5D當中,底板505延伸之周壁506位於晶圓515及頂板510之間。圖6C中顯示的此類結構的示範實施例中,頂板610由複數個節段615構成。
於變形實施例中,頂板600可由一單獨構件構成,該單獨構件覆蓋住晶圓載具的暴露區域,惟保留晶圓用的洞605,如圖6A所示。於此類實施例中,頂板600環繞每一個晶圓,及晶圓載具的中央及外部周圍。此外,緊固定裝置可用於連結頂板600至底板。例如如圖6A所示,頂板600可使用線釘610連結至底板。於一些例子中,線釘610可由鉬、或其他適合的金屬或是合金構成。更佳的是使用有助於移除頂板之釘或其他緊固定裝置。
於另一實施例中,頂板係使用燒結、高溫黏著、或其他永久性接合方式固定於底板。
於其他實施例中,頂板600可由複數個構件構成,其中的每一個都具有對應晶圓載具之暴露區域之形狀,如圖6B所示。例如,頂板節段615可環繞每一個晶圓之圓周,及晶圓載具之外部周圍及中央,但不與其他頂板節段615實體接觸。於各種不同的實施例中,頂板600或是複數個頂板節段615可由與晶圓同樣材料構成,頂板600可具與晶圓同樣厚度,且自底板為同樣距離,以便降低溫度不均。於相關實施例中,頂板與晶圓之材料不同,而它們要產生一個相同熱絕緣效應之相對厚度也就不同。同樣地,於另一個相關類型的實施例中,該底板及晶圓之間的間隙處理,與該底板及該頂板之間的間隙處理,其兩者之間的不同,可藉由對該頂板與晶圓之間的材料、厚度、或此兩個特性去做適當地變化,以便能夠於晶圓載具之表面上提供均勻的熱絕緣特性。
如圖7A至圖7C所示(晶圓載具容置腔700之斷面圖),晶圓載具之實施例可包含底板705及頂板710,其中晶圓715並不位於置於包括底板705之調整片或是環狀結構等的底板705的任何位置上。相反地,晶圓715可位於直接置於容置腔700之容置腔底面725上,而容置腔底面725造於頂板710之中,如圖7A所示。於此結構中,晶圓容置腔700之周壁706由頂板710所提供。周壁706可於加工中保持晶圓715。於相關的實施例中,如圖7B所示,晶圓715可位在置於調整片720之上表面上,調整片720由頂板710往外延伸。調整片720可位於沿著每一個容置腔700周圍的特定位置上,其中頂板710及晶圓715大致上於同一水平面中,且互相直接接觸。調整片720將晶圓715由底板705的容置腔底面730舉起,進而使一些氣體氣流環繞於晶圓715的邊緣及其底表面之下方(圖7B)。
於相關的實施例中,近似尺寸的複數個調整片720,可自頂板710之延伸處形成,以使未被晶圓715覆蓋之區域中,頂板710與底板705底面726之間之間隙,與被晶圓715覆蓋區域中(圖7C),頂板710中所造之容置腔底面725之間隙為相同或接近。如此組態構成之實施例,將晶圓載具中未被晶圓覆蓋的複數個區域(即晶圓之間的空間中區域下)維持在近似的熱流量,如同其於晶圓下方之區域(即晶圓容置腔)。如上所述般,頂板700可由單一構件材料形成,其覆蓋晶圓載具之暴露區域,惟保留用於晶圓715之洞(見圖6A)。於其他實施例中,頂板700可複數個構件構成,每一個構件具有對應晶圓載具之暴露區域的形狀(見圖6B)。
MOCVD加工中所得的切線溫度梯度分布圖可指出晶圓載具的暴露區域上,及晶圓表面上的溫度不均的存在及程度。例如如圖8所示,切線溫度分布圖指出氮化鎵(GaN)晶圓之表面上,及晶圓載具(右圖;標準載具)的暴露區域上的顯著溫度變化。然而,使用在此敘述的包含頂板及底板構造的晶圓載具,能夠顯著地縮減溫度變化(左圖;含蓋載具)。使用具有多量子井(MQW)之晶圓亦得到類似的縮減溫度變化,如圖9A所示的切線溫度分布圖,及圖9B的對應表所整理的資料所示。於一些實施例中,使用此述包含頂板及底板結構之晶圓載具,在以約2.0、2.5、3.0、3.5、4.0、4.5、5.0、5.5、6.0、6.5、7.0、7.5、8.0、8.5、9.0、9.5或10的係數來進行CVD加工期間,可以減輕溫度變化。
上述實施例為示意性,而非用於限定內容。其他的變化亦被視為落入本案申請專利範圍之中。除此之外,雖然曾參照特定實施例來敘述本發明的幾個態樣了,然而熟悉本技術領域者應可理解到:在不脫離本發明之申請專利範圍所定義之範疇,可以對其形式及詳細內容進行修改的。本領域一般技術人員可理解到,本發明可包含比上述個別的實施例所例示者還少的特徵物件。本案所述的複數個實施例並不意謂著表現方式之耗盡,在其中本發明之各種不同的物件是可加以結合的。因此,本案實施例並非排他性地排除物件特徵之互相結合,更確切地說,本發明可包含由不同之個別的實施例中所選的不同之個別物件特徵之結合,並非僅限定於附錄之申請專利範圍而已。
在上述被併入列為參考文件是限定於:所併入之主體標的不與本揭示明確相反者。任何上述併入列為參照之文件更進一步地限定於:在該文件中所包括之申請專利範圍皆沒有在本發明申請專利範圍中被列入參照。然而,此等文件中之任何的申請專利範圍,除非有特別除外敘述之外,否則彼等仍併入此處所揭示之一部分。任何以上被入列為參考文件為更進一步地限定於:除非有特別除外敘述之外,否則在該等文件中所提供之定義不併入參照。
5‧‧‧反應腔
10‧‧‧氣體分布裝置
15、20、25‧‧‧加工氣體供給單元
30‧‧‧冷卻系統
35‧‧‧排放系統
40‧‧‧轉軸
45‧‧‧中央軸
50‧‧‧旋轉貫穿裝置
55‧‧‧接頭
60‧‧‧旋轉驅動機構
65‧‧‧加熱元件
70‧‧‧引導開口
75‧‧‧前腔體
80、80'‧‧‧門
85‧‧‧第一晶圓載具
90‧‧‧第二晶圓載具
95‧‧‧本體
100‧‧‧上表面
110‧‧‧底表面
115‧‧‧晶圓
120‧‧‧晶圓容置腔
125‧‧‧溫度分布系統
130‧‧‧溫度監視器
200‧‧‧晶圓載具
205‧‧‧容置腔
210‧‧‧本體
215‧‧‧上表面
220‧‧‧中心軸
225‧‧‧底面
230‧‧‧周壁面
235‧‧‧調整片
240‧‧‧晶圓
400‧‧‧暴露部分
405‧‧‧區域
410‧‧‧區域
500‧‧‧容置腔
505‧‧‧底板
506‧‧‧周壁
510‧‧‧頂板
515‧‧‧晶圓
520‧‧‧調整片
525‧‧‧容置腔底面
600‧‧‧頂板
605‧‧‧洞
610‧‧‧線釘
615‧‧‧頂板節段
700‧‧‧容置腔
705‧‧‧底板
706‧‧‧周壁
710‧‧‧頂板
715‧‧‧晶圓
720‧‧‧調整片
725‧‧‧容置腔底面
726‧‧‧(底板)底面
730‧‧‧容置腔底面
本發明能夠由考慮以下所詳細敘述之各種不同的本發明之實施例以及有關的附加圖示,而得以更完全地理解。其中: 圖1為顯示根據本發明一實施例之化學氣相沉積裝置。 圖2為顯示根據本發明之使用MOCVD系統的晶圓載具的透視圖。 圖3為顯示依據本發明一實施例之用於MOCVD系統中的晶圓容置腔,以圖2中顯示之線條為斷面線之斷面圖。 圖4為根據本發明之一實施例之溫度分布圖。 圖5A至圖5E為根據本發明一實施例的晶圓載具之包含頂板及底板之容置腔的斷面圖。 圖6A至圖6C為根據本發明一實施例的晶圓載具之頂板及頂板節段之圖式。 圖7A至圖7C為根據本發明一實施例的包含頂板及底板之晶圓載具之容置腔的斷面圖。 圖8為顯示並比較根據本發明之一實施例的使用氮化鎵(GaN)所得的兩個溫度梯度分布圖。 圖9A及圖9B為根據本發明之使用多重量子井(MQW)之晶圓所獲得的兩個溫度梯度分布圖。 本發明可以修改成各種不同的修飾但及替代形式,其特定形態為已藉由顯示於圖式之實例來呈現,而且彼等將詳述於以下之說明中。然而,應理解的是,申請人沒有意圖將本發明限制於該所敘述之特定的實施例。相反地,申請人有意地使全部的修飾例、均等品、替代物皆落入由附錄的申請專利範圍所界定之本發明精神及範圍之內。

Claims (18)

  1. 一種晶圓載具,其係用於一藉由化學氣相沉積(CVD)在一個或更多個晶圓上成長磊晶層之系統中,該晶圓載具包含:一頂板,其係由複數個構件構成,其中由兩個或更多個該複數個構件定義複數個形狀,該複數個形狀每一者對應晶圓載具之不在頂板下方之暴露區域,該複數個構件每一者不與任何其他的複數個構件直接接觸,及一底板,其中該底板包括配置成用以支撐複數個晶圓中的每一個晶圓的表面部分,且其中該頂板為可拆卸地固定於該底板中未被該複數個晶圓覆蓋的區域,藉此使得該底板、該頂板、該複數個晶圓之相對配置,相較於缺少頂板之配置而言,能夠在CVD加工中,於該複數個晶圓中的每一個晶圓之表面上產生更均勻的熱絕緣特性。
  2. 如請求項1所記載之晶圓載具,其中該頂板為建構並配置成在該底板之表面上提供與該複數個晶圓相等的熱絕緣特性。
  3. 如請求項1所記載之晶圓載具,其中該頂板為由具有與該複數個晶圓不同的熱特性之材料構成。
  4. 如請求項1所記載之晶圓載具,其中該頂板為具有與該複數個晶圓不同之厚度。
  5. 如請求項1所記載之晶圓載具,其中該頂板為配置其和該底板之間的垂直間隙與複數個晶圓和該底板之間的垂直間隙不同。
  6. 如請求項1所記載之晶圓載具,其中該頂板包含類似於該複數個晶圓之材料。
  7. 如請求項1所記載之晶圓載具,其中該頂板包含至少一種陶瓷材料,該陶瓷材料為選自於由石英、碳化矽、固態碳化矽、氮化鋁、氮化硼、碳化硼、氧化鋁所組成之群組。
  8. 如請求項1所記載之晶圓載具,其中該頂板及該複數個晶圓為位於共同水平面。
  9. 如請求項1所記載之晶圓載具,其中該頂板及該複數個晶圓位於距離該底板之垂直距離為相同之處。
  10. 如請求項1所記載之晶圓載具,其中該頂板及該複數個晶圓為置於從該底板延伸出的調整片上,以使得在該複數個晶圓、與位於該複數個晶圓的每一個晶圓下方的該底板之上表面間的間隙距離,為等於在該頂板及該底板之上表面間的間隙距離。
  11. 如請求項1所記載之晶圓載具,其中該頂板及該複數個晶圓為在同一個水平面,且其中該頂板及該複數個晶圓為直接接觸。
  12. 如請求項1所記載之晶圓載具,其中該頂板及該複數個晶圓為位於共同水平面上,且該頂板與該複數個晶圓中的每一個晶圓為配置成彼此相互不接觸。
  13. 如請求項1所記載之晶圓載具,其中該頂板包含複數個單獨的片段,其中每一個片段為建構成適應於於該頂板上在該複數個晶圓間的對應區域。
  14. 如請求項1所記載之晶圓載具,其中該頂板及該底板係使用複數個機械式固定件而固定在一起。
  15. 如請求項1所記載之晶圓載具,其中該頂板及該底板為配置成整體形成一晶圓容置腔,其界定每一個晶圓之周圍的周壁。
  16. 一種溫度不均勻性之減少方法,用以減緩因溫度不均而影響在化學氣相沉積(CVD)工具中所形成的器件之特性,該方法包含:形成一底板,其包括設置成用以支撐複數個晶圓中的每一個晶圓的表面部分; 形成一頂板,其具有複數個構件,其中由兩個或更多個該複數個構件定義複數個形狀,該複數個形狀每一者對應不在頂板下方之晶圓載具之暴露區域,該複數個構件每一者不與任何其他的複數個構件直接接觸;可拆卸地固定該頂板至該底板,以便產生該底板、該頂板、該複數個晶圓之相對配置,且相較於缺少頂板之配置而言加工該複數個晶圓中的每一個晶圓之表面在CVD加工中的熱絕緣特性,更為均勻。
  17. 如請求項16所記載之方法,其中可拆卸地固定該頂板至底板之步驟為包括使用複數個釘件來固定該頂板及該底板。
  18. 如請求項16所記載之方法,其中形成該頂板之步驟為包括形成複數個個別節段,該複數個個別節段中之每一個皆被建構成符合介於該複數個晶圓間之與該頂板的對應區域。
TW103145394A 2013-12-26 2014-12-25 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具 TWI650832B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361920943P 2013-12-26 2013-12-26
US61/920,943 2013-12-26

Publications (2)

Publication Number Publication Date
TW201530690A TW201530690A (zh) 2015-08-01
TWI650832B true TWI650832B (zh) 2019-02-11

Family

ID=53479714

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103145394A TWI650832B (zh) 2013-12-26 2014-12-25 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具

Country Status (3)

Country Link
US (2) US10134617B2 (zh)
TW (1) TWI650832B (zh)
WO (1) WO2015100437A1 (zh)

Families Citing this family (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
TWI650832B (zh) * 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
USD793971S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 14-pocket configuration
USD793972S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 31-pocket configuration
USD778247S1 (en) * 2015-04-16 2017-02-07 Veeco Instruments Inc. Wafer carrier with a multi-pocket configuration
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170162411A1 (en) * 2015-12-03 2017-06-08 Nanya Technology Corporation Tray
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN107435164A (zh) * 2016-05-25 2017-12-05 上海新昇半导体科技有限公司 外延生长设备
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6976725B2 (ja) 2016-06-07 2021-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated ウエハ均一性のための輪郭ポケット及びハイブリッドサセプタ
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
DE102016214445A1 (de) * 2016-08-04 2018-02-08 Meyer Burger (Germany) Ag Anpassungsvorrichtung für Substratträger
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
USD860146S1 (en) * 2017-11-30 2019-09-17 Veeco Instruments Inc. Wafer carrier with a 33-pocket configuration
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD858469S1 (en) 2018-03-26 2019-09-03 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD860147S1 (en) 2018-03-26 2019-09-17 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
US20190295880A1 (en) * 2018-03-26 2019-09-26 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD854506S1 (en) 2018-03-26 2019-07-23 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD866491S1 (en) 2018-03-26 2019-11-12 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD863239S1 (en) 2018-03-26 2019-10-15 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102535194B1 (ko) * 2018-04-03 2023-05-22 주성엔지니어링(주) 기판처리장치
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
DE102018114208A1 (de) 2018-06-14 2019-12-19 Aixtron Se Abdeckplatte zur Abdeckung der zur Prozesskammer weisenden Seite eines Suszeptors einer Vorrichtung zum Abscheiden von SiC-Schichten
DE102019114249A1 (de) * 2018-06-19 2019-12-19 Aixtron Se Anordnung zum Messen der Oberflächentemperatur eines Suszeptors in einem CVD-Reaktor
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US20200255941A1 (en) * 2019-02-11 2020-08-13 Kennametal Inc. Supports for chemical vapor deposition coating applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
KR20210056843A (ko) * 2019-11-11 2021-05-20 주성엔지니어링(주) 기판처리장치
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113201727B (zh) * 2021-04-28 2023-02-28 錼创显示科技股份有限公司 半导体晶圆承载结构及有机金属化学气相沉积装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230060609A1 (en) * 2021-08-31 2023-03-02 Veeco Instruments Inc. Wafer carrier assembly with pedestal and cover restraint arrangements that control thermal gaps
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114164414B (zh) * 2021-12-17 2022-08-23 北京沁圆半导体设备有限公司 一种化学气相沉积装置的反应腔室及化学气相沉积装置
WO2023220210A1 (en) * 2022-05-13 2023-11-16 Lam Research Corporation Carrier ring with tabs
WO2024064461A1 (en) * 2022-09-23 2024-03-28 Veeco Instruments Inc. Wafer carrier assembly with improved temperature uniformity

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100854974B1 (ko) * 2007-04-25 2008-08-28 (주)리드 기판 캐리어 및 그것을 사용하는 발광다이오드 제조를 위한장치
US20120156374A1 (en) * 2010-12-15 2012-06-21 Veeco Instruments Inc. Sectional wafer carrier

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3895967A (en) 1973-10-30 1975-07-22 Gen Electric Semiconductor device production
JPS58128724A (ja) 1982-01-27 1983-08-01 Hitachi Ltd ウエハ反転装置
US5242501A (en) 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
JPH04110466A (ja) 1990-08-31 1992-04-10 Oki Electric Ind Co Ltd ウエハホルダー
US5152842A (en) 1991-12-05 1992-10-06 Rohm Co., Ltd. Reactor for epitaxial growth
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
FR2746115B1 (fr) 1996-03-15 1998-05-22 Support de substrats pour installation d'evaporation
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
JP3887052B2 (ja) 1996-12-13 2007-02-28 東洋炭素株式会社 気相成長用サセプター
JP3923576B2 (ja) 1996-12-13 2007-06-06 東洋炭素株式会社 気相成長用サセプター
JP2001510640A (ja) 1997-10-03 2001-07-31 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体サブストレートのためのホルダ及びこのようなホルダを使用して半導体装置を製造する方法
US6287385B1 (en) 1999-10-29 2001-09-11 The Boc Group, Inc. Spring clip for sensitive substrates
US6436796B1 (en) 2000-01-31 2002-08-20 Mattson Technology, Inc. Systems and methods for epitaxial processing of a semiconductor substrate
US6666756B1 (en) 2000-03-31 2003-12-23 Lam Research Corporation Wafer carrier head assembly
US6492625B1 (en) 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
US6506252B2 (en) 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
ITMI20020306A1 (it) 2002-02-15 2003-08-18 Lpe Spa Suscettore dotato di rientranze e reattore epitassiale che utilizza lo stesso
US7122844B2 (en) 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
JP4110466B2 (ja) 2002-09-11 2008-07-02 東洋紡績株式会社 エアバッグ用高密度織物
JP2004128271A (ja) 2002-10-03 2004-04-22 Toyo Tanso Kk サセプタ
DE10261362B8 (de) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US8366830B2 (en) 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
US20050011459A1 (en) 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
US7666323B2 (en) * 2004-06-09 2010-02-23 Veeco Instruments Inc. System and method for increasing the emissivity of a material
JP4878109B2 (ja) 2004-08-24 2012-02-15 株式会社アルバック 基板移載システムおよび基板移載方法
US7101272B2 (en) 2005-01-15 2006-09-05 Applied Materials, Inc. Carrier head for thermal drift compensation
US8603248B2 (en) 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
US8092599B2 (en) 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
KR101405299B1 (ko) 2007-10-10 2014-06-11 주성엔지니어링(주) 기판 지지대 및 이를 구비하는 박막 증착 장치
KR20090038606A (ko) 2007-10-16 2009-04-21 엘지이노텍 주식회사 서셉터 및 이를 이용한 반도체 제조방법
US8021487B2 (en) 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
JP5156446B2 (ja) 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
US8093696B2 (en) 2008-05-16 2012-01-10 Qimonda Ag Semiconductor device
EP2562291A1 (en) 2008-08-29 2013-02-27 Veeco Instruments Inc. Wafer carrier with varying thermal resistance
JP5280964B2 (ja) 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
US8367477B2 (en) 2009-03-13 2013-02-05 Wen-Cheng Chien Electronic device package and method for forming the same
US20110290175A1 (en) * 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
US20110049779A1 (en) 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
US8486726B2 (en) 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
US9230846B2 (en) 2010-06-07 2016-01-05 Veeco Instruments, Inc. Multi-wafer rotating disc reactor with inertial planetary drive
US8535445B2 (en) 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
CN103502508B (zh) * 2010-12-30 2016-04-27 维易科仪器公司 使用承载器扩展的晶圆加工
KR101685150B1 (ko) 2011-01-14 2016-12-09 주식회사 원익아이피에스 박막 증착 장치 및 이를 포함한 기판 처리 시스템
US20120234229A1 (en) 2011-03-16 2012-09-20 Applied Materials, Inc. Substrate support assembly for thin film deposition systems
US20120272892A1 (en) 2011-04-07 2012-11-01 Veeco Instruments Inc. Metal-Organic Vapor Phase Epitaxy System and Process
US8518753B2 (en) 2011-11-15 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Assembly method for three dimensional integrated circuit
CN104040710B (zh) 2012-01-06 2017-11-28 诺发系统公司 用于均匀传热的自适应传热方法和系统
CN103074606A (zh) 2012-02-22 2013-05-01 光达光电设备科技(嘉兴)有限公司 石墨盘、具有上述石墨盘的反应腔室和对衬底的加热方法
KR102043378B1 (ko) 2012-10-22 2019-11-12 삼성전자주식회사 캐비티를 갖는 웨이퍼 캐리어
US9273413B2 (en) * 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
TWI609991B (zh) * 2013-06-05 2018-01-01 維克儀器公司 具有熱一致性改善特色的晶圓舟盒
TWI650832B (zh) * 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100854974B1 (ko) * 2007-04-25 2008-08-28 (주)리드 기판 캐리어 및 그것을 사용하는 발광다이오드 제조를 위한장치
US20120156374A1 (en) * 2010-12-15 2012-06-21 Veeco Instruments Inc. Sectional wafer carrier

Also Published As

Publication number Publication date
WO2015100437A1 (en) 2015-07-02
TW201530690A (zh) 2015-08-01
US20190157125A1 (en) 2019-05-23
US10134617B2 (en) 2018-11-20
US20150187620A1 (en) 2015-07-02

Similar Documents

Publication Publication Date Title
TWI650832B (zh) 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
TWI619843B (zh) 在化學氣相沉積系統內具有供改善加熱一致性之設計的晶圓舟盒
TWI654666B (zh) 用於化學氣相沉積系統之具有複合半徑容置腔的晶圓載具
US10316412B2 (en) Wafter carrier for chemical vapor deposition systems
TWI471973B (zh) 具有斜邊之晶圓載體
US20170121847A1 (en) Wafer carrier having thermal uniformity-enhancing features
US8562746B2 (en) Sectional wafer carrier
TWI488258B (zh) 增強之晶圓載體
JP5873491B2 (ja) Cvd反応器用の排気システム
KR20160003441U (ko) 31 포켓 구성을 갖는 웨이퍼 캐리어
KR20160003442U (ko) 14 포켓 구성을 갖는 웨이퍼 캐리어
KR20160003714U (ko) 멀티 포켓 구성을 갖는 웨이퍼 캐리어
TWM567957U (zh) 晶圓載體
KR20190001371U (ko) 33-포켓 구성을 갖는 웨이퍼 캐리어
CN205335232U (zh) 晶片载体
TWM538237U (zh) 具有31個容置區的排列組態之晶圓載具
TWM571587U (zh) 晶圓載體

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees