CN104040710B - 用于均匀传热的自适应传热方法和系统 - Google Patents

用于均匀传热的自适应传热方法和系统 Download PDF

Info

Publication number
CN104040710B
CN104040710B CN201280066240.0A CN201280066240A CN104040710B CN 104040710 B CN104040710 B CN 104040710B CN 201280066240 A CN201280066240 A CN 201280066240A CN 104040710 B CN104040710 B CN 104040710B
Authority
CN
China
Prior art keywords
workpiece
heat transfer
towards
adaptive
workpiece surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280066240.0A
Other languages
English (en)
Other versions
CN104040710A (zh
Inventor
科尔斯·格瓦达鲁
克里希纳·施里尼瓦森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN104040710A publication Critical patent/CN104040710A/zh
Application granted granted Critical
Publication of CN104040710B publication Critical patent/CN104040710B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F3/00Plate-like or laminated elements; Assemblies of plate-like or laminated elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F13/00Arrangements for modifying heat-transfer, e.g. increasing, decreasing
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F27/00Control arrangements or safety devices specially adapted for heat-exchange or heat-transfer apparatus

Abstract

本发明提供了一种自适应传热方法和系统,用于使往来于多种工件(例如,在制造半导体器件、显示器、发光二极管和光伏板期间采用的工件)的传热变得均匀。这种自适应方法允许减少由工件的变形导致的传热变化。根据工件的类型、处理条件和其他变量的不同,工件的变形会发生变化。这种变形难以预测并且会是随机的。提供的系统可以改变它们的构造以顾及每个处理的新工件的构造。另外,在传热期间可以连续或离散地进行调节。可以利用这种灵活性来提高传热均匀性,获得均匀的温度分布,减少变形,并且用于多种其他目的。

Description

用于均匀传热的自适应传热方法和系统
相关专利申请的交叉引用
本申请根据35U.S.C.§119(e)主张于2012年1月6日提交的美国临时申请序列号61/584,130的优先权权益,该申请通过引用的方式全部且为了所有目的并入本文中。
背景技术
半导体和其他薄膜技术通常采用加热的或冷却的基座以在处理工件之前\期间或之后控制工件的温度。例如,可以在处理室中使用加热的基座以在工件上沉积材料层期间、在从工件表面去除材料期间或者在进行其他处理操作期间加热工件并将其维持在预定温度。加热的或冷却的基座也可以设置在装载锁中,以便在工件要转移进出处理环境时加热或冷却工件。这些基座可以是由铝或陶瓷材料制成的并且形成为一个单体件。工件被支撑在该基座的表面上以实现传热(即,根据工件和基座的相对温度加热或冷却)。基座表面与工件之间的间隙为这种传热提供了一些控制,使得较高的传热速率对应于较小的间隙,而较低的传热速率对应于较大的间隙(即,成反比关系)。
通常,工件(特别是大而薄的晶片(例如,450mm的晶片))在引入到处理系统中时经过变形并且需要均匀地加热或冷却。这些变形的一些普通实例包括:碗形,此时工件具有凹形形状,并且其中心部分相对于由其边缘所限定的平面向下延伸;和圆顶形,此时工件具有凸形形状,并且其中心部分相对于由其边缘所限定的平面向上延伸。变形可以同样具有多种非对称形状。由于形成工件的多种材料、沉积在工件表面上的压缩膜或拉伸膜之间的热膨胀系数的差异以及其他因素,所以会发生变形。通常,同一批次的工件具有不同类型和水平的变形。这些变形难以预料并且通常本质上是随机的。此外,当工件已经在系统中时,在传热期间会发生一些变形。这种“在处理中”的变形可能是由于工件温度的变化、额外材料的沉积以及其他原因。如此,难以并且一般无法具有总是与变形的工件共形(conform)的预设传热表面。一般来讲,因为变形的这种相对无法预测的属性,所以使用具有平坦表面的基座。尽管提出了具有预定的弯曲表面的基座,但是它们的应用只是局限于非常具体的变形类型。
当变形的工件定位在基座的平坦表面上时,该表面与工件之间的间隙会在整个表面上发生变化。这种变化会造成在整个表面上不均匀的传热,从而会导致工件不均匀的温度分布。这种温度变化会干扰处理,并且导致例如在整个表面上不均匀的沉积或材料移除率。另外,这种温度变化会造成进一步变形,并且在某些情况下会对工件造成永久损伤。例如,当部分硅晶格彼此相对发生位移时,过度变形会在硅结构中造成滑移位错。这种缺陷会降低器件的电气性能。在一些情况下,工件甚至会在设备内部断裂,这导致停工时间延长以及导致昂贵的清理费用。
发明内容
本发明提供了一种自适应传热方法和系统,用于使往来于多种工件(例如,在制造半导体器件、显示器、发光二极管和光伏板期间采用的工件)均匀传热。这种自适应方法允许减少由工件的变形导致的传热变化。
根据工件的类型、处理条件和其他变量的不同,工件的变形会发生变化。这种变形难以预测并且会是随机的。
提供的系统可以改变它们的构造以顾及每个处理的新工件的变形。另外,在传热期间可以连续或离散地进行调节。可以利用这种灵活性来提高传热均匀性,获得均匀的温度分布,减少变形,并且用于多种其他目的。在公开的实施方式中,系统可以包括用于测量工件的温度分布和/或工件与系统的传热表面之间的间隙变化的传感器。然后可以根据这些传感器的响应来调节这些表面的位置和/或形状。
在某些实施方式中,提供了一种传热系统,该传热系统用于提供往来于(to andfrom)显示出变形的工件的基本上均匀的传热。传热系统可以包括第一和第二传热部分。第一传热部分可以具有第一面向工件表面和第成组的最小接触面积支架,这些支架从所述第一面向工件表面延伸以支撑显示出变形的工件。所述第一工件被支撑在所述第一面向工件表面上方的预定距离处。第二传热部分具有第二面向工件表面。第二面向工件表面可相对于第一面向工件表面运动以提供均匀的传热。在显示出变形的工件与第一面向工件表面之间以及在显示出变形的工件与第二面向工件表面之间提供均匀的传热。均匀的传热有助于在显示出变形的工件的整个面积上维持基本上均匀的温度分布。
在某些实施方式中,第二面向工件表面可相对于第一面向工件表面移动以便与显示出变形的工件的非平面形状共形。第二面向工件表面可以在加热或冷却期间相对于第一面向工件表面运动,直到第二面向工件表面与显示出变形的工件之间的平均间隙和在第一面向工件表面与显示出变形的工件之间的平均间隙基本上相同。
在某些实施方式中,传热系统还包括系统控制器,该系统控制器用于在加热或冷却显示出变形的工件的同时控制第二面向工件表面相对于第一面向工件表面的运动。传热系统还可以包括设置在第二面向工件表面和/或第一面向工件表面中的一个或多个传感器以提供输入到控制系统。这些传感器可以被配置为感测一个或多个参数,例如,显示出变形的工件的温度分布、第二面向工件表面与显示出变形的工件之间的间隙以及第一面向工件表面与显示出变形的工件之间的间隙。传热系统还可以包括由系统控制器控制的升降机构,以相对于第一面向工件表面移动第二面向工件表面。
在某些实施方式中,第一面向工件表面具有第一半径的圆形(例如,圆环)形状。在这些实施方式中,传感器可以包括:第一传感器,其位于第一面向工件表面的中心以及第一半径的一端;第二传感器,其位于第一半径的另一端;和第三传感器,其沿着第一半径定位在第一传感器与第二传感器之间。第一面向工件表面的圆形形状还可以具有相对于第一半径成角度地定位的第二半径。可以沿着该第二半径定位另一个第三传感器。在某些实施方式中,第二半径与第一半径基本上垂直。
第二面向工件表面可定位在第一面向工件表面上方并与其相对。在这些实施方式中,显示出变形的工件定位在第一面向工件表面与第二面向工件表面之间。第一传热部分可以是第一基座的一部分,而第二传热部分可以是第二基座的一部分。第一和第二基座设置在处理室或装载锁中。在其他实施方式中,第一传热部分可以是第一基座的一部分,而第二传热部分可以是喷头的一部分。喷头可以具有多个开口,这些开口用于在对显示出变形的工件进行处理的期间供应前体。
在某些实施方式中,第一和第二传热部分形成相同的基座。在这些实施方式中,第二传热部分还可以包括成组的最小接触面积支架,这些支架从第二面向工件表面延伸,以将工件支撑在第二面向工件表面上方预定距离的位置处。第一面向工件表面的表面积可以与第二面向工件表面的表面积基本上相同。在具体实施方式中,第一面向工件表面具有第一直径的圆形形状。第二工件具有碟片形状,其内径与第一面向工件表面的圆形形状的第一直径基本上相同。传热系统还可以包括具有第三面向工件表面的第三传热部分。第三面向工件表面可以具有碟片形状,其内径与第二面向工件表面的外径基本上相同。第三面向工件表面可独立于第二面向工件表面相对于第一面向工件表面运动以提供均匀的传热。在这些实施方式中,两个或更多个传热部分设置成嵌套的圆筒体(cylinders)。
在其他实施方式中,第一和第二面向工件表面具有圆扇形形状。这些表面与一个或多个额外的传热部分的一个或多个额外的面向工件表面一起形成圆。一个或多个额外的面向工件表面可以相对于第一面向工件表面运动以提供均匀的传热。在同一个或其他的实施方式中,第一面向工件表面、第二面向工件表面和一个或多个额外的面向工件表面可相对于圆的中心枢转。
还提供了一种用于提供均匀传热到显示出变形的工件的传热基座。传热基座可以包括:底部支架以及含连续的面向工件表面的可弯曲传热板。连续的面向工件表面被配置为改变其形状以便与显示出变形的工件的形状共形,从而在可弯曲的传热板上施加力时在显示出变形的工件与连续的面向工件表面之间提供均匀的传热。通过供应气体或液体至底座支架与可弯曲的传热板之间的空间或通过去除底座支架与可弯曲的传热板之间的空间的气体或液体,从而改变底座支架与可弯曲的传热板之间的空间的压力,进而可以施加力。在同一个或其他实施方式中,可以由附接在可弯曲的传热板上并且被配置为相对于底座支架移动的一个或多个机械结构施加力。
还提供了一种用于往来于显示出变形的工件提供均匀传热的方法。所述方法可以涉及将显示出变形的具有非平面形状的工件定位在从第一传热部分的第一面向工件表面延伸的第一组最小基础面积支架上。第一面向工件表面可以相对于第二面向工件表面移动。显示出变形的工件的温度可以不同于第一面向工件表面的温度以及第二面向工件表面的温度。所述方法继续确定一个或多个参数,例如,显示出变形的工件的温度分布、第二面向工件表面与显示出变形的工件之间的间隙以及第一面向工件表面与显示出变形的工件之间的间隙。所述方法可以基于一个或多个确定的参数相对于第二面向工件表面调节第一面向工件表面的位置。然后显示出变形的工件可以在工件达到预定温度时被移除。
在某些实施方式中,所述方法可以涉及在移除工件之前重复确定并调节上述操作一次或多次。工件的实例包括半导体衬底、光伏衬底和显示器衬底。在某些实施方式中,显示出变形的工件的温度分布在移除工件之前的偏差小于约5℃。工件在移除时可以比在定位时显示出更小的变形。
在某些实施方式中,一种方法还涉及在工件上涂覆光致抗蚀剂、使光致抗蚀剂曝光、图案化抗蚀剂并将图案转移到工件上,并且从工件选择性地去除光致抗蚀剂。在这些实施方式中,半导体处理系统可以包括分档器。
以下参照附图进一步描述这些和其他实施方式。
附图说明
图1A是描绘了根据实施方式的使用最小接触面积(MCA)支架支撑在基座的面向工件表面上方的平坦工件的横截面的视图。
图1B是描绘了支撑在图1A的基座的同一个面向工件表面上方的变形工件的横截面的视图。
图1C是描绘了根据实施方式的用于处理半导体工件的设备的横截面的示意图。
图2A是描绘了根据实施方式的具有三个同心的圆筒状部分的自适应传热基座的俯视图的示意图,这些同心的圆筒状部分在垂直方向上相对彼此可独立运动。
图2B是描绘了根据实施方式的具有四个扇形部分的自适应传热基座的俯视图的示意图。
图2C是描绘了根据实施方式的具有十二个部分的自适应传热基座的俯视图的示意图。
图2D是描绘了根据实施方式的具有多个支腿的自适应传热基座的俯视图的示意图,这些支腿在垂直方向上可相对于基面运动。
图3A是描绘了根据实施方式的具有相对于平面对齐的三个部分的分段的自适应传热基座和平坦工件的横截面的示意图。
图3B是描绘了图3A的根据实施方式的具有相对于所述平面上升的外部的分段的自适应传热基座和凹形工件的横截面的示意图。
图3C是描绘了图3A的具有相对于所述平面下降的外部的分段的自适应传热基座和凸形工件的横截面的示意图。
图4A是描绘了根据实施方式的具有能够绕着枢转中心枢转的四个扇形部分的自适应传热基座的俯视图的示意图。
图4B是描绘了图4A和自适应传热基座和平坦工件的横截面的示意图。
图4C是描绘了图4A的具有多个部分的自适应传热基座的横截面的示意图,这些部分枢转可以调节面向工件表面,从而提供与凹形工件更共形的取向。
图4D是描绘了图4A的具有多个部分的自适应传热基座的横截面的示意图,这些部分枢转可以调节面向工件表面,从而提供与凸形工件更共形的取向。
图5是描绘了根据实施方式的具有两个传热部分并且位于工件的相反侧的自适应传热系统的横截面的示意图。
图6A是描绘了根据某些实施方式的具有支撑平坦工件的底座支架和可弯曲传热板的基座的侧视图的示意图。
图6B是描绘了图6A的根据实施方式的具有朝着中心向下弯曲的可弯曲传热板的基座和碗形形状的工件的侧视图的示意图。
图6C是描绘了图6A的根据实施方式的具有朝着中心向上弯曲的可弯曲传热板的基座和圆顶形形状的工件的侧视图的示意图。
图6D是描绘了根据某些实施方式的具有底座支架、支撑平坦工件的可弯曲传热板和用于使可弯曲传热板弯曲的机械结构的基座的侧视图的示意图。
图7A是描绘了根据某些实施方式的包括分段基座和系统控制器的自适应传热系统的侧视图的示意图。
图7B是根据某些实施方式的具有传感器的面向工件结构的俯视图的示意图。
图8是图示了根据某些实施方式的用于提供均匀加热/冷却变形工件的方法的流程图。
图9是根据某些实施方式的可以配备有自适应传热系统的多工位处理设备的俯视图的示意图。
图10是根据某些实施方式的可以配备有自适应传热系统的多室设备的俯视图的示意图。
图11A是图示了常规平坦基座与实施方式的自适应基座相比的温度分布的曲线图。
图11B是图示了常规的平坦基座和实施方式的自适应基座的中心部分与边缘部分之间的温度分布的曲线图。
图11C是图示了常规的平坦基座和实施方式的自适应基座的挠曲分布的曲线图。
具体实施方式
在以下描述中,阐述了许多具体细节以便提供对提出的构思的透彻理解。提出的这些构思可以在没有这些具体细节的一些或所有的情况下实施。在其他实例中,未详细描述公知的方法操作以便不会不必要地模糊所述的构思。尽管将会结合具体实施方式描述一些构思,但是应当理解,这些实施方式并不旨在成为限制。
介绍
在图1A和图1B中,变形的工件与平板工件进行比较。具体地讲,这些附图图示了位于平面基座表面上的这些工件的中心与边缘部分之间的间隙变化。图1A图示了使用例如最小接触面积(MCA)支架52支撑在基座60的面向工件表面62上的平坦工件50。因为工件50和面向工件表面62都是平坦的,所以两者之间的间隙在整个工件上都是恒定的。具体地讲,工件50的中心部分的间隙(标记为D1)与工件50的边缘部分的间隙(同样标记为D1)基本上相同。一般由MCA支架52的高度来确定恒定的间隙。
图1B图示了支撑在图1A的基座60的同一面向工件表面62上的变形工件54。工件54是碗形的。因此,工件的中心部分与面向工件表面62之间的间隙(标记为D2)小于工件的边缘部分与面向工件表面62之间的间隙(标记为D3),即,D3>D2。在此实例中,因为这些间隙差异,所以工件54与面向工件表面62之间的热流在中心部分会比在边缘附近大。在工件54加热期间,工件的中心部分很可能具有比工件的边缘的温度高的温度。以类似的方式,在工件54冷却期间,工件的中心部分很可能具有比工件的边缘的温度低的温度。在热模拟结果中进一步反映了这种不均匀的温度分布。
自适应传热系统和方法提供对变形工件的均匀加热和/或冷却。系统可以调节自身的一个或多个传热表面以提供均匀的传热。例如,系统可以相对于其他的面向工件表面移动多个面向工件表面之一,或者改变可弯曲的传热板的连续面向工件表面的形状。在某些实施方式中,这些调节结果得到比原始表面更共形的面向工件表面,因此得到更均匀的传热。
在某些实施方式中,基座可以包括在基本上垂直于工件的方向上彼此可相对独立运动的多个同心圆筒体。在其他实施方式中,基座可以包括相对于例如基座的中心可独立枢转的多个节段。在又另一个实施方式中,工件位于可能基本上平坦的且彼此平行的两个面向工件表面之间。即使这两个面向工件表面中的任一个无法与工件共形,组合传热仍然可以是均匀的。工件的较靠近这些表面之一的一部分会较远离另一个表面,反之亦然。如此,即使每个表面会提供不均匀的传热,但是它们单独传导的不均匀热流的组合会是均匀的。
可以根据一个或多个处理参数(例如工件的温度分布和/或工件与一个或多个面向工件表面之间的间隙分布)来控制多种硬件组件的调节。系统控制器可以用于接收来自传感器的输入,并且控制用于重新定位并且/或者调节一个或多个面向工件表面的形状的一个或多个机构。
下述多种基座的均匀传热特征可以用于冷却和加热工件。为了保持本文重点突出且简明,本文总体针对加热工件。然而,本领域的普通技术人员会理解如何将这些方法和系统同样用于冷却工件。
为了更好地理解自适应传热系统和方法的多种特征,本文提供了处理设备的一个实例的简要描述。图1C是根据某些实施方式的用于处理半导体工件的设备100的示意图。设备100总体上代表多种类型的设备,这些设备例如被配置为从半导体工件去除光致抗蚀剂材料和/或其他残余材料,以及进行其他半导体处理操作。一些具体实例包括GAMMA2100,2130I2CP(隔行扫描电感耦合等离子体)、G400、GxT和SIERRA,它们全部可购自NovellusSystems(San Jose,CA)。其他系统包括可购自Axcelis Technologies(Rockville,MD)的FUSION line、可购自PSK Tech(Korea)的TERA21、以及可购自Mattson Technology(Fremont,CA)的ASPEN。包括自适应传热系统的一些处理室可以与组合设备工具相关联。例如,带式室(strip chamber)可以增设到可购自Applied Materials(Santa Clara,CA)的CENTURA组合设备工具。其他实例包括可购自Novellus Systems(San Jose,CA)的ALTUS和VECTOR。
设备100包括用于产生并提供激活或活化的反应物质到室103中的等离子体源101。室103可以通过喷头组件105与等离子体源101分离。喷头109形成喷头组件105的底部。等离子体源101连接到处理气体入口111上,该处理气体入口111通过喷头组件105供应一种或多种处理气体到处理室103中。通过真空泵和导管119在处理室103中获得低压环境。
处理室103包括基座117。基座117用于支撑半导体工件116,并且加热并且/或者冷却半导体工件116。如此,基座117可以装有加热元件和/或冷却元件。在一些实施方式中,基座117还被配置为供应电位偏置到半导体工件116。基座117被图示为包括在垂直方向上相对彼此能独立移动的多个传热部分。以下描述了自适应传热基座的其他实例。
在处理期间,经由气体入口111穿过等离子体源101引入一种或多种处理气体。这些气体可以包含一种或多种化学活性物质。等离子体源101可以用于使气体离子化,以便产生活化的物质并且形成等离子体。在图示的实例中,等离子体源101配备有射频(RF)感应线圈115。喷头109则通过喷头孔121将这些活化的反应物质引入处理室103。任意数量和布置的喷头孔121可以用于尝试使活化的反应物质朝着半导体工件116的表面分布的均匀性最大化。
基座117可以是温控基座并且用于加热半导体工件116。在处理期间,基座117与半导体工件116之间可以存在一些间隙。间隙可以通过MCA支架来提供,以下参照图1A进一步描述这种支架。在某些实施方式中,在基座117的面向工件表面与工件116之间可以允许一些接触。通过降低基座117可以增大间隙,通过升高基座117可以减小间隙。当基座117降低时,半导体工件116可以通过连接在处理室103上的支腿123来支撑。在其他实施方式中,当基座117处于降低位置时,内置机器手的手指可以支撑半导体工件。
可以通过热传导来提供一些热流。可以通过辐射来提供一些附加热流。这两种传热方法的相对贡献取决于基座117与工件116之间的间隙大小、基座117的面向工件表面的发射率、处理室103内部的压力和其他因素。在某些实施方式中,热传导是对全部热流的最大贡献因素。
上文描述的设备/方法可以结合例如用于制备或制造半导体器件、显示器、发光二极管(LED)、光伏面板等的光刻图案化工具或方法使用。通常,尽管未必,但是这些工具/方法可以在共同的制造设施中使用/操作。光刻图案化薄膜通常包括以下步骤中的一些或全部,每个步骤启用多种可用的工具:(1)将光致抗蚀剂涂覆在工件上(即,使用旋涂或喷涂工具);(2)使用热板或炉或紫外线(UV)固化工具固化光致抗蚀剂;(3)使用例如晶片步进式光刻机(stepper)之类的工具将光致抗蚀剂暴露于可见光、紫外线或X射线;(4)使用例如湿式工作台之类的工具使光致抗蚀剂显影以便选择性地去除抗蚀剂从而使其图案化;(5)通过使用干式或等离子体辅助刻蚀工具将蚀刻剂图案转移到下方的薄膜或工件;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
可独立控制的面向工件表面
在某些实施方式中,自适应传热系统包括多个传热部分,每个传热部分具有单独的面向工件表面。这些面向工件表面的部分可以彼此相对地进行调节。一个面向工件表面的位置例如通过提供从该表面延伸的成组的MCA支架可以相对于工件固定。这个表面可以作为调节其他表面的位置的参考表面。
调节面向工件表面的位置以在工件与所有表面的组合之间提供均匀的传热。例如,工件可能具有不同的变形,并且可能需要面向工件表面的不同的相对位置,从而与这些不同的变形共形,进而在提供基本上均匀的传热,而不管变形如何。均匀的传热可以确保在整个工件面积上基本上均匀的温度分布。例如,在工件的整个面积上的温度偏差可以小于约10℃,或者更具体地讲,小于约5℃。这些温度偏差可以定义基本上均匀的传热。
多个面向工件表面可以位于工件的同一侧,并且形成组合的可调式面向工件表面。多个面向工件表面可以在与平坦工件(即,没有任何变形的工件)所限定的平面基本上垂直的方向上进行调节。这种类型的调节可以成为垂直调节。在这些实施方式中,多个面向工件表面可以在垂直调节期间保持彼此平行。在其他实施方式中,这些表面可以彼此成角度地定位,并且在垂直调节面向工件表面期间可以维持这些预设的角度。可替代地,多个面向工件表面可以彼此相对枢转并且/或者相对于组合表面的中心(例如,基座的中心)枢转。这些可枢转表面除枢转之外可以或者不可以进行独立的垂直调节。
在某些实施方式中,在工件的不同侧设置两个面向工件表面。通过工件与两个表面之间的组合传热来确保均匀的传热。两个表面可以是平面并且基本上彼此平行。在某些实施方式中,两个表面可以具有一些曲率。此外,两个表面的每一个可以包括可彼此相对地独立调节的多个表面,如上文进一步解释的。设置在工件的相反侧上的两个表面之间的间隙取决于工件的厚度、工件的变形、每个表面的温度、工件与这些表面(例如,由MCA支架提供)之一之间的预定间隙和其他因素。来自两个表面的组合传热确保均匀的温度分布。
现在将参照对应的附图更详细地解释这些实施方式中的每一个。
可垂直调节的面向工件表面
图2A是根据某些实施方式的具有三个同心的圆筒状部分202、204和206的传热基座200的俯视示意图,这些同心的圆筒状部分在垂直方向上可彼此相对地独立运动。垂直方向限定为与此图所示的X-Y平面基本上垂直的方向。另外,当工件定位在基座200上时,该垂直方向基本上垂直于平坦工件。有时候基座200或类似的基座称为分段基座。然而,这些分段基座的部分的面向工件表面可以对应于圆的任何形状/部分,并且不限于圆形节段。例如,图2A示出了具有圆形形状的部分202,而部分204和206具有碟片状。图2B示出了具有扇形形状的部分212、214、216和218。有时候,这些分段基座的部分称为节段。然而,除非特别指出,否则节段可以具有任何形状的面向工件表面。
分段基座可以具有任意数量的部分,例如,2个、3个、4个或更多个部分。更多个部分允许形成与工件的变形(例如,碗形或圆顶形)更共形的组合的面向工件轮廓,以下将参照图3A、图3B和图3C进一步解释。然而,每个可活动节段可以使用单独的升降机构,从而会使整个系统变得复杂。
图2A同样图示了设置在同心的圆筒状部分202、204和206的面向工件表面上的MCA支架208。MCA支架208可以从它们各自的表面延伸相同的距离,并且可以用于防止工件与这些表面接触。在这些实施方式中,传热的均匀性会与接触工件的MCA支架的数量有关。也就是说,MCA支架的数量越多,均匀性越好。另外,表面上MCA支架的均匀分布可以提供更高的传热均匀性。在某些实施方式中,MCA支架的分布是使任何成对的相邻的MCA支架之间的距离基本上相同。
图2B是根据某些实施方式的具有四个扇形部分212至218的传热基座210的俯视示意图。扇形被限定为由圆的两个半径和它们所截取的圆弧所封闭的圆的一部分。扇形有时候指的是圆的馅饼形状部分。这四个部分形成限定基座210的边界的完整的圆。正如此前的实施方式,分段基座可以具有任意数量的这种扇形,一般三个或更多个。四个扇形部分212至218在垂直方向上可彼此相对地独立运动。这种传热基座可以用于不对称变形的工件。
图2C是根据某些实施方式的具有12个部分222a至226d的传热基座220的俯视示意图。这十二个部分可以组织成四个扇形组(例如,第一组包括三个部分222a、224a和226a;第二组包括三个部分222b、224b和226b;第三组包括三个部分222c、224c和226c;并且第四组包括三个部分222d、224d和226d)。这些组可以看成是图2B中呈现的基座的扇形部分。这十二个部分还可以组成三个圆形组(例如,第一组包括四个部分222a、222b、222c和222d;第二组包括四个部分224a、224b、224c和224d;并且第三组包括四个部分226a、226b、226c和226d)。这些组可以看成是图2B中呈现的基座的圆形部分。所有十二个部分可以在垂直方向上能彼此相对地独立运动。具体地讲,传热基座220可以与图2B呈现的基座类似地用于非对称变形的工件。然而,传热基座220在控制传热方面提供了额外的灵活性。在某些实施方式中,这些部分还可以以上述多个组和/或多个子组的方式运动。
图2D是根据某些实施方式的具有基面232和多个支腿234的传热基座230的俯视示意图,这些支腿在垂直方向上可相对于基面232移动。支腿234的垂直位置可以单独变化以调节传热分布。支腿234可以更靠近工件以增加在该位置的传热。按照类似的方式,支腿234可以降低并且因此移动远离工件以减少在这个位置的传热。支腿234的位置可以受到安装在支腿234的面向工件表面上的传感器的控制。支腿234可以具有与基面232相同的温度。在其他实施方式中,支腿234可以具有与基面232不同的温度。例如,当基座230用于加热时,支腿234可以具有比基面232更高的温度。在具体实施方式中,支腿234可以用于加热基面232(即,基面232可以没有单独的加热器)。
图3A至图3C是带三种不同的调节方式的具有三个部分304、306和308的分段基座300的示意性侧视图,现在将参照图3A至图3C解释基座的多个面向工件表面的垂直调节。基座300可以类似于图2A中图示的并且如上所述的基座。图3A图示了支撑平坦工件302的基座300。所有三个部分304、306和308的面向工件表面相对于基本上平行于平坦工件302的平面310对齐。这些面向工件表面与工件302之间的间隙在工件302的整个表面上是恒定的。
图3B图示了支撑凹形工件312的基座300。该工件的中心比边缘更靠近平面310。如此,如果三个部分304、306和308的面向工件表面相对于平面310对齐,那么传热会是不均匀的。在部分308与凹形工件312的中心之间转移的热量比例如在部分304与凹形工件312的边缘之间转移的热量更多。如果基座300用于加热工件312,那么这种不均匀性会导致工件312的中心温度高于工件312的边缘温度。为了避免这种不均匀性,部分304和306相对于平面310上升。另外,部分304可以比部分306上升更高以更好地与凹形工件312的形状共形。
图3C图示了支撑凸形工件322的基座300。该工件的中心比边缘较远离平面310。如此,如果三个部分304、306和308的面向工件表面相对于平面310对齐,那么传热也会是不均匀的。然而,不同于参见图3B的上述实例,在部分308与凸形工件322的中心之间转移的热量比例如在部分304与凸形工件322的边缘之间转移的热量少。如果基座300用于加热工件322,那么这种不均匀性会导致工件322的中心温度低于工件322的边缘温度。为了避免这种不均匀性,部分304和306相对于平面310降低。另外,部分304可以比部分306降低更多以更好地与凹形工件322的形状共形。
可枢转的面向工件表面
与在垂直方向上移动同一基座的多个部分相反或除此之外,这些部分也可以彼此相对地枢转。在某些实施方式中,用于限定枢转的参考点是基座的中心。图4A是根据某些实施方式的具有四个扇形部分402、404、406和408的基座400的俯视示意图,并且可以类似于图2B中图示的基座。部分402至408可以相对于基座400的枢转中心410枢转,相对于基座400的边缘414枢转,并且/或者相对于相邻部分的界面412枢转。在这些位置中的一个或多个可以设置铰链或其他可枢转机构。基座400的整个外径或部分402至408的相邻边缘之间的间距可以根据枢转位置的变化而变化。一般而言,基座可以具有三个或更多个扇形的可枢转部分。
图4B至图4D是具有带三个不同的调节方式的两个部分406和408的分段基座400的示意性侧视图,现在将参照图4B至图4D解释基座的多个面向工件表面的可枢转调节。图4B图示了支撑平坦工件420的底座400。部分406和408的面向工件表面与同一平面对齐,并且这些表面之间相对于基座400的中心410的角度是约180°。这些面向工件表面与工件420之间的间隙在工件420的整个表面上是恒定的。
图4C图示了支撑凹形工件422的基座400。工件422在Z方向上其中心低于其边缘。如此,如果部分406和408的面向工件表面相对于同一平面对齐(如图4B所示),那么传热会是不均匀的。在工件的中心部分与基座之间比在工件的边缘部分与基座之间存在较多的传热。如果基座400用于加热工件422,那么这种不均匀性可以导致工件422的中心温度高于工件422的边缘温度。为了避免这种不均匀性,部分406和408相对于基座400的中心410枢转,使得这些部分的这些面向工件表面之间相对于中心410的角度小于180°。这种调节提供面向工件表面更共形的取向以及更均匀的传热。
图4D图示了支撑凸形工件424的基座400。工件424在Z方向上其中心高于其边缘。如此,如果部分406和408的面向工件表面相对于同一平面对齐(如图4B所示),那么传热会是不均匀的。在工件的中心部分与基座之间比在工件的边缘部分与基座之间存在较少的传热。如果基座400用于加热工件424,那么这种不均匀性会导致工件424的中心温度低于工件424的边缘温度。为了避免这种不均匀性,部分406和408相对于基座400的中心410枢转,使得这些部分的这些面向工件表面之间相对于中心410的角度小于180°。这种调节提供面向工件表面更共形的取向以及更均匀的传热。
工件相反侧的两个面向工件表面
在某些实施方式中,多个传热部分不会形成相同的基座或相同的其他共同体。相反,多个传热部分可以定位成彼此间隔开。在具体的实施方式中,两个传热部分可以定位在工件的相反侧。这些部分之间的间隙可以进行调节以提供均匀的传热,以下将作进一步解释。工件可以具有相对于一个部分的设定位置以及相对于另一个部分的可变位置。例如,一个部分可以具有用于支撑工件的成组的MCA支架。在某些具体实施方式中,两个部分可相对于工件进行调节。
图5图示了具有位于工件502的不同侧的两个传热部分504和506的自适应传热系统500。具有凹形形状的工件502用于说明传热通量在整个工件502的不同位置的差异,不同位置如,中心位置510、中点位置512和边缘位置514。工件502具有面对传热部分506的表面506a的顶面502a以及面对传热部分504的表面504a的底面502b。
底部传热部分504可以是基座/台板,而顶部传热部分506可以是另一个台板、喷头或具有传热表面506a的一些其他的部件。当自适应传热系统500是装载锁的一部分时,两个台板可以用作两个传热部分504和506。当自适应传热系统500是处理室的一部分时,台板可以用作底部传热部分504,而喷头可以用作顶部传热部分506。在工件与用作顶部传热部分506的喷头之间传热期间,喷头可以供应或不供应气体到处理室中。
在中心位置510处,在工件502的顶面502a与传热部分506的表面506a之间的间隙(图示为D1)大于在工件502的底面502b与传热部分504的表面504a之间的间隙(图示为D2)。如此,通过D2间隙的热通量会大于通过D1间隙的热通量。在工件502的中心位置510的温度可以取决于通过D2和D1间隙的组合热通量。穿过D2间隙的热通量的富余部分可以补偿穿过D1间隙的热通量的任何不足,并且反之亦然。
在中点位置512处,在工件502的顶面502a与传热部分506的表面506a之间的间隙(图示为D5)可以与在工件502的底面502b与传热部分504的表面504a之间的间隙(图示为D6)基本相同。如此,通过间隙D5的热通量可以与通过D6间隙的热通量基本相同。由于传热部分504和506是平行的(即,在它们的面向工件表面504a与506a之间具有恒定的间隙)并且由于工件502的厚度基本上相同,因此D5和D6间隙的总高度可以与D1和D2间隙的总高度充分相同。如此,在中心位置510的热通量可以与在中点位置512的热通量基本相同。
在边缘位置514处,在工件502的顶面502a与传热部分506的表面506a之间的间隙(图示为D3)小于在工件502的底面502b与传热部分504的表面504a之间的间隙(图示为D4)。如此,通过间隙D3的热通量可以大于通过D4间隙的热通量。在中心位置510的工件502的温度可以取决于穿过D3和D4两者的组合热通量。穿过间隙D3的热通量的富余部分可以补偿穿过间隙D4的热通量的任何不足,并且反之亦然。另外,D3和D4间隙的组合可以与D1和D2间隙的组合基本上相同,后者可以与D5和D6间隙的组合基本相同。如此,在边缘位置514可以与在中点位置512和中心位置510的组合热通量和/或温度基本相同。
具有连续的面向工件表面的可弯曲传热板
与使用具有固定表面的多个传热部分来对工件的多个变形保持共形不同,传热基座可以具有带连续表面的可弯曲传热板,该连续表面被配置为改变其形状以便与工件的形状共形。例如,可以沿着薄圆板的边缘相对于底座支架支撑薄圆板。可以在圆板的中心施加垂直力以便将其形状从平面状改变成圆顶形或碗形。整个基座结构可以具有充分的柔性以允许圆板的中心相对于边缘在垂直方向上移动。可以通过使用一些机构结构来改变圆板下方的压力或者推/拉圆板的背侧来施加压力。
图6A是根据某些实施方式的基座600的示意性侧视图,该基座具有底座支架606和支撑平坦工件602的可弯曲传热板604。可弯曲传热板604具有连续的面向工件表面605,该面向工件表面被配置为改变其形状以便与工件602的形状共形。在此实例中,平坦工件602设置在表面605上方。如此,板604不会弯曲并且表面605同样保持平坦以在工件602与表面605之间提供均匀的传热。
图6B是根据某些实施方式的相同基座600的示意性侧视图,该基座600具有底座支架606和支撑碗形工件612的可弯曲传热板604。为了确保均匀传热,传热板604弯曲(相对于该传热板图6A中所示的状态)使得其面向工件表面605同样具有碗形形状。传热板604通过以下方式弯曲:至少在传热板604的中部或中部附近在传热板上施加垂直向下的力。这种力可以通过减小传热板604下方的压力,例如,通过减小由传热板604形成在腔体608内的压力来施加。通过从腔体608抽排液体或气体可以减小该压力。
图6C是根据某些实施方式的相同基座600的示意性侧视图,该基座600具有底座支架606和支撑圆顶形工件622的可弯曲传热板604。在此实例中,传热板604弯曲使得其面向工件表面605具有圆顶形形状以便与工件622的形状共形。传热板604可以通过以下方式弯曲:例如通过增大传热板604下方的压力,进而至少在传热板604的中部或中部附近施加垂直向上的力。通过泵送液体或气体到腔体608中可以增大该压力。
在图6D所示的另一个实施方式中,由附接在传热板634上的机械结构639来施加力。具体地讲,根据某些实施方式,基座630包括底座支架636和支撑工件632的可弯曲传热板634。由机械结构639施加的力使传热板634弯曲,该机械结构639被配置为相对于底座支架636移动。当机械结构639在垂直方向上(即,在Z方向上)向上移动时,传热板634弯曲成圆顶形。当机械结构639在垂直方向上(即,在Z方向上)向下移动时,传热板634弯曲成碗形。
传感器和系统控制器
自适应传热系统可以包括用于调节多个传热部分的位置并且/或者用于改变如上所述的连续的面向工件表面的形状的闭环控制。闭环控制可以包括设置在一个或多个传热部分内,或者更具体地讲,在一个或多个面向工件表面内的一个或多个传感器。闭环控制还可以包括系统控制器,该系统控制器接收来自这些传感器的输入并且控制用于进行上述调节和/或改变的多种机构。现在将更加详细地描述这些闭环控制部件的各种实例。
图7A是根据某些实施方式的包括分段基座702和系统控制器720的自适应传热系统700的示意性图示。分段基座702包括三个部分704、706和708,并且可以类似于如上所述的图2A示出的基座。部分704、706和708通过驱动器724、726和728在垂直方向上(在Z方向上)可独立移动。在某些实施方式中,一个部分具有固定的位置,而其他两个部分是活动的。部分704、706和708具有安装在部分704、706和708的面向工件表面中的传感器714、716和718。传感器714、716和718可以用于检测工件与面向工件表面之间的间隙和/或工件的温度分布。以下参照图7B描述有关传感器的类型以及面向工件表面上的传感器的位置的额外信息。
传感器714、716和718的输出提供到系统控制器720中,该系统控制器720确定部分704、706和708的垂直位置是否需要进行调节。例如,如果系统700用于加热工件并且传感器714和718认定工件边缘的温度低于工件中心的温度,那么系统控制器720会指示相应的驱动器升高部分704和/或降低部分708。
驱动器724、726和728有时候指的是致动器。在某些实施方式中,驱动器724、726和728是伺服驱动电机,该伺服驱动电机可以包括位置反馈。部分704、706和708的位置可以取决于来自多个伺服机构或者通过安装在驱动器724、726和728的轴上的编码器和/或电位计获得的反馈。通过使用例如导螺杆和/或滚动螺母装置之类的多种机构,可以将驱动器724、726和728的旋转运动转换成用于启动基座节段的轴向运动。
系统控制器720可以接收来自所有传感器的输入以及来自用户接口的输入(例如,设置温度)。系统控制器720通常包括一个或多个存储设备以及一个或多个处理器。处理器可以包括中央处理器(CPU)或计算机、模拟和/或数字输入/输出连接器、步进电机控制器板等。在某些实施方式中,系统控制器720具有与其关联的用户界面。用户界面可以包括显示屏、设备和/或处理条件的图像软件显示器以及其他输入设备,例如,指向设备、键盘、触屏、麦克风等。
系统控制器720或其他系统控制器(例如,系统控制器921或1011)可以控制自适应传热系统700的一个或多个活动。例如,系统控制器(例如,系统控制器720)可以执行包括成组指令的系统控制软件,这组指令用于控制多个处理操作的计时、不同基座部分(例如,部分704、706和708)的垂直位置、工件和基座部分的温度、间隙和其他工艺参数。其他计算机程序可以存储在与系统控制器720相关的存储设备上。这些程序可以用于各种处理和维护任务。用于控制处理操作的计算机程序代码可以编写成任何常规的计算机可读的编程语言,例如,汇编语言、C、C++、Pascal、Fortran等。由处理器执行编译后的目标代码或脚本以执行程序中指定的任务。控制器的参数涉及处理条件,例如,处理操作的计时、工件的温度(例如,通过基座部分相对于工件的位置和/或输送到基座的能量/功率来控制)和特定工艺的其他参数。这些参数通过配方的形式提供给使用者,并且可以利用用户界面来输入。
系统软件可以被设计或配置成许多不同的方式。例如,可以编写多种室部件的子程序或控制对象以控制进行本文所述的一些操作所需的自适应传热系统的操作。
一般在每个新的工件置于系统中之后,可以立刻对该新的工件进行自适应传热系统内的调节。在其他实施方式中,当工件在系统中时,例如在预定间隔之后,或者连续地进行多次调节。例如,因为加热或冷却,工件会由于工件内部的应力减小而改变自身的形状。另外,由于热膨胀系数的差异和/或在传热期间温度梯度的增大或减小,工件会改变自身的形状。在这些情况中,在这些形状变化之后,基座的初始调节可能不足以维持均匀的传热。自适应传热系统可以动态调节不同的传热部分的垂直位置和/或可弯曲传热板的连续的面向工件表面的形状。例如,如果工件最初具有圆顶形形状,那么中心的基座部分可以最初升高。随着工件松弛并且具有更平坦的形状,中心的基座部分可以逐渐降低。
图7B是根据某些实施方式的面向工件表面750的示意性图示,图示了传感器在整个表面750上的多个位置。通过单个传热部分,例如,可弯曲传热板或位于工件的相反侧上的两个板之一,可以形成表面750。在其他实施方式中,通过多个传热部分,例如,如上所述的同心圆筒体、扇形或基面/支腿结构,可以形成表面750。尽管参照某些实施方式在本文中描述了控制部件,但是可以参照其他实施方式的基座使用类似的控制部件。
表面750可以具有圆形形状(如图7B所示)或任何其他形状,例如多边形形状。为了简单起见,以下描述指的是圆形表面。表面750可以由其中心754和边缘752限定。对于圆形表面,该圆形表面的中心754与边缘752之间的距离指的是半径。
当工件具有基本上对称的圆顶形或碗形形状时,表面750可以具有沿着该表面的半径之一定位的两个传感器。例如,一个传感器可以定位在中心754,而另一个传感器可以定位在边缘752。如果这些传感器用于测量间隙,那么它们会反映工件如何变形。在某些实施方式中,自适应传热系统可以包括沿着同一半径设置的三个或更多个传感器。例如,一个传感器可以定位在中心754,另一个传感器可以定位在边缘752,而又一个传感器可以定位在其他两个传感器之间的中部。图7B图示了具有三个点754、762和760的这种实施方式。
为了描绘工件的其他部分的轮廓,特别是在使用非对称形状的工件时,传感器可以沿着彼此成一定角度的多个半径分布。例如,一个或多个传感器可以沿着在X方向上延伸的半径定位,并且一个或多个传感器可以沿着在Y方向上延伸的半径定位。
传感器可以包括采用非接触式高温测定法的温度测量仪器和/或采用光学方法或电容式方法的工件与传热表面的间隙的测量仪器。
处理操作
本文还提供了用于提供变形工件的均匀加热和/或冷却的方法。图8图示了这些方法的一个实例。在此实例中,方法800始于在操作801期间加热或冷却用于传热的一个或多个表面。然后在操作802中,工件定位在一个或多个表面上。在操作802中,可以在工件上方设置一个或多个额外的传热表面。
方法800继续在操作804期间监测一个或多个工艺参数。例如,在此操作期间可以测量温度分布和/或在工件与一个或多个面向工件表面之间的间隙变化。然后在操作806中使用这些测量结果以根据这些测量结果来调节一个或多个传热表面。例如,可以根据温度分布来调节不同传热部分的垂直位置以确保该工件具有均匀的温度。如判定块808所示,这些测量和调节可以进行多次。重复测量和调节可以涉及动态工艺控制。
在操作810期间,一旦工件被加热或冷却到预定温度,并且在某些实施方式中,一旦对工件进行多种其他操作(沉积、蚀刻等),就从一个或多个传热表面去除工件。
如判定块812所反映的,可以对其他工件重复各种操作。多个工件可以具有不同程度和不同类型的变形,并且必须对一个或多个表面进行调节。
多工位设备的实例
可以在单工位设备或多工位设备中使用如上所述的各种传热系统的实例。图9是根据某些实施方式的多工位设备900的示意性图示。设备900包括处理室901以及用于保存将要处理的晶片以及已经完成所需处理(沉积、蚀刻等)的晶片的一个或多个晶片盒903(例如,前开式晶片盒)。处理室901可以具有多个工位,例如,两个工位、三个工位、四个工位、五个工位、六个工位、七个工位、八个工位、十个工位或任何其他数量的工位。工位的数量通常由处理操作的复杂性以及能够在共享环境中进行的这些操作的数量来决定。图9图示了包括六个工位911至916的处理室901。单个处理室内的多工位设备900中的所有工位911至916暴露于相同的压力环境。然而,每个工位911至916可以具有由专用等离子体发生器、加热器和台板配置获得的单独的局部等离子体条件以及单独的局部加热条件。可以在一个或多个工位911至916和/或装载锁905a和905b设置如上所述的一个或多个自适应传热系统。
将要在设备900中处理的工件从晶片盒903之一通过一个或两个装载锁905a和905b被装载到工位911。可以使用外部机械手907在晶片盒903与装载锁905a和905b之间转移工件。在图示的实施方式中,存在两个分离的装载锁905a和905b。装载锁905a和905b用于在一个压力环境(例如,处理室901外部的大气压力)与另一个压力环境(例如处理室901内部的低很多的压力)之间转移工件。一旦压力与处理室901的内部环境对应的水平均衡,那么另一个转移装置(未示出)可以用于从装载锁905a移动工件到工位911上。可以使用同一个或另一个转移装置将工件从工位916移回到装载锁905b,以便移出处理室901。可以使用内部机械手909在处理工位911至916之间转移工件。内部机械手909可以包括主轴组件,该主轴组件具有朝着处理工位延伸的多个臂。每个臂可以具有四个手指(例如,在朝着工位延伸的臂的每一侧有两个手指)。这些手指用于在处理工位内升高、降低和定位工件。
在工件定位在工位911上之前,内部机械手909的对应臂定位成使得四个手指(即,定位在工位911的相反侧的两个相邻臂的每一侧有两个手指)停留在台板的凹槽内。如上所述,这些凹槽适于接收这些手指。然后这些手指可以从工位911的凹槽上升以将工件支撑在工位911的上方并且将工件移动到另一个工位。因此,其他工位的凹槽同样被配置用于接收这些手指。总的来说,任何工位的凹槽被配置为接收内部机械手909的任意组手指。内部机械手909和工位911至916的基座被配置为彼此相对地垂直移动以便使工件上升到基座表面上方或者将工件定位在基座表面上。本领域的普通技术人员将会理解,将工件定位在基座表面上可以涉及或不涉及这些部件的相当大一部分之间直接接触。例如,基座可以配备有MCA支架以防止与工件的背侧过度接触。为了描述整个半导体处理设备的实施方式和处理实施方式的目的,即使由MCA支架支撑工件,工件也被描述为定位在基座上。此外,内部机械手909和工位911至916的基座被配置为彼此相对地旋转运动以便将工件从一个工位移动到另一个工位。由于所有工位处于相同环境下,所以在工位之间不需要装载锁或其他类型的转移端口。可以在每个工位或者在选定工位的子集处理(包括加热)一个工件。
可以预留一个工位(例如,工位911)用于初步加热新接收的工件晶片。这个工位可以配备有定位在该工位上方的加热灯。工件的初始温度可以在室温(例如,约25℃)附近。在该预热操作之后的温度可以超过300℃并且一般由后续操作(例如,沉积或蚀刻)来确定。上述的各种传热系统可以用于这个工位。例如,因为在这个工位不进行处理,所以可以在这个工位使用传热部分位于工件的相反侧的系统。
其他类型的处理可以使用其他工位(例如,工位912、913、914、915和916)。在设备的多个工位可以顺序执行或并行执行设备中的多个工位的处理。在某些实施方式中,设备900的所有或一些选定的处理工位可以具有自适应传热系统。如上所述,一些或所有的处理工位可以具有它们自己的射频电源,例如下游的电感耦合等离子体射频源。这些工位还可以被配置为供应偏置到基座表面上的工件。此外,一些或所有的台板可以配备有加热元件。上述的多种传热系统同样可以用于这些工位。
不同的工位可以具有相对于内部机械手909在不同的垂直位置的基座,例如,工位912和913的基座可以停留在降低位置会获得来自这些基座更低的传热通量。这些工位例如可以用于例如蚀刻由光致抗蚀剂形成的植入的硬壳层。因此,在此操作期间,工件与基座之间可以存在间隙以保持工件的温度低于在其他工位进行其他操作期间的温度。该间隙可以在约0.1英寸与3英寸之间,或者更具体地讲,在1.5英寸与2.5英寸之间。在处理期间可以根据工件一个或多个因素来选择和/或调节该间隙,所述因素如,台板的面向晶片表面的发射率、台板的温度、晶片在转移到工位时的初始温度、在操作期间晶片的温度要求、晶片的热预算、晶片的反射率、工件上的层的类型以及其他工艺参数。基座的降低位置被限定为基座(即,面向工件表面或MCA支架)与工件不接触的任何位置。基座在垂直取向上(即,在上升位置与降低位置之间)的这些差异允许在维持基本上类似的基座加热配置(就基座结构和加热元件输出两者而言)的同时获得不同的工件温度。可替代地,不同的工位可以具有不同类型的自适应传热系统或者同种类型但配置不同的自适应传热系统。在同一个或其他实施方式中,这些基座可以是由导热能力较低的材料制成的。此外,可以控制加热器输出以获得不同的工件温度。
现在将简要描述使用多工位设备900进行所谓的高剂量注入剥离(HDIS)的蚀刻工艺的一个实例。在此工艺中,工件涂有光致抗蚀剂层,该光致抗蚀剂层在注入掺杂物的过程期间已经用于掩盖所述工件的指定区域。在掺杂物注入之后,要求从工件蚀刻或去除剩余的光致抗蚀剂,以便为后续的处理步骤做准备。由于注入过程,掩盖工件的指定区域的光致抗蚀剂层在外部形成硬化的硬壳层,同时在内部保持较软的大部分光致抗蚀剂。工件首先定位在工位911上,该工位的基座处于升高位置,并且被加热到约120℃与140℃之间的温度。当工件移动到工位912,然后移动到工位913时,这些工位的基座处于降低位置使得工件不接触基座并且使传热最小化。可替代地,工位912和913的一个或两个基座可以在整个处理的一部分期间升高。在某些实施方式中,这些基座被配置为在蚀刻光致抗蚀剂硬壳层的同时维持工件的温度在同一水平(例如,在工位911达到的在约120℃与140℃之间的温度)。然后工件移动到工位914以开始大量剥离。工件的温度会要求增加到至少约250℃或者,更具体地讲,约280℃。该工位的基座可以处于上升位置。
在某些实施方式中,设备用于处理不同的工件类型。例如,同一个设备可以用于剥离一般要求高温条件的“非硬壳层”的光致抗蚀剂和要求低温条件的硬壳层光致抗蚀剂。在这些不同温度的操作规程之间切换可以使用不同配置的自适应传热系统。设备的结构变化可以与加热器输出和/或基座的垂直位置的变化耦合。
在某些实施方式中,系统控制器921用于控制下述剥离工艺的多种操作的工艺条件。例如,系统控制器921可以控制每个工位911至916的基座的位置以及它们的加热器输出和上述自适应传热系统的多个参数。
多室设备的实例
图10是根据某些实施方式的多室设备1000的示意图,该多室设备可以配备有自适应传热系统。设备1000可以具有三个单独的室1001、1003和1005(如图所示)或任何其他数量的室。每个室1001至1005具有与其他室不共享的自身的压力环境。例如,室1001可以在与室1003和1005不同的压力水平下工作,或者在其环境下具有不同的化学成分。这提供了额外的处理灵活性,而且要求在不同的工作环境之间通过转移端口转移工件,以便防止这些环境之间的交叉污染。具体地讲,图10图示了均具有两个装载锁的室(即,室1001具有成组的装载锁1021,室1003具有成组的装载锁1023,并且室1005具有成组的装载锁1025)。应当理解,每个单独的室可以使用任意数量的装载锁。装载锁1021至1025可以暴露于中间环境1031,该中间环境1031可以不同于存储晶片盒1009的周围环境,并且可以通过成组的装载锁(未示出)与存储晶片盒1009分离。此外,一个或多个室1001至1005可以与中间环境1031共享它的环境,并且因此,一个或多个对应的装载锁组1021至1025可以被忽略或者在两侧保持开放。
图10示出了均配备有两个工位的室。然而,可以使用任意数量的工位。在一个实施方式中,多室设备的一个或多个室可以类似于如上所述的参照图9的六工位实例。每个室并不一定要具有相同数量的工位。多室设备1000的一个或多个工位具有如上所述的自适应传热系统。在某些实施方式中,在一个室或所有室中的所有工位具有自适应传热系统。自适应传热系统可以位于处理室或装载锁中的任意一个上。
多室设备1000还可以具有用于在装载锁1021至1025之间用于转移晶片的共享的工件搬运机械手1007以及一个或多个晶片盒1009或一些其他的组件。每个室,甚至每个单独的工位,可以受到系统控制器1011的控制,该系统控制器1011可以被配置为类似于参照图9的上述系统控制器。
实验/模拟
进行模拟测试以比较自适应基座和常规的静态基座。使用直径为450mm并且初始圆顶形变形为1mm的硅晶片进行模拟。初始温度为350°。温度在整个衬底上最初是均匀的。
一个模型涉及维持在25℃的温度的常规的平坦衬底。衬底定位在距离衬底约254微米的距离。因为圆顶形变形,衬底的边缘离基座表面比衬底的中心离基座表面近1mm。另一个模型涉及具有三个同心的圆筒状部分的自适应基座。中心部分的直径为150mm,中间部分的外径为300mm,最后边缘部分的外径为450mm。中间部分的内径与中心部分的直径基本相同,而边缘部分的内径与中间部分的外径基本相同。所有三个部分保持在25℃。
基座的三个部分经过调节以符合衬底的轮廓。也就是说,中心部分相对于边缘部分升高1mm,并且中间部分相对于边缘部分升高0.5mm。
这些模型用于模拟衬底冷却10分钟。在此时间段内监控衬底边缘和中心的温度。此外,在此时间段内评估两个衬底的挠曲。
图11A图示了在模拟的开始10分钟内的四个温度分布。线条1102是使用常规的平坦基座冷却的衬底的中心部分的温度曲线。线条1104是使用自适应基座冷却的衬底的边缘部分的温度曲线。线条1106是使用自适应基座冷却的衬底的中心部分的温度曲线。线条1108是使用常规基座冷却的衬底的中心部分的温度曲线。显然,线条1104和1106比线条1102和1108彼此更靠近,这表明使用自适应基座冷却的衬底的温度分布比使用常规的平坦基座冷却的衬底的温度分布更均匀。
从图11B甚至更明显看到性能的差异,该图图示了两个衬底的中心部分与边缘部分之间的温度差的两条曲线。线条1112对应于使用常规的平坦基座冷却的衬底,而线条1114对应于使用上述自适应基座冷却的衬底。在模拟期间的某一点,使用常规的平坦基座冷却的衬底的中心比边缘热48℃。使用自适应基座冷却的衬底的温度偏差一般小于10℃。
图11C图示了对两个衬底模拟的挠曲分布。线条1122代表使用常规的平坦基座冷却的衬底的挠曲,而线条1124代表使用上述自适应基座冷却的衬底的挠曲。最初,两个衬底具有约1mm的挠曲。使用自适应基座冷却的衬底的挠曲在冷却期间实际上减小到约0.6mm。与此同时,使用常规的平坦基座冷却的衬底的挠曲在冷却期间增大并且达到约2.2mm的峰值。
尽管为了理解清楚的目的描述了上述构思的一些细节,但是应当认识到,在所附权利要求书的范围内可以进行某些变化和修改。应该指出的是,存在这些实施方法、系统和设备的许多替代方式。因此,应当认为本实施方式是说明性的而不是限制性的。

Claims (35)

1.一种自适应传热系统,其包括:
自适应基座,其用于在半导体处理室中接收工件,所述自适应基座包括:
第一部分,其用于接收所述工件,所述第一部分具有第一面向工件表面;以及
第二部分,所述第二部分具有第二面向工件表面,
其中所述第一部分和所述第二部分被配置成在垂直于平面的方向上能独立运动,
其中所述第一和第二部分是扇形的,并且所述第一面向工件表面和所述第二面向工件表面是扇形,其中每个扇形由两条半径和一个圆弧限定,并且
其中当所述工件被所述自适应基座接收时,所述第一部分和所述第二部分位于所述工件的一侧;以及
系统控制器,其被配置成控制所述自适应基座的所述第一和第二部分的运动。
2.根据权利要求1所述的自适应传热系统,其中,所述系统控制器被进一步配置成接收来自在所述第一面向工件表面进行测量的第一传感器的输入以及来自在所述第二面向工件表面进行测量的第二传感器的输入,所述系统控制器被配置为基于来自所述第一传感器和所述第二传感器的输入控制所述第一部分和所述第二部分的运动。
3.根据权利要求2所述的自适应传热系统,其中所述第一部分和所述第二部分的受控运动被确定用于提高在整个所述工件上的传热均匀性。
4.根据权利要求2所述的自适应传热系统,其中所述第一传感器和所述第二传感器是所述自适应基座的一部分。
5.根据权利要求2所述的自适应传热系统,其中来自所述第一传感器和所述第二传感器的输入关联于所述工件和所述第一面向工件表面以及所述第二面向工件表面之间的间隙。
6.根据权利要求2所述的自适应传热系统,其中来自所述第一传感器和所述第二传感器的输入与在所述工件的不同位置测得的温度有关。
7.根据权利要求1所述的自适应传热系统,其进一步包括从所述第一面向工件表面和所述第二面向工件表面中的至少一个延伸的成组的支架,所述成组的支架用于支撑所述工件。
8.根据权利要求1所述的自适应传热系统,其中所述工件被支撑在所述第一面向工件表面上方的预定距离处。
9.根据权利要求1所述的自适应传热系统,还包括所述自适应基座的第三部分,其中所述第三部分是环扇形的,并且相对于所述第一和第二部分是可独立运动的,其中所述第三部分是具有环扇形的第三面向工件表面。
10.根据权利要求1所述的自适应传热系统,其中所述第一部分和所述第二部分在可独立运动的圆筒体的端部。
11.根据权利要求1所述的自适应传热系统,其中所述第一部分和所述第二部分中的每一个包括至少一个支腿。
12.根据权利要求1所述的自适应传热系统,其进一步包括:所述自适应基座的第三部分,其具有第三面向工件表面,其中所述第三部分是扇形的,并且在与所述平面垂直的方向上能独立于所述第一部分和所述第二部分运动,并且其中当所述工件被所述自适应基座接收时所述第三部分位于所述工件的所述一侧。
13.一种自适应传热系统,其包括:
自适应基座,其用于在半导体处理室中接收工件,所述自适应基座包括:
用于接收所述工件的第一可枢转部分,所述第一可枢转部分具有第一面向工件表面;以及
所述自适应基座的第二可枢转部分,所述第二可枢转部分具有第二面向工件表面,
其中所述第一面向工件表面和所述第二面向工件表面中的每一个被配置成能绕着枢转点枢转,其中所述第一和第二可枢转部分是扇形的并且它们各自的第一和第二面向工件表面是扇形的,其中每个扇形由两条半径和一个圆弧限定,并且
其中当所述工件被所述自适应基座接收时所述第一面向工件表面和所述第二面向工件表面位于所述工件的一侧;以及
系统控制器,其被配置成控制所述第一可枢转部分和所述第二可枢转部分的运动。
14.根据权利要求13所述的自适应传热系统,其中所述系统控制器被配置成接收来自在所述第一面向工件表面进行测量的第一传感器的输入以及来自在所述第二面向工件表面进行测量的第二传感器的输入,所述系统控制器被配置为基于来自所述第一传感器和所述第二传感器的输入控制所述第一可枢转部分和所述第二可枢转部分的枢转。
15.根据权利要求14所述的自适应传热系统,其中所述第一可枢转部分和所述第二可枢转部分的受控枢转被确定用于提高在整个所述工件上的传热均匀性。
16.根据权利要求14所述的自适应传热系统,其中所述第一传感器和所述第二传感器是所述自适应基座的一部分。
17.根据权利要求14所述的自适应传热系统,其中来自所述第一传感器和所述第二传感器的输入关联于所述工件和所述第一面向工件表面以及所述第二面向工件表面之间的间隙。
18.根据权利要求14所述的自适应传热系统,其中来自所述第一传感器和所述第二传感器的输入关联于邻近所述第一面向工件表面和所述第二面向工件表面的所述工件的温度。
19.根据权利要求13所述的自适应传热系统,其进一步包括从所述第一面向工件表面和所述第二面向工件表面中的至少一个延伸的成组的支架,所述成组的支架用于支撑所述工件。
20.根据权利要求13所述的自适应传热系统,其中所述工件被支撑在所述第一面向工件表面上方的预定距离处。
21.一种自适应传热系统,其包括:
自适应基座,其用于在半导体处理室中接收工件,所述自适应基座包括:
用于接收工件的第一部分,当所述工件被所述自适应基座接收时所述第一部分具有面对所述工件的第一侧的第一面向工件表面;以及
第二部分,当所述工件被所述自适应基座接收时所述第二部分具有面对所述工件的第二相反侧的第二面向工件表面,
其中所述第二部分是可运动的,并且
其中所述第一部分和所述第二部分是扇形的,并且所述第一面向工件表面和所述第二面向工件表面是扇形,其中每个扇形由两条半径和一个圆弧限定;以及
系统控制器,其被配置成控制所述第二部分的运动。
22.根据权利要求21所述的自适应传热系统,其中所述第一面向工件表面和所述第二面向工件表面是平行的。
23.根据权利要求21所述的自适应传热系统,其中所述第二部分能在垂直于所述第一面向工件表面的方向上运动。
24.根据权利要求23所述的自适应传热系统,其中所述系统控制器被进一步配置成接收来自一个或多个传感器的输入,并且其中所述系统控制器还被配置成根据来自所述一个或多个传感器的输入控制所述第二部分的运动。
25.根据权利要求24所述的自适应传热系统,其中所述第二部分的受控运动被确定用于提高在整个所述工件上的传热均匀性。
26.根据权利要求24所述的自适应传热系统,其中所述一个或多个传感器是所述自适应基座的一部分。
27.根据权利要求24所述的自适应传热系统,其中所述输入关联于所述工件和所述第一面向工件表面以及所述第二面向工件表面之间的间隙。
28.根据权利要求24所述的自适应传热系统,其中所述输入与在所述工件的不同位置测得的温度有关。
29.根据权利要求24所述的自适应传热系统,其进一步包括从所述第一面向工件表面延伸的成组的支架,所述成组的支架用于支撑所述工件。
30.一种自适应传热方法,其包括:
启动自适应基座的第一部分的温度的变化;
提供工件至所述第一部分的第一面向工件表面上,其中所述第一部分是扇形的,并且所述第一面向工件表面是扇形,其中每个扇形由两条半径和一个圆弧限定;
使用一个或多个传感器监测一个或多个工艺参数;并且
基于所述一个或多个工艺参数调节所述第一部分。
31.根据权利要求30所述的自适应传热方法,其进一步包括:
提供所述自适应基座的第二部分,所述第二部分具有第二面向工件表面,其中所述第二部分是扇形的,并且所述第二面向工件表面是扇形;
启动所述第二部分的温度的变化;
并且基于所述一个或多个工艺参数调节所述第二部分。
32.根据权利要求31所述的自适应传热方法,其进一步包括基于提高在整个所述工件上的传热均匀性来确定调节所述第一部分和所述第二部分。
33.根据权利要求31所述的自适应传热方法,其中所述一个或多个工艺参数关联于所述工件和所述第一面向工件表面以及所述第二面向工件表面之间的间隙。
34.根据权利要求30所述的自适应传热方法,其中所述一个或多个工艺参数与整个所述工件上的温度有关。
35.根据权利要求30所述的自适应传热方法,进一步包括去除所述工件。
CN201280066240.0A 2012-01-06 2012-12-28 用于均匀传热的自适应传热方法和系统 Active CN104040710B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261584130P 2012-01-06 2012-01-06
US61/584,130 2012-01-06
PCT/US2012/071976 WO2013103594A1 (en) 2012-01-06 2012-12-28 Adaptive heat transfer methods and systems for uniform heat transfer

Publications (2)

Publication Number Publication Date
CN104040710A CN104040710A (zh) 2014-09-10
CN104040710B true CN104040710B (zh) 2017-11-28

Family

ID=48743103

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280066240.0A Active CN104040710B (zh) 2012-01-06 2012-12-28 用于均匀传热的自适应传热方法和系统

Country Status (5)

Country Link
US (1) US9835388B2 (zh)
KR (2) KR20140119726A (zh)
CN (1) CN104040710B (zh)
TW (1) TWI612628B (zh)
WO (1) WO2013103594A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
TWI472882B (zh) * 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US9202964B2 (en) * 2010-03-01 2015-12-01 First Solar, Inc. System and method for photovoltaic device temperature control while conditioning a photovoltaic device
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
WO2013103594A1 (en) 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
NL2011876C2 (en) * 2013-12-02 2015-06-03 Univ Delft Tech Low specimen drift holder and cooler for use in microscopy.
TWI650832B (zh) 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
SG11201608905XA (en) 2014-05-21 2016-12-29 Applied Materials Inc Thermal processing susceptor
KR102398067B1 (ko) * 2014-11-05 2022-05-13 삼성디스플레이 주식회사 정전 척
US10014228B2 (en) * 2014-11-24 2018-07-03 Rudolph Technologies, Inc. Method and apparatus to assist the processing of deformed substrates
US10109510B2 (en) 2014-12-18 2018-10-23 Varian Semiconductor Equipment Associates, Inc. Apparatus for improving temperature uniformity of a workpiece
JP6153095B2 (ja) * 2014-12-19 2017-06-28 信越半導体株式会社 エピタキシャルウェーハの製造方法
KR102370614B1 (ko) * 2015-03-30 2022-03-04 삼성디스플레이 주식회사 플레이트의 평탄도 조절 장치
US10453734B2 (en) 2015-07-02 2019-10-22 Asml Netherlands B.V. Substrate holder, a lithographic apparatus and method of manufacturing devices
US9786496B2 (en) * 2015-08-17 2017-10-10 Lam Research Corporation Method of densifying films in semiconductor device
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
KR102455352B1 (ko) 2016-09-02 2022-10-18 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치
US10655224B2 (en) * 2016-12-20 2020-05-19 Lam Research Corporation Conical wafer centering and holding device for semiconductor processing
US10354887B2 (en) 2017-09-27 2019-07-16 Lam Research Corporation Atomic layer etching of metal oxide
USD860146S1 (en) 2017-11-30 2019-09-17 Veeco Instruments Inc. Wafer carrier with a 33-pocket configuration
USD858469S1 (en) 2018-03-26 2019-09-03 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD863239S1 (en) 2018-03-26 2019-10-15 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD866491S1 (en) 2018-03-26 2019-11-12 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD854506S1 (en) 2018-03-26 2019-07-23 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD860147S1 (en) 2018-03-26 2019-09-17 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
CN110434991B (zh) * 2019-08-10 2021-11-30 广东东泓住工科技有限公司 一种预制混凝土构件蒸汽养护工艺
JP2023510475A (ja) * 2019-12-02 2023-03-14 エーファウ・グループ・エー・タルナー・ゲーエムベーハー 基板を加熱する装置および方法
EP3916482A1 (en) * 2020-05-27 2021-12-01 ASML Netherlands B.V. Conditioning device and corresponding object handler, stage apparatus and lithographic apparatus
CN117855020A (zh) * 2024-03-04 2024-04-09 上海谙邦半导体设备有限公司 一种温度可调的晶圆等离子体去胶装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0746009A1 (en) * 1995-05-30 1996-12-04 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
CN102246287A (zh) * 2008-12-11 2011-11-16 诺发系统有限公司 用于冷却晶片的装载锁和冷却所述晶片的方法

Family Cites Families (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3020131A (en) 1959-10-22 1962-02-06 Knapic Electro Physics Inc Rotating pedestal
US3612825A (en) 1969-08-08 1971-10-12 Shatterproof Glass Corp Windowed high-temperature ovens
US4535835A (en) 1982-05-25 1985-08-20 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
JPS61264649A (ja) 1985-05-20 1986-11-22 Ulvac Corp 基板冷却装置
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
KR940011708B1 (ko) 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5228208A (en) 1991-06-17 1993-07-20 Applied Materials, Inc. Method of and apparatus for controlling thermal gradient in a load lock chamber
JP3238200B2 (ja) 1992-07-17 2001-12-10 株式会社東芝 基体処理装置及び半導体素子製造方法
US5248922A (en) * 1992-04-27 1993-09-28 Motion Control Technologies, Inc. Multi-DSP, multi-functional motion controller
JPH0790582A (ja) 1993-06-22 1995-04-04 Nissin Electric Co Ltd 基板保持装置
DE4418562A1 (de) 1993-06-29 1995-01-12 Leybold Durferrit Gmbh Verfahren und Vorrichtung zur Behandlung von im wesentlichen aus Kunststoff oder Gummi bestehendem Gut
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
JP3017631B2 (ja) 1993-11-24 2000-03-13 東京エレクトロン株式会社 低温処理装置の制御方法
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3220619B2 (ja) 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
US5830277A (en) 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
JPH0992615A (ja) 1995-09-27 1997-04-04 Sony Corp 半導体ウェハの冷却装置
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5811762A (en) 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6214184B1 (en) 1997-05-14 2001-04-10 Taiwan Semiconductor Manufacturing Company, Ltd Insulated wafer pedestal
GB9711080D0 (en) 1997-05-29 1997-07-23 Imperial College Film or coating deposition on a substrate
US6113698A (en) 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
JP3758009B2 (ja) 1998-07-01 2006-03-22 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP4111625B2 (ja) 1999-03-31 2008-07-02 芝浦メカトロニクス株式会社 真空処理装置の基板冷却台
WO2000070666A1 (fr) 1999-05-14 2000-11-23 Tokyo Electron Limited Technique de traitement et dispositif correspondant
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6307184B1 (en) 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6410457B1 (en) 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
US6561796B1 (en) 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
KR100389913B1 (ko) 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
US6803237B2 (en) 2000-01-25 2004-10-12 Woods Hole Oceanographic Institution Sequential processing reaction vessel for chemical fractionation and analysis
US6534751B2 (en) * 2000-02-28 2003-03-18 Kyocera Corporation Wafer heating apparatus and ceramic heater, and method for producing the same
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
JP4540796B2 (ja) 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP3516392B2 (ja) 2000-06-16 2004-04-05 イビデン株式会社 半導体製造・検査装置用ホットプレート
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
WO2002011911A1 (en) 2000-08-04 2002-02-14 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
DE10043234A1 (de) 2000-09-02 2002-03-14 Stihl Maschf Andreas Ventiltrieb mit einem Kipphebel
KR20070037517A (ko) 2000-09-15 2007-04-04 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
TWI313059B (zh) 2000-12-08 2009-08-01 Sony Corporatio
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
JP2002246375A (ja) 2001-02-21 2002-08-30 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
AU2002240261A1 (en) 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6563686B2 (en) 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
TW541581B (en) 2001-04-20 2003-07-11 Memc Electronic Materials Method for the preparation of a semiconductor substrate with a non-uniform distribution of stabilized oxygen precipitates
JP3825277B2 (ja) 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6529686B2 (en) 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP3713220B2 (ja) 2001-06-15 2005-11-09 日本特殊陶業株式会社 セラミックヒータ
KR20020096524A (ko) 2001-06-20 2002-12-31 삼성전자 주식회사 반도체 장치 제조용 공정챔버의 웨이퍼 안착 구조
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6752948B2 (en) 2001-10-03 2004-06-22 3D Systems, Inc. Post processing three-dimensional objects formed by selective deposition modeling
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6899765B2 (en) 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
JP3588457B2 (ja) 2002-04-26 2004-11-10 京セラ株式会社 ウェハ加熱装置
KR20030096732A (ko) 2002-06-17 2003-12-31 삼성전자주식회사 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
JP4133062B2 (ja) 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 熱処理装置
US6905333B2 (en) 2002-09-10 2005-06-14 Axcelis Technologies, Inc. Method of heating a substrate in a variable temperature process using a fixed temperature chuck
US6768084B2 (en) 2002-09-30 2004-07-27 Axcelis Technologies, Inc. Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US6887523B2 (en) 2002-12-20 2005-05-03 Sharp Laboratories Of America, Inc. Method for metal oxide thin film deposition via MOCVD
JP4212888B2 (ja) 2002-12-26 2009-01-21 三菱化学エンジニアリング株式会社 プレート型触媒反応器
DE10261362B8 (de) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US6933004B2 (en) 2003-05-20 2005-08-23 Lucent Technologies Inc. Control of stress in metal films by controlling the temperature during film deposition
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
JP2005116655A (ja) 2003-10-06 2005-04-28 Canon Inc ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7410355B2 (en) 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
JP4376070B2 (ja) 2004-01-14 2009-12-02 日本碍子株式会社 加熱装置
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
DE102004055449B4 (de) 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
TW200723352A (en) 2004-12-06 2007-06-16 Axcelis Tech Inc Medium pressure plasma system for removal of surface layers without substrate loss
JP2006210372A (ja) * 2005-01-25 2006-08-10 Sony Corp 半導体製造装置および半導体製造方法
KR100702010B1 (ko) 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7194199B2 (en) 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070029046A1 (en) 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
US7956310B2 (en) 2005-09-30 2011-06-07 Tokyo Electron Limited Stage, substrate processing apparatus, plasma processing apparatus, control method for stage, control method for plasma processing apparatus, and storage media
JP2007158074A (ja) 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
WO2007067177A1 (en) 2005-12-07 2007-06-14 Axcelis Technologies, Inc. Medium pressure plasma system for removal of surface layers without substrate loss
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4497103B2 (ja) 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
JP4702799B2 (ja) 2006-03-17 2011-06-15 ルネサスエレクトロニクス株式会社 ボルト及び半導体製造装置
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20070283709A1 (en) 2006-06-09 2007-12-13 Veeco Instruments Inc. Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system
JP5347214B2 (ja) 2006-06-12 2013-11-20 東京エレクトロン株式会社 載置台構造及び熱処理装置
US20080102644A1 (en) 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7870804B2 (en) 2006-12-08 2011-01-18 GM Global Technologies Operations LLC Multi-speed dual clutch transmission
US8222574B2 (en) 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
KR100836183B1 (ko) 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
KR20080072275A (ko) 2007-02-01 2008-08-06 세메스 주식회사 반도체 제조용 애싱 장비 및 그 구동방법
JP2008192840A (ja) 2007-02-05 2008-08-21 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US9383138B2 (en) 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
JP5135915B2 (ja) 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8047706B2 (en) 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
JP2009218536A (ja) 2008-03-13 2009-09-24 Seiko Epson Corp 基板加熱装置および電気光学装置の製造装置
TWI472882B (zh) 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
CN102341902A (zh) 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
US20100247804A1 (en) 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
US20120006489A1 (en) * 2009-03-26 2012-01-12 Shogo Okita Plasma processing apparatus and plasma processing method
KR20110054840A (ko) 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US20120074126A1 (en) 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8971009B2 (en) * 2011-09-30 2015-03-03 Applied Materials, Inc. Electrostatic chuck with temperature control
US20130145989A1 (en) 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
WO2013103594A1 (en) 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
US20140170857A1 (en) 2012-12-18 2014-06-19 Intermolecular, Inc. Customizing Etch Selectivity with Sequential Multi-Stage Etches with Complementary Etchants
US20160056032A1 (en) 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0746009A1 (en) * 1995-05-30 1996-12-04 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
CN102246287A (zh) * 2008-12-11 2011-11-16 诺发系统有限公司 用于冷却晶片的装载锁和冷却所述晶片的方法

Also Published As

Publication number Publication date
KR20140119726A (ko) 2014-10-10
TWI612628B (zh) 2018-01-21
KR20190132561A (ko) 2019-11-27
US20130175005A1 (en) 2013-07-11
TW201351581A (zh) 2013-12-16
US9835388B2 (en) 2017-12-05
WO2013103594A1 (en) 2013-07-11
CN104040710A (zh) 2014-09-10

Similar Documents

Publication Publication Date Title
CN104040710B (zh) 用于均匀传热的自适应传热方法和系统
US10113233B2 (en) Multi-zone temperature control for semiconductor wafer
CN102893386B (zh) 托架盖
CN102593025B (zh) 半导体晶片的多区域温度控制
CN101978481B (zh) 热处理腔室中的晶片支撑件的温度测量及控制
US8113142B2 (en) Apparatus for processing a substrate
US20060005770A1 (en) Independently moving substrate supports
TW201642384A (zh) 方位可調整的多區域靜電夾具
JP2010500762A (ja) トラックリソグラフィツールにおける臨界寸法の制御方法およびシステム
JPH11510316A (ja) ホトレジスト硬化方法及び装置
US8115142B2 (en) Plate, apparatus for adjusting temperature of substrate having the plate and apparatus for processing substrate having the plate
JPH08124818A (ja) 熱処理装置
JP4531661B2 (ja) 基板の処理方法及び基板の処理装置
JP2003515950A (ja) 抵抗加熱型単一ウエハ炉
US7150628B2 (en) Single-wafer type heat treatment apparatus for semiconductor processing system
TW201732077A (zh) 用於最小化跨基板的溫度分布的具有凹槽的平板基座
WO2018022477A1 (en) Substrate support with in situ wafer rotation
JP2010028098A (ja) 成膜装置および成膜方法
JP2004022805A (ja) 熱的処理装置および熱的処理方法
KR101391720B1 (ko) 기판 처리 장치
JP2020161685A (ja) 成膜装置および成膜方法
TW200818259A (en) Methods and systems for controlling critical dimensions in track lithography tools

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant