CN102246287A - 用于冷却晶片的装载锁和冷却所述晶片的方法 - Google Patents

用于冷却晶片的装载锁和冷却所述晶片的方法 Download PDF

Info

Publication number
CN102246287A
CN102246287A CN2009801493395A CN200980149339A CN102246287A CN 102246287 A CN102246287 A CN 102246287A CN 2009801493395 A CN2009801493395 A CN 2009801493395A CN 200980149339 A CN200980149339 A CN 200980149339A CN 102246287 A CN102246287 A CN 102246287A
Authority
CN
China
Prior art keywords
load lock
wafer
inch
ventilation gas
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801493395A
Other languages
English (en)
Other versions
CN102246287B (zh
Inventor
克里斯托弗·盖奇
查尔斯·E·波默罗伊
戴维·科恩
纳加拉贾恩·卡利亚纳孙达拉姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN102246287A publication Critical patent/CN102246287A/zh
Application granted granted Critical
Publication of CN102246287B publication Critical patent/CN102246287B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明提供用于从低压环境到高压环境冷却和传送晶片的设备和方法。一设备可包含一冷却底座和用于将所述晶片固持在所述冷却底座上方的一套支撑件。所述晶片与所述冷却底座之间的平均间隙可不大于约0.010英寸。可使用通气气体来在所述传送期间增加所述设备内的压力。在特定实施例中,通气气体包括氮气。

Description

用于冷却晶片的装载锁和冷却所述晶片的方法
对相关申请案的交叉参考
本申请案主张2008年12月11日申请的USSN:12/333,239的权益和优先权,所述USSN:12/333,239出于所有目的以引用的方式全部并入到本文中。
技术领域
本发明大体上涉及用于使用装载锁来传送晶片的方法和设备,且更明确地说,涉及用于在较低压与较高压环境之间传送晶片时冷却所述晶片的方法和设备。
背景技术
许多半导体制造操作是在低压和高温下执行的。使用装载锁在低压与高压环境之间传送晶片的同时,常常将处理模块保持在低压下。装载锁有效隔离两个环境,并消除对在两个压力等级之间重复循环处理模块的需求,所述处理模块通常具有较大内部体积。相反,在晶片传送期间,仅对小体积装载锁进行循环。一些配置包含与处理系统的低压侧上的一个或一个以上内部晶片处置模块集成的若干个处理模块。晶片在不传送到高压环境的情况下可能经历若干个过程。
在处理之后,必须从低压处理模块移除晶片,并将所述晶片放置到大气环境中以进行(例如)存储。此类晶片在暴露于氧气之前可能需要冷却到特定温度,以防止氧化、漏气以及对存储模块的破坏。快速而统一的冷却是非常理想的,但常常难以实现。为了维持高通过量,整个传送过程仅有几秒。常常需要(通常)通过将晶片靠近冷表面(例如,冷却底座)而定位来使晶片冷却200℃以上。晶片常常是不平坦的,且需要在冷表面与默认晶片位置之间有相对较大的设定距离,以避免直接接触。因为针对每一晶片而个别地调整所述距离是不实际的,所以必须将分离距离设置为非最佳值(对应于可能的最坏情况下的晶片变形),从而导致大体上不良传热。因此,装载锁使用具有较高传热系数的昂贵的通气气体(例如,氦气),且甚至需要延长的冷却周期。在冷却表面与晶片之间的不统一的间隙还导致不均匀的冷却,从而在弓起而离开(远离)冷却表面的区域中留下热点(hot spot),这可导致过多的应力,从而很可能导致晶片破裂。
一些解决方案包含用以修改热变形的晶片的形状的静电或真空夹持机制。不幸的是,这些解决方案需要与晶片背侧有较大的接触面积,进而增加了破坏晶片以及在接触点处不均匀的冷却的风险。此外,所需要的夹持机制是复杂且昂贵的。
因此,需要在装载锁中晶片传送期间提供有效冷却的改进的方法和设备。
发明内容
本发明提供一种装载锁,其中晶片与冷却底座分离平均不大于约0.010英寸(在由底座支撑的晶片的表面上方)。此类较小间隙允许使用廉价的通气气体,并缩短所需的冷却时间。在晶片的前侧与后侧之间的所设计的压差将弓起的晶片与底座的接触销相抵而整平。通过控制装载锁内的通气气体的流量而产生所述差。可通过以下各项的发明性组合来维持此条件:动态控制装载锁内的压力分布、通气气体口(尤其是其形状、位置以及定向)、通气气体流动速率、流动路径以及其它参数。
在一个实施例中,装载锁包含:入口传送口和出口传送口;底座,其具有用于从晶片吸收热的表面;通气气体口,其位于底座表面上方,且经配置以用于传递通气气体;以及一套支撑件,其提供于底座表面上。在特定实施例中,一套包含至少四个支撑件,当晶片位于所述支撑件上时,所述支撑件的高度在晶片与底座表面之间提供不大于约0.010英寸的平均间隙。在较具体实施例中,所述平均间隙不大于约0.005英寸,且在更具体实施例中,不大于约0.002英寸。
可由装载锁的盖子和主体界定通气气体口。在特定实施例中,通气气体口的开口的直径介于约4英寸与8英寸之间,或者更具体来说,介于约6英寸与6.5英寸之间。在相同的或其它实施例中,所述开口的宽度介于约0.010英寸与0.100英寸之间。通气气体源可耦合到所述口,且提供大体上不含氦气的通气气体。在特定实施例中,通气气体包含氮气。
装载锁内的底座的形状可经设计以提供统一的传热。在特定实施例中,底座表面具有凸面形状。在晶片的边缘与中心周围的间隙之间的差可介于约0.001英寸与0.010英寸之间。在较具体实施例中,此差介于约0.001英寸与0.003英寸之间。
支撑件分布于底座表面上方,以相对于底座表面来控制晶片的构型。在特定实施例中提供至少十个支撑件。所述支撑件可布置于至少两个环形中。第一环形距底座的中心约4英寸与6英寸之间而定位,且第二环形距底座的中心约1英寸与3英寸之间而定位。可将至少六个支撑件提供于第一环形内,并将至少三个支撑件提供于第二环形内。所述支撑件的尖端可在底座的表面上方提供平坦的平面。
支撑件可具有不同的设计,且由经选择以在不引起破坏的情况下确保对晶片的足够支撑的材料制成。举例来说,支撑件可具有圆形尖端。在特定实施例中,所述支撑件的直径介于约0.020英寸与0.125英寸之间。所述支撑件可由热绝缘材料制成。在相同的或其它实施例中,所述支撑件包含导电陶瓷材料。
所述装载锁还可具有相关联的控制器,所述相关联的控制器含有用于以下步骤的程序指令:将晶片提供到所述装载锁中;将所述晶片定位在支撑件上;关闭入口传送口;控制所述装载锁内的压力,以使所述晶片保持与支撑件的至少70%接触;以及随后打开出口传送口,并移除所述晶片。本发明的特定实施例包含一种实践上文所列出的指令的方法。可通过提供大体上不含氦气的通气气体来控制压力。所述压力在控制操作期间可在至少30托每秒的速率下增加。在相同的或其它实施例中,可在介于约10标准升每分钟与50标准升每分钟之间的流动速率下提供通气气体。所述压力控制操作可持续少于15秒。晶片的温度在此时间期间可减少至少200摄氏度。
下文将参看相关联的图式更详细地陈述本发明的这些和其它特征与优点。
附图说明
图1说明关于不同通气气体的有效传热系数随晶片与冷却底座之间的间隙而变的图表。
图2是包含装载锁、处理模块、内部和外部晶片传送模块以及晶片存储模块的整体半导体处理系统的示意性说明。
图3A是根据本发明的一个实施例的装载锁系统的横截面图,其中冷却底座处于降低的位置,且晶片由在所述底座上方的中间支撑销支撑。
图3B是根据本发明的一个实施例的装载锁系统的横截面图,其中冷却底座处于升高的位置,且晶片由底座晶片支撑件支撑。
图4A是根据本发明的一个实施例的装载锁内的底座的示意性俯视图,其展示底座晶片支撑件位于晶片下方,且边缘定位销位于晶片的周边周围。
图4B是底座的示意性侧视图,其中凸面顶部表面和晶片由具有可变高度的底座晶片支撑件支撑。
图5A是根据本发明的一个实施例的包含具有通气口的盖子和装载锁的主体的组合件的横截面图。
图5B是根据本发明的一个实施例的用于将通气气体供应到装载锁中的通气口和入口路径的放大的横截面图。
图6说明根据本发明的一个实施例的晶片传送和冷却过程的流程图。
图7说明根据本发明的特定实施例的装载锁内的整体压力在传送和冷却过程期间随时间而变的图表。
具体实施方式
在以下描述中,陈述了许多具体细节以便提供对本发明的全面理解。可在无这些具体细节中的部分或全部的情况下实践本发明。在其它例子中,未详细描述众所周知的过程操作,从而不会不必要地模糊本发明。尽管将结合具体实施例来描述本发明,但将理解,不希望将本发明限于所述实施例。
介绍
使用装载锁来在两个不同压力等级下的环境之间传送晶片。装载锁常常用来在将晶片从低压处理侧传送到大气压存储侧时冷却所述晶片。出于此描述的目的,“低压”和“高压”为适用于许多不同压力状态的术语。一般来说,其通常在装载锁的低压侧和装载锁的高压侧的情况中表示两个不同的压力。在特定实施例中,“低压”指介于约10-9托(1毫微托)与100托之间的压力。在较具体的范围内,低压介于约5x10-4托(0.5毫托)与1托之间。在另一实施例中,低压介于20托与60托之间。在需要装载锁的许多应用中,在低压下执行生产热晶片的过程。
高于所述低压的任何压力等级被称为“高压”。在某一实施例中,高压为周围压力或其附近压力。在其它实施例中,低压小于周围压力。
在此描述的情况中,“通气”是通过(例如)供应通气气体中的一者或一者以上来增加装载锁内的压力。所述装载锁通常配备有用以引入通气气体的通气口。传送和冷却过程可具有一个或一个以上通气循环。
“抽气(Pumping)”或“抽真空(vacuuming)”指通过(例如)打开真空口并使气体从装载锁逸出而减少所述装载锁内的压力。可将真空泵或真空设施线附接到所述真空口。在特定实施例中,通气与抽气同时发生。举例来说,通气和真空口两者均是开放的,且通气气体被同时引入到装载锁和从装载锁移除。可完成这来(例如)控制晶片的相对侧上的压差,而与装载锁内的压力变化无关。
晶片可从处于大体上高于对于将晶片暴露于周围条件下以及将晶片放置到存储模块中可接受的温度的处理模块出来。举例来说,晶片在典型的CVD过程之后处于约350℃。其在暴露于氧气之前必须冷却到低于70℃。内部传送系统仅花费两到三秒来将晶片从处理模块移动到装载锁,以及花费再多几秒(通常,10到15秒)来将所述装载锁在移除晶片之前带入大气压。通常,晶片没有额外的时间来冷却,以便确保高过程通过量。
晶片在暴露于较冷的环境之后便立刻开始冷却。其可以从处理模块的加热的底座移除为开始。此时温度梯度通常是最大的。然而,如果周围气体处于低压下(例如,低于100托,且通常低达1托,且甚至更低),那么传热速率仍然可能较低。这两个因素的组合(高温度梯度和低传热系数)可导致传送期间逐渐从晶片损耗热。在一个实例中,晶片在传送过程的前1到5秒期间从其在处理模块中的初始温度损耗介于约10℃与40℃之间。
而在装载锁中,晶片继续损耗热。在特定实施例中,晶片定位于冷却底座的顶部上,所述冷却底座从晶片移除大量的热。除了其它因素之外,所传送的热的数量取决于晶片与冷却底座之间的距离。距离越小,所提供的传热就越好。然而,晶片不应触碰所述底座,以便避免冷点、粒子污染以及机械破坏。图1说明关于处于约100托的不同通气气体的有效传热系数随着晶片与冷却底座之间的平均间隙而变的图表。所述图表指示有效传热系数大体上随着平均间隙低于0.2mm到0.3mm(或者约0.008英寸到0.012英寸)而增加。举例来说,已证明廉价的氮气(线108)在0.2mm(0.008英寸)间隙下与昂贵得多的氦气(线104)在1mm(0.039英寸)间隙下同样有效。其它作为模型的通气气体(氢气(线102)和氖气(106))已展示类似的现象。还确定了这些气体在约为20托的压力下即刻达到其最大传热系数值的约80%,且随后为高于约100托的压力维持大体上恒定的传热系数。
所有的晶片从具有扭曲的形状的处理模块(即,其偏离真正的平坦)到达。然而,扭曲的相对量级可千差万别;晶片形状的总体可变性可为~0.030英寸。在氦气的情况下(其具有较高的传热系数),适当的间隙为~0.035英寸;在氮气的情况下(具有低得多的传热系数),间隙必须小得多,例如,~0.005英寸。要解决的难题是在面对晶片形状的0.030英寸可变性的同时,一致地(从晶片到晶片)维持0.005英寸的间隙。发明人面临的挑战是找到以可再生方式(reproducibly)整平装载锁中的加热的晶片,且在同时极大地减少晶片表面与传热表面(例如,底座)之间的平均距离的方式,以允许在存在传热相对不良的气体时快速冷却。
在下文进一步描述的特定实施例中,由略微延伸到底座的顶部表面上方的最小接触面积(MCA)支撑件来维持小间隙。MCA支撑件以此方式定位,以便用其尖端界定一平面。在一些实例中,底座的顶部表面具有曲率或某一其它非平面形状。所述形状导致底座的一些部分(通常为边缘)比其它部分(通常为中心)更远离晶片。在此实例中,“中心间隙”是对应于水平对准的晶片的中心的间隙,且“边缘间隙”是对应于此晶片的边缘的间隙。在具体实施例中,边缘间隙沿着晶片的整个周边是一样的。“平均间隙”是中心间隙和边缘间隙的数值平均。
使用边缘与中心间隙之间的差来实现统一的冷却。在不努力使晶片的半径上的传热变得均匀的情况下,晶片往往将从边缘冷却得较快。在特定实施例中,晶片与底座之间的通气气体流动速率的构型驱动底座构型。在特定实施例中,通气气体从底座外引入到装载锁中,且流到底座与晶片之间的间隙中。流动速率在晶片的边缘周围最高,且在中心处最低。如果与表面接触的流体的速度较高,那么表面与流体之间的传热就较大。因此,在一些实施例中,边缘间隙可大于中心间隙,以补偿在晶片的边缘周围的通气气体的较高流动速率。换句话说,使用凸面底座形状来促进从晶片的中心的传热。
设备
图2展示根据本发明的特定实施例的半导体处理系统200。可在晶片存储模块202中将晶片提供给系统。举例来说,可使用前开式标准晶片盒(FOUP)来提供晶片以及从系统接纳晶片。外部晶片处置系统204可包含机器人臂,并用以在晶片存储模块202与装载锁206之间传送晶片。所述晶片通过对应的外部口而放置到装载锁206中和从装载锁206移除。晶片存储模块202和外部晶片处置系统204是仅说明的在高压下(例如,系统200所处的生产设施的周围压力)操作的组件。在替代实施例中,可使用装载锁206来在处理系统的两个内侧之间传送晶片,所述处理系统的两个内侧在两者均低于周围压力的不同压力等级下操作。
装载锁206在低压与高压之间循环,从而使外侧与处理侧隔离开。此方法消除对于在每一晶片的每一处理后对整个低压侧(例如,内部晶片处置模块208和处理模块210)进行通气且随后抽气的需要。在特定实施例中,装载锁206经设计具有足以容纳一个或一个以上晶片的最小内部体积,并允许接近晶片处置系统的机器人臂。在特定实施例中,装载锁206的体积可介于约1升与10升之间。在较具体的实施例中,装载锁体积可介于约2升与5升之间。
低压侧可包含一个或一个以上内部晶片处置模块208和一个或一个以上处理模块210,例如,一个或一个以上物理气相沉积(PVD)腔室、化学气相沉积(CVD)腔室、原子层沉积(ALD)腔室、脱气模块、预清洗模块、反应预清洗(RPC)模块、冷却模块、额外装载锁、支柱以及其它类型的模块。尽管图2的说明性实例仅包含三个处理模块210和一个内部晶片处置模块208,但系统200可具有任何数目的模块和模块的组合。内部晶片处置模块208是用来在不同的处理模块210和装载锁206之间传送晶片。
本发明不限于上文所述的半导体晶片处理系统配置。举例来说,可将一个或一个以上多站反应器耦合到传送模块,所述传送模块耦合到一个或一个以上装载锁。举例来说,合适的半导体处理工具包含由加利福尼亚州的圣荷西市(San Jose,CA)的诺发系统(Novellus System)生产的Novellus Sequel、Inova、Altus、Speed以及不同的Vector系统(例如,Vector Extreme、Vector Express、Vector AHM)。反应器无需为多站反应器,而可为单站反应器。类似地,装载锁可为多晶片装载锁,例如,双晶片装载锁。
处理系统200可包含系统控制器210,所述系统控制器210可从系统的各种模块接收反馈信号,并将控制信号供应回到同一模块或其它模块。系统控制器210可控制装载锁206的操作,例如,循环的定时、压力等级、通气和冲洗气体的定时和流动速率、抽气以及许多其它过程变量。在本发明的总体方面中,控制系统210可使装载锁206的操作相对于其它模块(例如,外部晶片处置模块204和内部晶片处置模块208)同步化。在本发明的较具体方面中,系统控制器210可控制装载锁206的通气和冲洗气体线和/或真空线的阀和流量计以及打开和关闭装载锁206的内部和外部口的机制的操作。系统控制器210可为负责各种处理模块、支柱等的操作的整体系统范围内的控制器的一部分。
在所描绘的实施例中,使用系统控制器210来在将衬底晶片提供到装载锁、关闭装载锁的传送口、对装载锁进行通气、对装载锁进行抽气、打开装载锁的口以及移除所述晶片时控制过程条件。
系统控制器210可包含一个或一个以上存储器装置,以及一个或一个以上处理器。处理器可包含CPU或计算机、模拟和/或数字输入/输出连接、步进电动机控制器板等。在所述处理器上执行用于实施适当的控制操作的指令。可将这些指令存储于与控制器相关联的存储器装置上,或者可将所述指令提供到网络上。
在特定实施例中,系统控制器210控制处理系统的所有活动。所述系统控制器执行系统控制软件,所述系统控制软件包含用于控制处理操作的定时、压力等级、流动速率以及具体过程的其它参数的指令集。在一些实施例中可使用存储于与所述控制器相关联的存储器装置上的其它计算机程序、脚本或例程。
通常,存在与系统控制器210相关联的用户界面。所述用户界面可包含显示屏、用以显示过程条件的图形软件,以及例如指向装置、键盘、触摸屏、麦克风等用户输入装置。
可以任何常规计算机可读编程语言来编写用于控制以上操作的计算机程序代码:例如,汇编语言、C、C++、Pascal、Fortran或其它。由处理器来执行经编译的目标代码或脚本,以执行在程序中所识别的任务。
可由系统控制器的模拟和/或数字输入连接提供用于监视所述过程的信号。在处理系统的模拟和数字输出连接上输出用于控制所述过程的信号。
图3A说明当冷却底座310处于降低的位置时在晶片306的装载或卸载期间装载锁的横截面图。装载锁具有主体302和使装载锁从外部环境密封的盖子304。在特定实施例中,盖子304具有通气口。所述盖子还可连同主体302一起形成通气路径,以用于从所述口将通气气体供应到进一步描述于图4A和图4B的情况中的装载锁中。
冷却底座310通常由铝、不锈钢或任何其它合适的导热材料制成。冷却底座310可具有用于使冷却介质在底座中循环的一套通道。在特定实施例中,冷却介质可主要为水,或者为维持在介于约(例如)15℃与35℃之间的其它合适的液体。在其它实施例中,使用了其它循环传热介质,例如,超冷氮气或galden。冷却底座310可具有驱动器318,驱动器318经附接以用于在底座310的降低的位置(展示于图3A中)与升高的位置(展示于图3B中)之间的垂直方向上移动底座310。在其它实施例中,所述底座是固定的,且晶片抬升机(wafer lift)提供对晶片的初始支撑,且随后带动所述晶片朝向用于传热的底座。
冷却底座310可具有用于晶片306相对于冷却底座310的水平对准的一套对准锥体314。其它实施例(未图示)使用例如不需要对准零件的经适当配置的晶片抬升机等移动部件。在特定实施例中,底座310具有一套用于垂直对准以及用于在晶片306翘曲时对其再成形或整平的最小接触面积(MCA)支撑件312。所描绘的装载锁配备有由不锈钢或任何其它合适的材料制成的中间支撑销308。所述装载锁还具有用于连接通气、冲洗以及抽真空线(未图示)的不同转接器。所述装载锁具有用于从低压和高压侧接近装载锁并将晶片306带入和带出的两个传送口315和316。可将一个传送口315指定为用于接近高压侧的外部传送口。可将另一传送口316指定为用于接近低压侧的内部传送口。
呈现了整体传送过程中的少数操作,以进一步说明根据特定实施例的装载锁的元件的配置和功能。当打开内部传送口316时,可将底座310放置于其降低的位置(如图3A中所示)中。可启动驱动器318来将底座带入降低的位置中。内部晶片传送系统的机器人臂随后将晶片306放置于中间支撑销308上,并从装载锁缩回。
冷却底座310随后被驱动器318升高。如图3B中所示,晶片306从中间支撑销308被抬升,且由MCA支撑件312支撑。在此操作期间,晶片306可通过对准锥体314中的一者或一者以上而在水平方向上对准。一旦晶片306在底座上受到支撑且内部传送口关闭,装载锁就立刻准备好通气。通常,使用用于整个处理系统的系统控制器来使本文所述的操作同步化。
图4A和图4B说明根据特定实施例的支撑晶片404的装载锁400在通气操作期间的俯视和侧横截面图。晶片404定位于冷却底座402上方,且通过对准锥体408而水平对准。晶片由MCA支撑件406支撑。图4A呈现其中底座具有十二个MCA支撑件的实施例。可使用任何合适数目的MCA支撑件406。一般来说,使用三个或三个以上MCA支撑件406。额外的MCA支撑件406可在晶片404的整平期间提供较好的支撑,然而每一额外的MCA支撑件提供与晶片404的后侧的一额外的接触点,且增加了破坏后侧的风险。在特定实施例中,MCA支撑件的数目可介于三与一百之间。在具体实施例中,MCA支撑件的数目可介于九与二十之间。在一个设计中,底座具有十五个支撑件,十二个支撑件在边缘附近,且三个支撑件较靠近中心。一些模型已指示需要至少约九个支撑件来满足正常垂度要求;可使用多达二十个支撑件来避免更严格的垂度要求。支撑件的间隔和数目通常取决于底座的热性质以及晶片的弹性性质和热性质。在特定实施例中,MCA支撑件具备均匀的径向和/或方位角(角度)间隔。
MCA支撑件406从冷却底座402延伸,并在底座402上方界定(用其尖端)一平面。在一个实施例中,所述尖端偏离所述平面小于约0.001英寸。在一较具体的实施例中,从所述平面的偏离约为0.0002英寸或更少,且在一甚至更具体的情况下,约为0.0005英寸或更少。MCA支撑件406可相对于彼此间隔开,以在冷却期间提供最少垂度的晶片404。
在一些实施例中,MCA支撑件406和/或对准锥体408是由任何导电材料制成的,其不仅提供对晶片的足够的支撑和对准,而且还提供从晶片404的后侧和边缘的静电的放电。在一具体实施例中,MCA支撑件406和/或对准锥体408是由导电陶瓷(例如体积电阻率介于103欧姆-厘米与1012欧姆-厘米之间的Cerastat)制成的。冷却底座402为MCA支撑件406和/或对准锥体408提供到电接地的电连接。
MCA支撑件尖端可具有各种形状。在一个实施例中,MCA支撑件406具有圆形尖端,所述圆形尖端提供与晶片的十分小的接触面积,进而减少破坏的风险并使局部的传热峰值最小化。晶片与MCA支撑件之间的直接接触导致与传热主要依赖于通气气体以及对冷却底座的接近的其它区域中相比,在接触点处的传热要高得多。减少每一MCA支撑件的接触面积和支撑件的数目将使局部的传热峰值最小化。在另一实施例中,MCA支撑件406经成形为具有平坦顶部的圆柱。
在特定实施例中,晶片冷却底座402的顶部表面(即,面对后侧的表面)是弯曲的。在一个实施例中,曲率半径介于约1,000英寸与10,000英寸之间。这些半径值可分别为300mm晶片提供为0.0174英寸与0.0017英寸的边缘与中心间隙之间的差。在一较具体的实施例中,曲率半径可介于约4,000英寸与8,000英寸之间,其对应于同一晶片大小的0.0044英寸与0.0022英寸的间隙差。中心间隙可能已预设为介于约0.001英寸与0.020英寸之间,或者更具体来说,预设为介于约0.002英寸与0.010英寸之间。下表呈现底座设计的各种实例(就中心间隙和曲率半径方面而表征)。
表1
图5A说明装载锁的盖子502和主体504的横截面图。在特定实施例中,盖子502具有用于允许通气气体进入装载锁的通气口508。所述口可与统称为通气路径的一个或一个以上通道连通。图5B中进一步说明此路径的一实例。通气口508允许通气气体流入第一通道512中,所述第一通道512可经成形为矩形的环形空间、环形或者各种其它合适的形状。如图5B中所示,所述环形的两侧由盖子502形成,且其它两侧由装载锁的主体504形成。在特定实施例中,所述侧介于约0.125英寸与1.000英寸之间。在一个实施例中,第一通道512大于通气路径的其它通道。这可能是通气气体在从入口管508进入到路径中之后在第一通道512内的初始分布所需要的。举例来说,第一通道512的尺寸可为约0.5英寸乘0.5英寸。第一通道512的开口可为约0.30英寸。然而,在许多情况下,有效地成倍增多气流或在晶片的顶部中心上方传递扩散流的任何设计将起作用。
通气气体随后通到第二通道514中。第二通道514也可具有矩形环的构型或任何其它合适的形状。第二通道514的宽度(H1)可介于约0.125英寸与1.000英寸之间,更具体来说,介于约0.250英寸与0.500英寸之间。第二通道的长度(即,第一通道与第三通道之间的距离)也可为介于约0.010英寸与0.125英寸之间,更具体来说,介于约0.015英寸与0.045英寸之间。
所述通气气体随后从第二通道前进,并进入到第三通道516中。第三通道516也可具有矩形或其它环形构型。其宽度(H2)可介于约0.010英寸与0.125英寸之间,更具体来说,介于约0.015英寸与0.045英寸之间。第一通道512的长度可介于约0.010英寸与0.125英寸之间,更具体来说,介于约0.015英寸与0.045英寸之间。应针对给定装载锁与晶片组合而仔细选择这些尺寸,以确保均匀的流量,且不产生太大的压差。第三通道516引导通气气体在晶片上方朝向腔室的中心。在此方向上引入气体防止了气体的喷射定向为直接朝向晶片,这消除由经由直接冲击而接合到晶片导致的一个缺陷模式。优选的是,通气气体仅由于装载锁内部流体动力学的缘故而朝向晶片流动。在离开第三通道之后,通气气体即刻被压差驱动到装载锁的不同区域。
回到图5A,在所描绘的实施例中,通气气体从第三通道进入所述装载锁。第三通道516的开口的直径(D)可介于约4英寸与10英寸之间,更具体来说,介于约6英寸与8英寸之间。上文所呈现的这些尺寸是用于经设计以传送300mm晶片的装载锁,且对于用于其它晶片大小(例如,200mm晶片)的装载锁可伸缩。直径(D)确定通气气体的处于晶片506的前侧506a和后侧506b之间的装载锁的腔室内的路径长度。举例来说,较小的直径对应于通气气体较靠近晶片的中心而引入,且因此,通过较长的路径行进到后侧,且导致较高的压差。所述压差还取决于通气气体必须传送通过的且由装载锁的各种内部元件所界定的横截面构型。此外,晶片的前侧与装载锁的表面(例如,盖子和主体)之间的距离可影响所述压差。在特定实施例中,所述距离为介于约1mm与50mm之间,更具体来说,介于约5mm与10mm之间。一般来说,此距离大于晶片的后侧与底座之间的间隙。一般来说,装载锁设计将在后侧上产生从晶片的中心到边缘的压力梯度,以及在顶侧上产生中心到边缘梯度。另外,从晶片的前侧到后侧将存在基本梯度。
在特定实施例中,底座510与后侧506b之间的较小间隙可导致过量的压差,因为通气气体较慢地穿过较小的间隙,从而导致在晶片与底座之间的压力增加较慢。晶片的前侧上的太多压力可能通过(例如)导致在晶片中产生过量的扭曲或高机械应力而破坏所述晶片。因此,特定实施例提供用以限制晶片前侧上的压力的机制或程序。在一个实例中,底座510可在其顶部表面上包含小沟槽,以促进将通气气体分布于底座与晶片的后侧506b之间。或者(或另外),可将通气气体中的一些通过冷却底座510而朝向晶片506的中心和其它部分供应。
在特定实施例中,压力梯度(在晶片的前侧与后侧之间)经设计成足够低,以防止在晶片支撑件之间晶片扭曲大于0.0002英寸。这将随衬底的弹性、支撑件之间的距离以及所产生的压力梯度而变。仅需要约0.001磅/平方英寸(psi)压差来整平由于压缩性的膜应力而扭曲的大多数圆顶晶片。在特定实施例中,压差类似于约0.0015磅/平方英寸或更大。在相同的或其它实施例中,压差少于约1磅/平方英寸。一般来说,压力上限和下限是由MCA支撑件间隔、MCA支撑件设计、晶片的弹性以及其它参数确定的。
图6是描绘根据特定实施例的用于从装载锁的低压侧到高压侧冷却和传送晶片的过程的流程图。所描绘的过程如框602处所示通过确保装载锁具有与将从其进行传送的一侧(例如,低压侧)相同的压力而开始。举例来说,如果上次传送是对这一侧进行的,那么装载锁可能已处于此压力。可通过打开真空口和/或装载锁与低压侧之间的口来平衡压力。一旦压力在内部传送口的两侧上约为相同,就可打开所述口(604)。有时在将另一晶片传送到低压侧之后,所述口可保持打开。控制系统随后确保冷却底座处于降低的位置中。这可通过(例如)将信号发送到底座驱动器以将冷却底座移动到降低的位置中来完成。内部传送系统的机器人臂随后将晶片载运到装载锁中(框606),并将其定位于中间支撑销上(框608)。
机器人臂随后从装载锁缩回(框610),且内部传送口关闭(框614),从而使装载锁从低压侧密封。传送口的关闭(框614)可发生于在机器人臂的缩回与将通气气体引入到装载锁中之间的任何时刻。底座升高(框612),且其用MCA支撑件从中间支撑销抬升晶片。所述晶片在此刻可能不与所有MCA支撑件接触。举例来说,所述晶片可具有扭曲的形状,且仅少数MCA支撑件与晶片的低压区域(low area)接触。所述压力在此刻贯穿整个装载锁为统一的。另外,所述晶片可通过对准锥体而相对于冷却底座对准。在一个实施例中,将晶片装载到装载锁中花费约1秒到5秒,且在此时间期间所述晶片可损耗约10℃到50℃。
一旦将晶片定位于MCA支撑件上,就起始通气循环(框616)。通过盖子中的通气口将一种或一种以上通气气体引入到装载锁中。流动速率可为恒定或可变的。在特定实施例中,使用可变的流动速率来克服通气循环期间的温度梯度的可变性,且进而提供统一的传热。平均流动速率取决于装载锁的内部体积(其可介于约1L与100L之间)和通气循环的持续时间。在使用内部体积为2升和10升的装载锁的一个实施例中,流动速率介于约10标准升每分钟(SLM)与50标准升每分钟之间。在一较具体实施例中,通气气体的流动速率可介于约20SLM与40SLM之间。
可使用各种通气气体。选择主要取决于成本和传热系数。当然,所述气体还应对晶片呈惰性。合适的通气气体的实例(取决于应用)包含氢气、氦气、氖气、甲烷、氮气、氧化碳、乙烷、乙烯、氩气、丁烯及其组合。在一具体实施例中,通气气体为氮气或主要为氮气的气体混合物。在另一具体实施例中,通气气体主要为氦气。传统上,氦气已由于其高传热系数而用作传热气体。不幸的是,氦气相对较昂贵。由于本发明允许十分靠近底座而安放晶片,所以人们可使用传热系数较低的相对较廉价的气体。氮气就是这样一种气体。
可使用通气气体的组合。此组合可具有恒定的或可变的成分。举例来说,通气循环可以仅使氦气流到装载锁中开始,而随后引入氮气。在此实例中,氦气的流动速率可逐渐减少,且氮气的流动速率可增加。在另一实施例中,可即刻关闭第一气体的流动,同时可在此刻引入另一气体。可能需要通气气体的特定总流动速率来维持装载锁中的晶片上的充足的压差。
在通气循环期间(框616),将装载锁从其初始低压带入到最终高压。在图7的情况中论述了装载锁内在传送过程期间的压力曲线的三个实例。在每一实例中,在装载锁中在通气期间压力连续增加。选择压力曲线以在晶片上维持压差。所述压差应具有充分的量级,以迫使晶片平坦地与所有MCA销相抵而安放。在替代实施例中,装载锁压力在通气期间保持恒定(或甚至减少)。然而,为了使晶片保持平坦地与MCA销相抵而销住,此类实施例一般需要通过底座的中心部分将一些通气气体抽气到装载锁之外,以便在晶片上产生压差。
至少在通气循环的一部分期间,产生充足的压差以用于晶片的整平。在特定实施例中,在晶片的中心周围的压差为至少约0.001磅/平方英寸,更具体来说,至少约0.002磅/平方英寸,且在一些情况下,至少约0.010磅/平方英寸。晶片的后侧可与所有MCA支撑件或其大部分接触。在一个实施例中,将充足的压差维持了整个通气操作(框616)持续时间的至少约70%。在一较具体实施例中,将所述充足的压差维持了整个通气操作持续时间的至少约90%。
在通气循环(框616)完成之后,装载锁的压力即刻与在高压侧上相同。装载锁的外部传送口打开,且可执行冲洗循环(框618)。冲洗循环涉及提供一种或一种以上惰性气体,例如氩气、氦气、氮气或任何其它气体,以至少在初始移动操作期间遮蔽晶片以防止氧化。可通过通气口或单独的冲洗口来供应惰性气体。在一个实施例中,此刻在晶片上并未维持压差。
如框620中所指示,随后下降底座,且将晶片保持在中间支撑销上。在晶片与底座之间产生增加的间隙允许外部晶片处置系统的机器人臂到达晶片之下、从销抬升晶片以及从装载锁移除晶片(操作622)。应注意,为了减少到底座表面的间隔且进而改进晶片与所述表面之间的传热的晶片的整平可用来用于晶片的加热。换句话说,可使用本发明的概念来加热或冷却晶片;有可能在加热或冷却循环期间升高压力。在特定实施例中,本发明适用于在恒定压力操作期间用足够的抽气来在晶片的后侧上施加力。
图7是根据一个实施例的装载锁内的压力随装载、通气以及冲洗阶段期间的时间而变的图表。在装载阶段702期间,通过打开的内部传送口将晶片引入到装载锁中。如上文所指示,装载锁内的压力在此阶段期间必须与低压侧上相同。在完成传送之后,关闭传送口,且装载锁开始通气阶段704。在此阶段期间引入一种或一种以上通气气体。所供应的通气气体连同抽气流动速率一起(在使用抽气的情况下)的整体流动速率确定装载锁内的压力曲线。
图7说明其中装载锁内的压力逐渐增加的压力曲线的三个实例。在一个实例中,如线708所说明,压力增加是恒定的。在不限于任何特定理论的情况下,认为恒定的压力增加(线708)实现更统一的传热和在晶片上相对较恒定的压差。
或者,如压力曲线710中所示,在通气阶段的开端压力可较快速地增加。这可快速增加传热系数,且同时提供更大的初始压差以供整平所述晶片。在另一实施例中,如曲线712中所示,压力在开端缓慢增加,且随后朝向通气阶段的末端而较快速增加。具体压力曲线的选择可基于晶片在传送期间的所要温度变化曲线、通气气体的导热性、通气阶段的持续时间、压差要求以及其它参数。
在通气阶段704完成之后,装载锁内的压力即刻约与外部高压相同。在此刻起始冲洗/卸载阶段706。可打开外部传送口,并将冲洗气体引入到装载锁中。此阶段的持续时间可介于约1秒与20秒之间。在一具体实施例中,冲洗/卸载阶段可持续3秒到10秒。
总结
尽管已出于理解的清晰性目的而在某一程度详细描述了前述发明,但应显而易见,可在所附权利要求书的范围内实践特定改变和修改。应注意,存在实施本发明的过程、系统以及设备的许多替代方式。因此,应将现有实施例认为是说明性而非限制性的,且本发明不应限于本文中给定的细节。

Claims (26)

1.一种用于在处理之后冷却晶片的装载锁,所述装载锁包括:
(a)入口传送口,其用于在处理之后接纳晶片;
(b)出口传送口,其用于在冷却之后移除晶片;
(c)底座,其具有用于从所述晶片吸收热的表面;
(d)通气气体口,其用于将通气气体传递到所述底座表面上方;以及
(e)至少三个支撑件,其提供于所述底座表面上,其中当所述晶片由所述支撑件支撑时,所述支撑件的高度在所述晶片与所述底座表面之间提供不大于约0.010英寸的平均间隙。
2.根据权利要求1所述的装载锁,其中所述平均间隙不大于约0.005英寸。
3.根据权利要求1所述的装载锁,其中所述平均间隙不大于约0.002英寸。
4.根据权利要求1所述的装载锁,其中所述通气气体口具有直径介于约4英寸与8英寸之间的开口。
5.根据权利要求4所述的装载锁,其中所述通气气体口开口的直径介于约6英寸与6.5英寸之间。
6.根据权利要求4所述的装载锁,其中所述通气气体口开口的宽度介于约0.010英寸与0.100英寸之间。
7.根据权利要求4所述的装载锁,其进一步包括装载锁盖子和装载锁主体,且其中所述通气气体口开口由所述装载锁盖子和所述装载锁主体界定。
8.根据权利要求1所述的装载锁,其进一步包括耦合到所述通气气体口的通气气体源,其中所述通气气体大体上不含氦气。
9.根据权利要求7所述的装载锁,其中所述通气气体包括氮气。
10.根据权利要求1所述的装载锁,其中所述底座表面具有凸面形状。
11.根据权利要求1所述的装载锁,其中边缘间隙与中心间隙之间的差介于约0.001英寸与0.010英寸之间。
12.根据权利要求1所述的装载锁,其中边缘间隙与中心间隙之间的差介于约0.001英寸与0.003英寸之间。
13.根据权利要求1所述的装载锁,其中提供至少十个支撑件。
14.根据权利要求1所述的装载锁,其中所述支撑件布置于所述底座上至少两个环形内,且其中第一环形距所述底座的中心约4英寸与6英寸之间而定位,且其中第二环形距所述底座的所述中心约1英寸与3英寸之间而定位。
15.根据权利要求14所述的装载锁,其中至少六个支撑件提供于所述第一环形内,且至少三个支撑件提供于所述第二环形内。
16.根据权利要求1所述的装载锁,其中所述支撑件的尖端在所述底座的所述表面上方提供平坦的平面。
17.根据权利要求1所述的装载锁,其中所述支撑件具有圆形尖端。
18.根据权利要求1所述的装载锁,其中所述支撑件的直径介于约0.020英寸与0.125英寸之间。
19.根据权利要求1所述的装载锁,其中所述支撑件包括热绝缘材料。
20.根据权利要求1所述的装载锁,其中所述支撑件包括导电陶瓷材料。
21.根据权利要求1所述的装载锁,其进一步包括控制器,所述控制器包括用于以下步骤的程序指令:
(a)将所述晶片提供到所述装载锁;
(b)将所述晶片定位在所述支撑件上;
(c)关闭所述入口传送口;
(d)控制所述装载锁内的压力,以使所述晶片保持与所述支撑件的至少70%接触;以及
(e)打开所述出口传送口,并移除所述晶片。
22.一种使用装载锁从低压侧到高压侧冷却和传送晶片的方法,所述方法包括:
(a)将所述晶片提供到所述装载锁中;
(b)将所述晶片定位在提供于底座上的支撑件上;
(c)关闭入口传送口;
(d)控制所述装载锁内的压力,以使所述晶片保持与所述支撑件的至少70%接触;以及
(e)打开出口传送口,并移除所述晶片。
23.根据权利要求22所述的方法,其中所述控制压力包括提供通气气体,其中所述通气气体大体上不含氦气。
24.根据权利要求22所述的方法,其中所述控制压力包括在至少30托每秒的速率下增加所述装载锁内的所述压力。
25.根据权利要求22所述的方法,其中所述控制压力包括在介于约10标准升每分钟与50标准升每分钟之间的流动速率下提供通气气体。
26.根据权利要求26所述的方法,其中在少于15秒内执行控制所述压力,且其中所述晶片的温度在此时间期间减少至少200摄氏度。
CN200980149339.5A 2008-12-11 2009-12-07 用于冷却晶片的装载锁和冷却所述晶片的方法 Active CN102246287B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/333,239 US8033771B1 (en) 2008-12-11 2008-12-11 Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US12/333,239 2008-12-11
PCT/US2009/067040 WO2010068598A2 (en) 2008-12-11 2009-12-07 Minimum contact area wafer clamping with gas flow for rapid wafer cooling

Publications (2)

Publication Number Publication Date
CN102246287A true CN102246287A (zh) 2011-11-16
CN102246287B CN102246287B (zh) 2014-06-18

Family

ID=42243289

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980149339.5A Active CN102246287B (zh) 2008-12-11 2009-12-07 用于冷却晶片的装载锁和冷却所述晶片的方法

Country Status (5)

Country Link
US (2) US8033771B1 (zh)
KR (1) KR101645053B1 (zh)
CN (1) CN102246287B (zh)
TW (1) TWI490973B (zh)
WO (1) WO2010068598A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103077917A (zh) * 2012-06-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 衬底支撑座及应用所述衬底支撑座的半导体处理设备
CN104040710A (zh) * 2012-01-06 2014-09-10 诺发系统公司 用于均匀传热的自适应传热方法和系统
CN106463435A (zh) * 2014-12-11 2017-02-22 瑞士艾发科技 用于衬底脱气的室
CN107641797A (zh) * 2016-07-22 2018-01-30 朗姆研究公司 晶片在槽中居中以提高晶片边缘的方位角厚度均匀性
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
CN110770888A (zh) * 2017-06-23 2020-02-07 应用材料公司 在电子装置制造系统中经由直接部件接触进行间隙校准的系统和方法
CN110892501A (zh) * 2017-05-22 2020-03-17 朗姆研究公司 消除晶片背面边缘和缺口处的沉积物的晶片边缘接触硬件和方法

Families Citing this family (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US8052419B1 (en) * 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
TWI472882B (zh) * 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
CN102751228B (zh) * 2011-06-28 2014-11-26 清华大学 一种利用弹簧夹子的晶圆夹持装置
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5841382B2 (ja) * 2011-09-06 2016-01-13 株式会社ダイヘン ワーク搬送装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9779971B2 (en) * 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
KR20230116078A (ko) * 2014-05-21 2023-08-03 어플라이드 머티어리얼스, 인코포레이티드 열 처리 서셉터
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105470133B (zh) * 2014-09-06 2018-07-31 中国科学院微电子研究所 半导体器件制造方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9428833B1 (en) * 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102615853B1 (ko) * 2015-10-15 2023-12-21 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 시스템
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6554387B2 (ja) * 2015-10-26 2019-07-31 東京エレクトロン株式会社 ロードロック装置における基板冷却方法、基板搬送方法、およびロードロック装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9870917B2 (en) 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI781346B (zh) * 2018-09-29 2022-10-21 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US20210035851A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Low contact area substrate support for etching chamber
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021044623A1 (ja) * 2019-09-06 2021-03-11 キヤノンアネルバ株式会社 ロードロック装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11177048B2 (en) * 2019-11-20 2021-11-16 Applied Materials Israel Ltd. Method and system for evaluating objects
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024028194A1 (en) * 2022-08-05 2024-02-08 Asml Netherlands B.V. High-throughput load lock chamber

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07147274A (ja) * 1993-11-24 1995-06-06 Tokyo Electron Ltd 低温処理装置の制御方法
KR20030096732A (ko) * 2002-06-17 2003-12-31 삼성전자주식회사 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
JP2005116655A (ja) * 2003-10-06 2005-04-28 Canon Inc ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3308989A (en) 1965-10-22 1967-03-14 Internat Specialty Products In Business card dispenser having a reciprocating card ejector means
US3612825A (en) 1969-08-08 1971-10-12 Shatterproof Glass Corp Windowed high-temperature ovens
US3909994A (en) 1974-04-03 1975-10-07 Temcor Dome construction
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4535835A (en) 1982-05-25 1985-08-20 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
JPS61264649A (ja) 1985-05-20 1986-11-22 Ulvac Corp 基板冷却装置
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
KR940011708B1 (ko) 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5228208A (en) 1991-06-17 1993-07-20 Applied Materials, Inc. Method of and apparatus for controlling thermal gradient in a load lock chamber
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
JP3238200B2 (ja) 1992-07-17 2001-12-10 株式会社東芝 基体処理装置及び半導体素子製造方法
US5308989A (en) 1992-12-22 1994-05-03 Eaton Corporation Fluid flow control method and apparatus for an ion implanter
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5830277A (en) 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
JPH0992615A (ja) 1995-09-27 1997-04-04 Sony Corp 半導体ウェハの冷却装置
US5811762A (en) 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6214184B1 (en) 1997-05-14 2001-04-10 Taiwan Semiconductor Manufacturing Company, Ltd Insulated wafer pedestal
US6113698A (en) 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP4111625B2 (ja) 1999-03-31 2008-07-02 芝浦メカトロニクス株式会社 真空処理装置の基板冷却台
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
KR100613674B1 (ko) 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 웨이퍼 처리 장치 및 처리 방법
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6307184B1 (en) 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP4540796B2 (ja) 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP3516392B2 (ja) * 2000-06-16 2004-04-05 イビデン株式会社 半導体製造・検査装置用ホットプレート
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
KR20030026333A (ko) 2000-08-04 2003-03-31 에스.씨. 플루이즈, 아이엔씨. 보호 밀폐장치를 구비한 역방식의 압력용기
DE10043234A1 (de) 2000-09-02 2002-03-14 Stihl Maschf Andreas Ventiltrieb mit einem Kipphebel
KR100960773B1 (ko) * 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
TWI313059B (zh) 2000-12-08 2009-08-01 Sony Corporatio
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
JP2002246375A (ja) 2001-02-21 2002-08-30 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
US6563686B2 (en) 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
TW541581B (en) 2001-04-20 2003-07-11 Memc Electronic Materials Method for the preparation of a semiconductor substrate with a non-uniform distribution of stabilized oxygen precipitates
US6529686B2 (en) 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP3713220B2 (ja) 2001-06-15 2005-11-09 日本特殊陶業株式会社 セラミックヒータ
KR20020096524A (ko) * 2001-06-20 2002-12-31 삼성전자 주식회사 반도체 장치 제조용 공정챔버의 웨이퍼 안착 구조
US6750155B2 (en) 2001-08-08 2004-06-15 Lam Research Corporation Methods to minimize moisture condensation over a substrate in a rapid cycle chamber
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6752948B2 (en) 2001-10-03 2004-06-22 3D Systems, Inc. Post processing three-dimensional objects formed by selective deposition modeling
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6899765B2 (en) 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
US6768084B2 (en) 2002-09-30 2004-07-27 Axcelis Technologies, Inc. Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US6887523B2 (en) 2002-12-20 2005-05-03 Sharp Laboratories Of America, Inc. Method for metal oxide thin film deposition via MOCVD
JP4212888B2 (ja) 2002-12-26 2009-01-21 三菱化学エンジニアリング株式会社 プレート型触媒反応器
DE10261362B8 (de) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7410355B2 (en) 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
SG132670A1 (en) 2003-11-10 2007-06-28 Blueshift Technologies Inc Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
JP4376070B2 (ja) 2004-01-14 2009-12-02 日本碍子株式会社 加熱装置
US20070110895A1 (en) * 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
KR100702010B1 (ko) 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
JP4860167B2 (ja) 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070029046A1 (en) 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4497103B2 (ja) * 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
JP4702799B2 (ja) * 2006-03-17 2011-06-15 ルネサスエレクトロニクス株式会社 ボルト及び半導体製造装置
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20070283709A1 (en) 2006-06-09 2007-12-13 Veeco Instruments Inc. Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system
US20080102644A1 (en) 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
JP4907310B2 (ja) * 2006-11-24 2012-03-28 東京エレクトロン株式会社 処理装置、処理方法及び記録媒体
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7870804B2 (en) 2006-12-08 2011-01-18 GM Global Technologies Operations LLC Multi-speed dual clutch transmission
KR100836183B1 (ko) 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
KR20080072275A (ko) * 2007-02-01 2008-08-06 세메스 주식회사 반도체 제조용 애싱 장비 및 그 구동방법
JP2008192840A (ja) * 2007-02-05 2008-08-21 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8047706B2 (en) 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
TWI472882B (zh) 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07147274A (ja) * 1993-11-24 1995-06-06 Tokyo Electron Ltd 低温処理装置の制御方法
KR20030096732A (ko) * 2002-06-17 2003-12-31 삼성전자주식회사 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
JP2005116655A (ja) * 2003-10-06 2005-04-28 Canon Inc ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104040710A (zh) * 2012-01-06 2014-09-10 诺发系统公司 用于均匀传热的自适应传热方法和系统
CN104040710B (zh) * 2012-01-06 2017-11-28 诺发系统公司 用于均匀传热的自适应传热方法和系统
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
CN103077917A (zh) * 2012-06-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 衬底支撑座及应用所述衬底支撑座的半导体处理设备
CN106463435A (zh) * 2014-12-11 2017-02-22 瑞士艾发科技 用于衬底脱气的室
CN106463435B (zh) * 2014-12-11 2019-07-09 瑞士艾发科技 用于衬底脱气的室
CN107641797A (zh) * 2016-07-22 2018-01-30 朗姆研究公司 晶片在槽中居中以提高晶片边缘的方位角厚度均匀性
CN107641797B (zh) * 2016-07-22 2021-08-27 朗姆研究公司 晶片在槽中居中以提高晶片边缘的方位角厚度均匀性
TWI735620B (zh) * 2016-07-22 2021-08-11 美商蘭姆研究公司 為改善晶圓邊緣之方位角厚度均勻性而施行的晶圓在凹槽內之定心
US11075127B2 (en) 2016-08-09 2021-07-27 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
CN110892501A (zh) * 2017-05-22 2020-03-17 朗姆研究公司 消除晶片背面边缘和缺口处的沉积物的晶片边缘接触硬件和方法
CN110892501B (zh) * 2017-05-22 2024-01-23 朗姆研究公司 消除晶片背面边缘和缺口处的沉积物的晶片边缘接触硬件和方法
CN110770888B (zh) * 2017-06-23 2020-12-11 应用材料公司 在电子装置制造系统中进行间隙校准的系统和方法
CN112542404A (zh) * 2017-06-23 2021-03-23 应用材料公司 在电子装置制造系统中进行间隙校准的系统和方法
CN110770888A (zh) * 2017-06-23 2020-02-07 应用材料公司 在电子装置制造系统中经由直接部件接触进行间隙校准的系统和方法
CN112542404B (zh) * 2017-06-23 2022-03-22 应用材料公司 在电子装置制造系统中进行间隙校准的系统和方法

Also Published As

Publication number Publication date
TWI490973B (zh) 2015-07-01
KR20110094206A (ko) 2011-08-22
US20110318142A1 (en) 2011-12-29
KR101645053B1 (ko) 2016-08-02
CN102246287B (zh) 2014-06-18
US8033771B1 (en) 2011-10-11
WO2010068598A3 (en) 2010-09-23
WO2010068598A2 (en) 2010-06-17
TW201029106A (en) 2010-08-01
US8454294B2 (en) 2013-06-04

Similar Documents

Publication Publication Date Title
CN102246287B (zh) 用于冷却晶片的装载锁和冷却所述晶片的方法
US9589819B1 (en) Substrate processing apparatus
US20010035124A1 (en) Substrate processing apparatus and semiconductor manufacturing method
KR102350501B1 (ko) 기판을 급속 냉각하기 위한 방법들 및 장치
US20090205783A1 (en) Substrate processing apparatus
TWI462185B (zh) 基板處理裝置,基板支持具及半導體裝置之製造方法
JPH05218176A (ja) 熱処理方法及び被処理体の移載方法
JP2012089625A (ja) 接合装置
US11302542B2 (en) Processing apparatus
KR20130018361A (ko) 기판 탑재 기구 및 그것을 이용한 기판 처리 장치
JP2018157149A (ja) 基板処理装置および基板処理方法
KR20120062842A (ko) 피처리체의 냉각 방법, 냉각 장치 및 컴퓨터 판독 가능한 기억 매체
JP6823575B2 (ja) 基板処理装置、反応管及び半導体装置の製造方法
JP7441905B2 (ja) 基板処理装置
JP2006190812A (ja) 基板処理装置
CN214226885U (zh) 一种预清洁反应器
JP7463459B2 (ja) 基板処理装置
US20220319877A1 (en) Substrate processing apparatus and substrate processing method
TWI847817B (zh) 減少背側基板接觸的基板傳送機制
CN114334755A (zh) 用于降低晶圆温度的装置
US20090223443A1 (en) Supercritical film deposition apparatus
KR20060022950A (ko) 반도체 제조 장치
JP2007043187A (ja) 半導体装置の製造方法及び基板処理装置
KR20030000773A (ko) 반도체장치 제조설비의 진공/퍼지시스템
JP2006216586A (ja) 基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant