TWI490973B - 利用快速晶圓冷卻的氣流的最小接觸面積晶圓夾持 - Google Patents
利用快速晶圓冷卻的氣流的最小接觸面積晶圓夾持 Download PDFInfo
- Publication number
- TWI490973B TWI490973B TW098142343A TW98142343A TWI490973B TW I490973 B TWI490973 B TW I490973B TW 098142343 A TW098142343 A TW 098142343A TW 98142343 A TW98142343 A TW 98142343A TW I490973 B TWI490973 B TW I490973B
- Authority
- TW
- Taiwan
- Prior art keywords
- wafer
- loading chamber
- pedestal
- venting
- pressure
- Prior art date
Links
- 238000001816 cooling Methods 0.000 title claims description 50
- 235000012431 wafers Nutrition 0.000 claims description 243
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 100
- 239000007789 gas Substances 0.000 claims description 91
- 238000013022 venting Methods 0.000 claims description 89
- 238000012546 transfer Methods 0.000 claims description 87
- 238000000034 method Methods 0.000 claims description 41
- 238000012545 processing Methods 0.000 claims description 33
- 230000008569 process Effects 0.000 claims description 23
- 239000001307 helium Substances 0.000 claims description 15
- 229910052734 helium Inorganic materials 0.000 claims description 15
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 15
- 238000009423 ventilation Methods 0.000 claims description 14
- 238000005086 pumping Methods 0.000 claims description 7
- 230000008859 change Effects 0.000 claims description 2
- 238000004891 communication Methods 0.000 claims description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 22
- 229910052757 nitrogen Inorganic materials 0.000 description 11
- 238000003860 storage Methods 0.000 description 9
- 238000004140 cleaning Methods 0.000 description 7
- 238000013461 design Methods 0.000 description 7
- 239000004065 semiconductor Substances 0.000 description 6
- 230000006870 function Effects 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- 238000005273 aeration Methods 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 238000010926 purge Methods 0.000 description 3
- 230000032258 transport Effects 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 239000002826 coolant Substances 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000006185 dispersion Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000011112 process operation Methods 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 239000000758 substrate Substances 0.000 description 2
- VXNZUUAINFGPBY-UHFFFAOYSA-N 1-Butene Chemical compound CCC=C VXNZUUAINFGPBY-UHFFFAOYSA-N 0.000 description 1
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- IAQRGUVFOMOMEM-UHFFFAOYSA-N butene Natural products CC=CC IAQRGUVFOMOMEM-UHFFFAOYSA-N 0.000 description 1
- 229910002090 carbon oxide Inorganic materials 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 229940030980 inova Drugs 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 238000010943 off-gassing Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/6875—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Description
本發明一般係關於使用裝載腔來轉移晶圓之方法及裝置,且特定而言係關於冷卻正被於較低壓力環境與較高壓力環境之間轉移的晶圓之方法及裝置。
許多半導體製程操作係於低壓及高溫下執行。當使用裝載腔在低壓環境與高壓環境下轉移晶圓時,處理模組通常被保持於低壓下。裝載腔有效地隔離兩種環境且無需在不同壓力位準之間重複循環處理模組,處理模組典型具有內部體積。取而代之的是,在晶圓轉移期間,僅循環小體積裝載腔。有些組態包含於處理系統之低壓側上連同一個或多個內部晶圓處置模組整合的若干處理模組。晶圓可能經歷多個製程而未被轉移至一高壓環境。
在處理之後,必須將晶圓自一低壓處理模組中移除且放置入一大氣環境中,以例如儲存。在將此等晶圓曝露至氧氣中之前,需將其等冷卻至特定溫度,以防止氧化、逸出氣體(out-gassing)及對儲存模組造成損壞。快速但均勻冷卻為人們所迫切期望但通常難於達成。為了維持高處理量,整個轉移製程僅有若干秒可供利用。晶圓通常需由高於200℃而冷卻,這通常係藉由將晶圓定位成接近一冷表面(例如一冷卻台座)而達成。通常晶圓並非平坦,且介於該冷表面與一預設晶圓位置之間需要相當大的固定距離(set distance),以避免直接接觸。由於針對每個晶圓個別地調整該等距離不切實際,必須將分隔距離設定成非最佳化值(對應於最壞可能晶圓變形),這一般會導致熱轉移不良。因此,裝載腔採用昂貴的通氣氣體,例如氦氣,氦氣具有一高熱轉移係數且儘管如此仍需要特別長的冷卻時期。該冷卻表面與該晶圓之間之一非均勻間隙亦會造成不均勻冷卻,進而在自該冷卻表面弓起(弓離)之表面中留出一熱點,該熱點造成過度應力,從而可能會導致晶圓破裂。
一些解決方案包含靜電或真空夾持機構,以修改熱變形晶圓之形狀。遺憾的是,此等解決方案需要與晶圓背側接觸面積大,因此會增加損壞該等晶圓且接觸點處發生不均勻冷卻之風險。此外,所需要的夾持機構複雜且昂貴。
因此,需要能夠於晶圓在裝載腔中轉移期間提供有效冷卻之方法及裝置。
本發明提供一種裝載腔,在該裝載腔中,一晶圓自一冷卻台座分離平均上不超過約0.010吋(在由該台座支撐之表面之上)。此等小間隙使得可使用便宜的通氣氣體且縮短所需要的冷卻時間。藉由介於該晶圓的前側與背側之間的一設計壓力差而緊貼一台座之接觸銷而使弓形晶圓平坦。該(壓力)差係藉由控制該裝載腔內側的該通氣氣體之流動而產生。此條件係可藉由動態地控制該裝載腔內側的壓力分佈、通氣氣體端口(尤其是其等的形狀、位置及定向)、通氣氣體流率、流徑及其他參數的發明組合而得以維持。
在一實施例中,一種裝載腔包含:一引入轉移端口與一排出轉移端口;一台座,其具有吸收來自該等晶圓之熱之一表面;一通氣氣體端口,其位於該台座表面之上且經組態以運送通氣氣體;及設於一台座表面上之一組支撐物。在某些實施例中,該組支撐物包含至少四個支撐物,當該晶圓係位於該等支撐物上時,該等支撐物之高度於該晶圓與該台座表面之間提供一不大於約0.010吋之間隙。在更特定的實施例中,該平均間隙不大於約0.005吋;且在更為特定的實施例中,該平均間隙不大於約0.002吋。
該通氣氣體端口係可由該裝載腔的一蓋子及一本體所界定。在某些實施例中,該通氣氣體端口之開口的一直徑為約4吋至8吋之間,或更具體而言,介於6吋與6.5吋之間。在相同或其他實施例中,該開口的寬度為約0.010吋與0.100吋之間。一通氣氣體源可被耦合至該通氣氣體端口且提供一種大體上不包含氦氣之通氣氣體。在某些實施例中,該通氣氣體包含氮氣。
可對該裝載腔內側之該台座之形狀加以設計以提供均勻的熱轉移。在某些實施例中,該台座表面為凸面形狀。圍繞該晶圓之邊緣及中心的間隙之間的差值可為介於約0.001吋與0.010吋之間。在更為具體的實施例中,此差為約0.001吋與0.003吋之間。
支撐物被分散於該台座表面之上以控制晶圓相對於該台座表面之剖面。在某些實施例中,設有至少十個支撐物。該等支撐物可配置於至少兩環中。第一環被定位成距該台座之中央約4吋至6吋,且第二環被定位成距該該台座之該中央約1吋至3吋。可在該第一環內設至少六個支撐物,且可在該第二環內設至少三個支撐物。該等支撐物的尖端可於該台座之該表面之上提供一平坦平面。
支撐物可具不同的設計且可由經選擇之材料製成,以確保對該晶圓提供充分的支撐而不致造成損壞。例如,支撐物可具有圓形尖端。在某些實施例中,該等支撐物的直徑為約0.020吋與0.125吋之間。該等支撐物係可由一種絕熱材料製成。在相同或其他實施例中,該等支撐物包含一種導電陶瓷材料。
該裝載腔亦可具有一關聯的控制器,該控制器包含程式指令,用於:將一晶圓提供至該裝載腔中;將該晶圓定位於該等支撐物上;閉合該引入轉移端口;控制該裝載腔內側的壓力,以保持該晶圓與該等支撐物中的至少70%接觸;且接著開啟該排出轉移端口且移除該晶圓。本發明之某些實施例包含一種實踐上文羅列的指令之方法。可藉由提供一種大體上不含氦氣之通氣氣體來控制該壓力。可於該控制操作期間以一至少30托每秒之速率來增加該壓力。在相同或其他實施例中,可以約50標準公升/分鐘10至50標準公升/分鐘之流率來提供該通氣氣體。該壓力控制操作可僅少於15秒。在此時間內,該晶圓之溫度可降低至少攝氏200度。
下文將參考關聯的圖式來更為詳盡地陳述本發明之此等及其他特徵及優點。
在下文之描述中,將陳述若干具體細節以使讀者透徹地瞭解本發明。亦可在不具此等具體細節中的一些者或所有者之情形下執行本發明。在其他情形下,未有詳盡描述廣為知曉的製程操作,以避免對本發明造成不必要的模糊。雖然將結合該等特定實施例來描述本發明,應瞭解,此舉並非意於將本發明限於該等實施例。
裝載腔被用以在處於兩個不同壓力位準下的環境之間轉移晶圓。裝載腔通常亦被用以在將晶圓自一低壓處理側轉移至一大氣壓儲存側期間冷卻晶圓。為了此描述,「低壓」及「高壓」係用於許多不同的壓力狀態的術語。一般而言,「低壓」及「高壓」代表兩個不同的壓力,通常是在一裝載腔之一低壓側及裝載腔之一高壓側之內容背景中。在某些實施例中,「低壓」係指一介於約10-9
托(1奈托)與100托之間的壓力。在一更為具體的範圍中,一低壓係介於約5×10-4
托(0.5毫托)與1托之間。在另一實施例中,該低壓係介於20托與60托之間。在許多需要裝載腔的應用中,一產生熱晶圓之製程係於低壓下執行。
任何高於該低壓之壓力位準被稱為「高壓」。在某些實施例中,該高壓為環境壓力或與環境壓力大致相當。在其他實施例中,該低壓小於環境壓力。
在此描述之內容背景中,「通氣」意指正藉由例如供應一或多種通氣氣體而增加裝載腔內側之壓力。裝載腔一般配備有一通氣端口以引入該通氣氣體。一轉移及冷卻製程可具有一或多個通氣循環。
「泵吸」或「真空化」係指經由例如開啟一真空端口且允許氣體自裝載腔逸出而減小裝載腔內側之壓力。可將一真空泵或一真空設施線附接至真空端口。在某些實施例中,通氣與泵吸相一致。例如,通氣端口及真空端口二者均開啟且通氣氣體同時被引入裝載腔中且該裝載腔移除。此舉意在例如獨立於裝載腔內側的壓力變化來控制晶圓的相對的側上之壓力差。
晶圓可能來自處於大體上高於將晶圓曝露於環境條件中且將晶圓放置於儲存模組中可接受的溫度下之處理模組。例如,在一典型的CVD製程之後,晶圓處於約350℃。在將晶圓曝露於氧氣中之前,必須將晶圓冷卻至低於70℃。一內部轉移系統將一晶圓自一處理模組轉移至一裝載腔僅需2秒至3秒,且在移除晶圓之前將裝載腔帶至環境壓力下則需要更多秒(典型10秒至15秒)。通常,無額外時間可供晶圓冷卻,以確保高製程處理量。
一晶圓在曝露至較冷環境中之後立即開始冷卻。這可能始於自處理模組的受熱台座移除晶圓。此刻的溫度梯度通常最大。然而,若環境氣體處於低壓(例如小於100托且通常低至1托且甚至更低)下,則該熱轉移速率可能仍低。溫度梯度大且熱轉移係數低,此兩個因素組合可能會導致在熱轉移期間熱逐漸自晶圓損失。在一實例中,在轉移製程的最初1秒至5秒期間,一晶圓在處理模組中自其初始溫度損失約10℃至40℃。
同時在裝載腔中,晶圓繼續損失熱。在某些實施例中,晶圓被定位於一冷卻台座之頂部,該冷卻台座自該晶圓移除大量熱。除了其他因素,所轉移的熱的量亦取決於介於晶圓與冷卻台座之間的距離。距離愈小則熱轉移愈佳。然而,該晶圓不應觸碰該台座,以避免熱點、顆粒污染及機械損壞。圖1繪示在約100托下針對不同的通氣氣體,有效熱轉移係數作為介於晶圓與冷卻台座之間的平均間隙之一函數之一標繪圖。標繪圖表明,隨著平均間隙減小至低於0.2毫米至0.3毫米(或大約0.008吋至0.012吋),有效熱轉移係數大幅增加。例如,已證明便宜的氮氣(線108)在0.2毫米(0.008吋)之間隙下與較為昂貴的氦氣(線104)在1毫米(0.039吋)之間隙下效率相當。其他模型化通氣氣體(氫氣(線102)與氖氣(106))已展現相似的作用。亦經判定一旦此等氣體處於一約20托之壓力下,則其等的熱轉移係數值會達到最大熱轉移係數值的約80%;且在壓力為大約100托之情形下維持大體上恆定的熱轉移係數。
所有來自該等處理模組的晶圓形狀變形(意即,其等偏離完全平坦)。然而,變形之相對量值可能大幅變化;晶圓形狀的總體可變性可為約0.030吋。對於氦氣(其具有高熱轉移係數),一合適的間隙為約0.035吋;對於氮氣(具有更低熱轉移係數),該間隙必須較小,例如,約0.005吋。一個難以解決的問題是,當面對晶圓形狀存在一0.030吋的可變性之情形下,一致地(晶圓間)維持一0.005吋的間隙。發明者過去所面臨的挑戰是尋求一種可再現地使一裝載腔中的受熱晶圓平坦且同時大幅減小晶圓表面與一熱轉移表面(例如,一台座)之間的平均距離以於存在一種熱轉移相對弱的氣體之情形下實現快速冷卻之途徑。
在下文將進一步描述之某些實施例中,一小間隙係藉由於台座之頂部表面稍上方延伸的最小接觸面積(MCA)支撐物而得以維持。該等MCA支撐物被以使其等之尖端界定一平面之一方式而定位。在一些實例中,台座之頂部表面具有一曲率或其他非平面形狀。此等形狀導致該台座之一些部分(通常為邊緣)與其他部分(通常中央)相比較更為遠離晶圓。在此實例中,一「中央間隙」為對應於水平對準晶圓之中央之一間隙,且一「邊緣間隙」為對應於此晶圓之邊緣之一間隙。在特定實施例中,該邊緣間隙沿晶圓的整個周邊相同。一「平均間隙」為中央間隙與邊緣間隙之一數值平均數。
介於邊緣間隙與中央間隙之間的差被用以達成均勻冷卻。無需費力均衡一晶圓之半徑上之熱轉移,晶圓趨於自邊緣更快速地冷卻。在某些實施例中,台座剖面係由於介於晶圓與台座之間通氣氣體流率之量變曲線所驅動。在某些實施例中,排空氣體被自台座之外側引入至裝載腔中且流入介於台座與晶圓之間的間隙中。流率在晶圓之邊緣周圍最大且在中央處最小。在一表面與液體之間,若與表面接觸之液體速率愈較大,則該表面與該液體之間的熱轉移愈大。因此,在一些實施例中,邊緣間隙可大於中央間隙,以補償晶圓的邊緣周圍的通氣氣體的較高流率。換言之,採用一凸面台座形狀,以促進熱自晶圓的中央轉移。
圖2展示根據本發明的某些實施例之一半導體處理系統200。可將晶圓設於該系統之晶圓儲存模組202中。例如,可使用前端開口整合盒(FOUP),以提供並容納來自系統之晶圓。一外部晶圓處置系統204可包含一機械臂且用以於晶圓儲存模組202與裝載腔206之間轉移晶圓。晶圓係經由多個對應的外部端口而放置入裝載腔206中且自裝載腔206移除。晶圓儲存模組202及外部晶圓處置系統204係所繪示的僅於在高壓(諸如存在系統200的生產設施之環境壓力)下操作之組件。在替代性實施例中,裝載腔206可用以於均低於環境壓力的不同壓力位準下在處理系統的兩個內部側之間轉移晶圓。
裝載腔206於使外部側與處理側保持隔離的低壓與高壓之間循環。此方法使得在每次處理每個晶圓之後,無需對整個低壓側(例如內部晶圓處置模組208及處理模組210)進行通氣且接著泵吸。在某些實施例中,裝載腔206經設計使最小內部體積足以容納一或多個晶圓且提供晶圓處置系統的機械臂之出入口。在某些實施例中,裝載腔206之體積可介於約公升1至10公升之間。在更為特定的實施例中,裝載腔體積可介於約2公升至5公升之間。
低壓側可包含一或多個內部晶圓處置模組208及一或多個處理模組210,例如一或多個物理氣相沈積(PVD)室、化學氣相沈積(CVD)室、原子層沈積(ALD)室、除氣模組、預清潔模組、反應性預清潔(RPC)模組、冷卻模組、額外裝載腔、一骨幹及其他類型的模組。雖然圖2之一例證性實例僅包含三個處理模組210及一個內部晶圓處置模組208,該系統200可包含任何數目的模組及模組之組合。內部晶圓處置模組208被用於在不同處理模組210與裝載腔206之間轉移晶圓。
本發明不限於上述之半導體晶圓處理系統組態。例如,可將一或多個多站反應器耦合至一轉移模組,該轉移模組被耦合至一或多個裝載腔。例如,合適的半導體處理工具包含由美國加州聖荷西市之諾菲勒斯系統公司(Novellus Systems)生產之Novellus Sequel、Inova、Altus、Speed及不同的Vector系統(例如,Vector Extreme、Vector Express、Vector AHM)。該等反應器無需為多站反應器,而是可為單一站反應器。類似地,該等裝載腔可為多晶圓裝載腔,例如雙晶圓裝載腔。
該處理系統200可包含一系統控制器210,該系統控制器210可接收來自該系統之多種模組之回饋信號且將控制信號供應回相同或其他模組。該系統控制器210可控制裝載腔206之操作,諸如循環之定時、壓力位準、通氣及清洗氣體之定時及流率、泵吸及許多其他製程變數。在本發明之一般態樣中,該控制系統210可相對於其他模組(諸如外部晶圓處置模組204及內部晶圓處置模組208)同步化裝載腔206之操作。在本發明更為特定之實施例中,該系統控制器210可控制裝載腔206之通氣及清洗氣體線及/或真空線之閥及流量計之操作。該系統控制器210可為一負責各種處理模組、骨幹等等的操作的總體系統範圍控制器之部件。
在所繪示之實施例中,該系統控制器210被用以當將該基板晶圓提供至裝載腔、閉合裝載腔之轉移端口、通氣裝載腔、泵吸裝載腔、開啟裝載腔之端口及移除晶圓之時控制製程條件。
該系統控制器210可包含一或多個記憶體裝置及一或多個處理器。該處理器可包含一CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等等。用以實行合適的控制操作之指令係於該處理器上執行。此等指令可儲存於與該控制器關聯之記憶體裝置上,或者其等可經由一網路而提供此等指令。
在某些實施例中,該系統控制器210控制該處理系統的所有活動。該系統控制器執行包含指令集之系統控制軟體,以控制一特定製程的處理操作定時、壓力位準、流率及其他參數。在一些實施例中,亦可採用儲存於與該控制器關聯之記憶體裝置上的其他電腦程式、指令檔及常式。
一般而言,存在與該系統控制器210關聯之一使用者介面。該使用者介面包含用以顯示製程條件之一顯示螢幕、圖形軟體;及使用者輸入裝置,諸如指標裝置、鍵盤、觸控螢幕、麥克風等等。
可以任何習知的電腦可讀程式設計語言撰寫用以控制上述操作之電腦程式碼,該程式設計語言可為例如組合語言、C、C++、Pascal、Fortran或其他程式設計語言。該處理器執行經編譯的目的碼或指令檔,以實行在該程式中識別之任務。
可由該系統控制器的類比及/或數位輸入連接而提供用以監測製程之信號。於該處理系統之該類比及數位輸出連接上輸出用於控制製程之信號。
圖3A繪示在一晶圓306之裝載或卸載期間當一冷卻台座310位於該下降位置時裝載腔之一橫截面圖。裝載腔具有一本體302及一蓋子304,該本體302及該蓋子304密封裝載腔而使裝載腔與外部環境隔離。在某些實施例中,該蓋子304具有一通氣端口。該蓋子亦可連同該本體302形成一通氣路徑,以將來自該通氣端口之通氣氣體供應至該裝載腔中(在圖4A及圖4B之背景下將予以進一步描述)。
該冷卻台座310一般係由鋁、不銹鋼或任何其他合適的導熱材料製成。該冷卻台座310可具有一組通道,以使一種冷卻介質循環通過該台座。在某些實施例中,該冷卻介質可主要為維持於例如介於15℃至35℃的水或其他合適的液體。在其他實施例中,使用其他循環熱轉移介質,例如超冷氮氣或galden。該冷卻台座310可附接有一驅動器318,以在一垂直方向上於該台座310之一下降位置(圖3A所示)與升高位置(圖3B所示)之間移動該台座310。在其他實施例中,該台座為靜止,且晶圓升降器提供對該晶圓之最初支撐且隨後將該晶圓帶向該台座,以進行熱轉移。
該冷卻台座310可具有一組對準圓錐體314,其用於使該晶圓306相對於該冷卻台座310水平對準。其他實施例(圖中未繪示)採用移動部件,諸如一經適當組態無需對準特徵之晶圓升降器。在某些實施例中,該台座310具有一組最小接觸面積(MCA)支撐物312,用於垂直對準並且用於當該晶圓306係翹曲時實現該晶圓306之再塑形或使該晶圓306平坦。所繪示之該裝載腔配備有由不銹鋼或任何其他適合的材料製成的中間支撐銷308。該裝載腔亦具有不同的適配器,用於連接通氣線、清洗線及真空化線(圖中未繪示)。該裝載腔具有兩個轉移端口315及316,以自低壓側及高壓側近接該裝載腔且將該晶圓306帶進及帶出。可將一轉移端口315指定作為一外部轉移端口,以近接該高壓側。可將另一轉移端口316指定為一內部轉移端口,以近接該低壓側。
提出總體轉移製程之若干操作以進一步闡明根據某些實施例之裝載腔的元件之組態及功能。當內部轉移端口316被開啟時,則可將該台座310放置於其下降位置(如圖3A所示)。可致動該驅動器318以將該台座帶入該下降位置。該內部晶圓轉移系統之該機械臂則將該晶圓306放置於該等中間支撐銷308上且自該裝載腔撤回。
該冷卻台座310則由該驅動器318升高。該晶圓306被自該等中間支撐銷308提起且由該等MCA支撐物312所支撐,如圖3B所示。在此操作期間,該晶圓306係可由該等對準圓錐體314中的一者或多者而於水平方向上對準。一旦該晶圓306被支撐於該台座上且該內部轉移端口被閉合,則該裝載腔現在準備好通氣。一般而言,對整個處理系統使用一個系統控制器,以同步化本文所述之多個操作。
圖4A及圖4B繪示根據某些實施例在通氣操作期間支撐晶圓404之裝載腔400之一俯視圖及一側視橫截面圖。該晶圓404被定位於冷卻台座402之上方且藉由對準圓錐體408而對準。該晶圓係由MCA支撐物406所支撐。圖4A呈現於其中該台座具有12個MCA支撐物之實施例。所使用的MCA支撐物406之數目可為任何適合的數目。一般而言,使用三個或三個以上MCA支撐物406。額外的MCA支撐物406可於使該晶圓404平坦期間提供更好的支撐,然而每個額外的MCA支撐物與該晶圓404之一背側產生一額外的接觸點且增加損壞該背側之風險。在某些實施例中,該MCA支撐物之數目可介於三與一百之間。在特定實施例中,該MCA支撐物之數目可介於九與二十之間。在一種設計中,該台座具有十五個支撐物,其中十二個支撐物接近邊緣且三個支撐物較為接近中央。有些模型已表明,滿足正常垂度要求需要至少約九個支撐物;可使用最多20個,以避免更為嚴格的垂度要求。支撐物的間距及數目典型取決於台座的熱屬性及該晶圓的彈性及熱屬性。在某些實施例中,該等MCA支撐物設有均勻的徑向及/或方位角(有角度的)間距。
該等MCA支撐物406自該冷卻台座402延伸且於該台座402的上方界定(以其等的尖端)一平面。在一實施例中,該等尖端自該平面偏離少於約0.001吋。在一更為特定的實施例中,自該平面的偏離為約0.0002吋或更少,且在一更為特定的情形下,為約0.0005吋或更小。該等MCA支撐物406可相對於彼此隔開,以於冷卻期間提供該晶圓404的最小垂度。
在一些實施例中,該等MCA支撐物406及/或該等對準圓錐體408係由不僅可向該晶圓提供充分支撐及對準、而且亦使來自該晶圓404之背側及邊緣的靜電放電之任何導電材料製成。在一特定實施例中,該等MCA支撐物406及/或該等對準圓錐體408係由導電陶瓷(諸如體積電阻率為介於103
Ohm-cm至1012
Ohm-cm之Cerastat)製成。該冷卻台座402提供該等MCA支撐物406及/或該等對準圓錐體408至電接地之電連接。
該等MCA支撐物尖端可具有各種形狀。在一實施例中,該等MCA支撐物406具有圓形尖端,該等圓形尖端與該晶圓的接觸面積極小,藉此減小造成損壞之風險且最小化局部化之熱轉移尖峰。該晶圓與一MCA支撐物之間直接接觸導致接觸點處的熱轉移遠遠高出其他區域,在其他區域中,熱轉移係主要依賴於該冷卻台座之一通氣氣體及接近度。減小每個MCA支撐物與若干支撐物之間的一接觸面積將最小化該局部化熱轉移尖峰。在另一實施例中,該等MCA支撐物406形如具有平坦頂部之圓筒體。
在某些實施例中,該晶圓冷卻台座402之頂部表面(即面對背側之表面)係彎曲。在一實施例中,曲率半徑為介於約1,000吋與10,000吋之間。對於300毫米晶圓,此等半徑值使得邊緣間隙之間及中央間隙之間分別產生一為0.0174吋與0.0017吋之差。在一更為特定的實施例中,該曲率半徑為介於約4,000吋與8,000吋之間,對於相同晶圓尺寸,該半徑對應於0.0044吋與0.0022吋的間隙差。已預設該中央間隙為介於約0.001吋與0.020吋之間或更具體而言,介於約0.002吋與0.010吋之間。下文的表呈現台座設計(以一中央間隙及一曲率半徑為特徵)的各種實例。
圖5A繪示裝載腔之蓋子502及本體504之一橫截面圖。在某些實施例中,該蓋子502具有一通氣端口508,以允許通氣氣體進入裝載腔中。通氣端口可與一或多個通道連通,該等通道統稱為一通氣路徑。圖5B中對此路徑之一實例做進一步繪示。該通氣端口508允許通氣氣體流入第一通道512中,該第一通道512可形如一矩形環狀空間、環形或各種其他合適的形狀。環之兩個側係由(裝載腔的)該蓋子502形成且其他兩個側則係由裝載腔之該本體504所形成,如圖5B中所示。在某些實施例中,該等側為介於約0.125吋與1.000吋之間。在一實施例中,該第一通道512大於通氣路徑的其他通道。這係為在通氣氣體進入自引入管508進入該第一通道512之後在該第一通道512中進行最初散佈所需。例如,該第一通道512之尺寸可為約0.5吋乘以0.5吋。該第一通道512之開口可為約0.30吋。然而,在許多情形下,任何可有效地在該晶圓之頂部中央增加氣體流或運送散佈流之設計亦可行。
接著通氣氣體行進進入第二通道514中。該第二通道514之一剖面亦可為矩形環或任何其他適合的形狀。該第二通道514之一寬度(H1
)為介於約0.125吋與1.000吋之間,更具體而言,介於約0.250吋與0.500吋之間。該第二通道的長度(即,介於該第一通道與第三通道之間的距離)亦可為介於約0.010吋與0.125吋之間,更具體而言,介於約0.015吋與0.045吋之間。
接著該通氣氣體自該第二通道行進且進入第三通道516中。該第三通道516亦可具有一矩形或其他環形剖面。該第三通道516之一寬度(H2
)為介於約0.010吋與0.125吋之間,且更具體而言,介於約0.015吋與0.045吋之間。該第三通道516的長度可為介於約0.010吋與0.125吋之間,更具體而言,介於約0.015吋與0.045之間。對於一給定的裝載腔及晶圓組合,應仔細選擇此等尺寸,以確保流動均勻且不會產生一過大的壓力差。該第三通道516將通氣氣體導向至室中央,晶圓的上方。在此方向上引入氣體可防止氣體之一噴流被定向直接朝向晶圓,這可消除因直接撞擊而與晶圓結合而導致的存在一缺陷模式。較佳地,通氣氣體僅因裝載腔內部液體動態學而朝向晶圓流動。一旦離開該第三通道,該通氣氣體由壓力差所驅動而至裝載腔之不同區域。
回到圖5A,在所繪示的實施例中,通氣氣體自該第三通道進入裝載腔中。該第三通道516之開口之一直徑(D)為介於約4吋與10吋之間,更具體而言介於約6吋與8吋之間。上文呈現的此等尺寸係針對設計用於轉移300毫米晶圓之裝載腔且可擴展用於針對其他大小,例如200毫米的晶圓之裝載腔。該直徑(D)決定介於該晶圓506之前側506a與背側506b之間裝載腔室內側的通氣氣體的路徑長度。例如,一直徑較小對應於通氣氣體被引入更接近晶圓之中央,且因此穿越一較長的路徑而到達背側且導致壓力差較大。壓力差亦取決於通氣氣體必須轉移通過且係由裝載腔之多個內部元件所界定的橫截面剖面。此外,晶圓的背側與裝載腔的表面(例如,蓋子及本體)之間的距離影響壓力差。在某些實施例中,該距離為介於約1毫米與50毫米之間,更具體而言介於約5毫米與10毫米之間。一般而言,此距離大於介於晶圓之背側與台座之間的間隙。大體而言,裝載腔設計將產生在背側上的晶圓中央至邊緣的一壓力梯度以及頂部側上的中央至邊緣的一壓力梯度。此外,自該晶圓的前側至背側存在一基本梯度。
在某些實施例中,介於該台座510與該背側506b之間的一小間隙會造成過度壓力差,其原因在於,通氣氣體穿過愈小間隙時更為緩慢,從而導致該晶圓與該台座之間的壓力增加愈慢。晶圓的前側上的太大壓力會因使晶圓過度變形或對晶圓之高機械應力而對晶圓造成損壞。因此,某些實施例提供限制晶圓前側上的壓力之機制或程序。在一實例中,該台座510之頂部表面上可包含多個小的槽紋,以促進該通氣氣體在該台座與該晶圓之該背側506b之間的散佈。或者(或者此外),可經由該冷卻台座510將通氣氣體供應至該晶圓506的中央或其他部分。
在某些實施例中,壓力梯度(存在於晶圓的前側與背側之間)被設計成低至足以防止在晶圓支撐物之間之晶圓變形大於0.0002吋。這將取決於基板之彈性、支撐物之間的距離及所產生的壓力梯度。使歸因於壓縮膜應力而變形成最顯拱形的晶圓平坦所需要的壓力差僅為約0.001磅/平方吋。在某些實施例中,該壓力差係約0.0015磅/平方吋或更大。在相同或其他實施例中,該壓力差小於約1磅/平方吋。一般而言,壓力上限及壓力下限係取決於MCA支撐物間距、MCA支撐物設計、晶圓之彈性及其他參數。
圖6係一流程圖,其繪示根據某些實施例之冷卻晶圓且使晶圓自裝載腔的低壓側轉移至高壓側之製程。如所示,所繪示之製程始於方塊602,確保裝載腔與轉移起點側(例如,低壓側)具有相同的壓力。例如,若進行最後轉移至該側,則裝載腔可已處於此壓力下。可藉由於裝載腔與低壓側之間開啟一真空端口及/或一端口而使壓力平衡。一旦內部轉移端口的兩側上的壓力大致相同,則可開啟該端口(604)。有時,在將另一晶圓轉移至低壓側之後,該端口可能仍保持開啟。接著,控制系統確保冷卻台座位於下降位置。這係可藉由例如發送一信號至台座驅動器以將冷卻台座移動入下降位置而完成。接著,內部轉移系統的機械臂將晶圓運送入裝載腔(方塊606)中,且將運送定位於該等中間支撐銷上(方塊608)。
機械臂接著自裝載腔撤回(方塊610),且內部轉移端口被閉合(方塊614),進而使裝載腔與低壓側隔離。閉合轉移端口(方塊614)可發生於撤回機械臂與將通氣氣體引入裝載腔中的期間之任何時刻。台座被升高(方塊612),且台座使用MCA支撐物將晶圓自中間支撐銷提起。此時,晶圓可能不與所有的MCA支撐物接觸。例如,晶圓可能發生變形且僅少數個MCA支撐物與晶圓之下區域接觸。此時,貫穿整個裝載腔的壓力均勻。此外,晶圓可藉由對準圓錐體相對於冷卻台座對準。在一實施例中,將晶圓裝載裝載腔中花費約1秒至5秒,在晶圓在此時間內可能損失約10℃至50℃。
一旦將晶圓定位於MCA支撐物上,則通氣循環開始(方塊616)。一或多種通氣氣體係經由蓋子中的通氣端口而引入裝載腔中。流率可能為恆定或可變。在某些實施例中,可利用一可變流率來克服在通氣循環期間溫度梯度的可變性且藉此提供均勻的熱轉移。平均流率取決於裝載腔的內部體積(該內部體積可為介於約1公升至100公升之間)及通氣循環的持續時間。在一實施例中,採用一內部體積為2公升至10公升之裝載腔,流率為介於約10標準公升/分鐘至50標準公升/分鐘(SLM)。在一更為特定的實施例中,該通氣氣體的流率可為介於約20標準公升/分鐘至40標準公升/分鐘之間。
可採用各種通氣氣體。對通氣氣體的選擇主要取決於成本及熱轉移係數。當然,氣體亦應對晶圓呈惰性。適合的通氣氣體(取決於應用)之實例包含氫氣、氦氣、氖氣、甲烷、氮氣、氧化碳、乙烷、乙烯、氬氣、丁烯及其等之組合。在一特定的實施例中,通氣氣體為氮氣或主要成分為氮氣之氣體混合物。在另一特定實施例中,一種通氣氣體主要為氦氣。傳統上採用氦氣作為一種熱轉移氣體的原因在於其熱轉移係數高。遺憾的是,氦氣相對昂貴。由於本發明允許晶圓安置成極為接近台座,所以可採用熱轉移係數較低之氣體,該等氣體相對便宜。氮氣是此類氣體中的一種。
可使用通氣氣體組合。此組合可具有一種恆定的或可變的組合物。例如,通氣循環可始於僅有氦氣流入裝載腔中,而稍後引入氮氣。在此實例中,可漸減氦氣的流率,且可增加氮氣之流率。在另一實施例中,可即刻關閉第一氣體之流,同時於此時引入另一種氣體。需要一定總流速之通氣氣體,以維持裝載腔中跨晶圓之充分壓力差。
在通氣循環期間(方塊616),裝載腔被自其初始低壓帶至一最終高壓。根據圖7論述轉移製程期間裝載腔內的壓力量變曲線的三個實例。在每個實例中,在通氣期間裝載腔中的壓力持續增加。對壓力量變曲線加以選擇以維持跨晶圓之一壓力差。壓力差之量值應足以迫使晶圓抵靠所有的MCA銷而安置。在替代性實施例中,於通氣期間裝載腔壓力保持恆定(或平穩減小),然而,為了維持晶圓平坦抵靠MCA銷而銷定,此等實施例一般需要將一些通氣氣體經由台座之一中央部分而泵吸出裝載腔,以使跨產生晶圓之一壓力差。
在通氣循環之至少部分期間,可產生充分的壓力差來使該晶圓平坦。在某些實施例中,晶圓的中央周圍的壓力差為至少約0.001磅/平方吋,更為具體而言,為至少約0.002磅/平方吋,且在有些情形下為至少約0.010磅/平方吋。晶圓之背側可能與所有或大部分MCA支撐物接觸。在一實施例中,在整個通氣操作(方塊616)持續時間的至少約70%期間內,維持充分的壓力差。在一更為特定的實施例中,於整個通氣操作持續時間的至少約90%期間內維持壓力差。
一旦通氣循環完成(方塊616),裝載腔的壓力相同於高壓側上的壓力。裝載腔的外部轉移端口被開啟且可執行清洗循環(方塊618)。清洗循環涉及提供一或多種惰性氣體,諸如氬氣、氦氣、氮氣或任何其他氣體,以至少於初始移除操作期間使晶圓免於被氧化。可經由通氣端口或一單獨清洗端口來供應惰性氣體。在一實施例中,此時不存在維持跨晶圓之壓力差。
接著,台座被下降且晶圓被保持於中間支撐銷上,如方塊620中所示。在晶圓與台座之間產生增加的間隙使得外部晶圓處置系統的機械臂達到晶圓的下方,將晶圓自銷提起且將晶圓自裝載腔移除(操作622)。應注意,使該晶圓平坦以減小至一台座表面的間距,且藉此改良晶圓與台座表面之間的熱轉移可用以加熱該晶圓。換言之,可採用本發明的多個概念以加熱或冷卻晶圓;可於加熱或冷卻循環期間升高壓力。在某些實施例中,本發明在一恆定壓力操作期間施加充分的泵吸,以於晶圓的背側上施加力。
圖7係根據一實施例在裝載階段、通氣階段及清洗階段期間裝載腔內側的壓力作為時間的一函數之標繪圖。在裝載期間702中,透過一開啟內部轉移端口將晶圓引入至裝載腔中。如上文所指示,在此階段裝載腔內的壓力必須相同於低壓側上的壓力。在轉移完成之後,閉合轉移端口,且裝載腔開始通氣階段704。在此階段引入一或多種通氣氣體。若使用泵吸,所供應的通氣氣體之總體流率連同泵吸流率決定裝載腔內側的壓力量變曲線。
圖7繪示使裝載腔內側壓力漸增之壓力量變曲線的三個實例。在一實例中,該壓力恆定增加,如線708所繪示。不受限於任何特定理論,據信壓力恆定增加(線708)達成更為均勻的熱轉移及跨晶圓的一相對恆定壓力差。
或者,可於通氣階段開始時更快速地增加壓力,如壓力量變曲線710所示。這可快速增加該熱轉移係數且同時提供一較大的初始壓力差,以使晶圓平坦。在另一實施例中,開始時緩慢增加壓力且接著於接近通氣階段末期時較快地增加壓力,如量變曲線712所示。對一特定壓力量變曲線的選擇係基於在轉移期間對於晶圓的一期望的溫度變化量變曲線、通氣氣體的導熱性、通氣階段的持續時間、壓力差要求及其他參數。
一旦完成通氣階段704,裝載腔內側的壓力約相同於外部高壓。此時開始清洗/卸載階段706。可開啟外部轉移端口且將清洗氣體引入至裝載腔中。此階段的持續時間可為介於1秒與20秒之間。在一特定實施例中,清洗/卸載階段可持續3秒至10秒。
儘管業已以一些細節對上文的本發明做出描述以求便於清晰之理解,顯而易見,在附加申請專利範圍的範圍內,亦可實踐某些變化及修改。應注意,存在許多替代性方法來執行本發明之該等製程、系統及裝置。據此,應視本發明為解析性而非限制性,且本發明不限於本文所給的細節。
200...半導體處理系統
202...晶圓儲存模組
204...外部晶圓處置系統
206...裝載腔
208...內部晶圓處置系統
210...處理模組
302...本體
304...蓋子
306...晶圓
308...中間支撐銷
310...冷卻台座
312...最小接觸面積(MCA)支撐物
314...對準圓錐體
315、316...轉移端口
318...驅動器
400...裝載腔
402...冷卻台座
404...晶圓
406...MCA支撐物
408...對準圓錐體
502...蓋子
504...本體
506...晶圓
506a...前側
506b...背側
508...通氣端口/引入管
510...台座
512...第一通道
514...第二通道
516...第三通道
圖1繪示不同通氣氣體的有效熱轉移係數作為介於晶圓與冷卻台座之間的間隙之一函數之一標繪圖;
圖2係整個半導體處理系統之一示意圖,該半導體處理系統包含裝載腔、處理模組、內部晶圓轉移模組與外部晶圓轉移模組以及晶圓儲存模組;
圖3A係根據本發明之一實施例之裝載腔系統於一冷卻台座處於一下降位置且一晶圓由中間支撐銷支撐於台座上方之情形下的一橫截面圖;
圖3B係根據本發明的一實施例之裝載腔系統於一冷卻台座位於一升高位置且一晶圓由台座晶圓支撐物所支撐之情形下的一橫截面圖;
圖4A係根據本發明的一實施例的裝載腔內側的台座之一示意性俯視圖,其展示晶圓下方之台座晶圓支撐物及晶圓的周邊的邊緣定位銷;
圖4B係具有凸面頂部表面之台座及晶圓由具有可變高度之台座晶圓支撐物所支撐之情形下之一示意性側視圖;
圖5A係根據本發明的一實施例的一總成之一橫截面圖,該總成包含裝載腔之一蓋子(具有一通氣端口)及一本體;
圖5B係根據本發明的一實施例之用以將通氣氣體供應至裝載腔中之通氣端口及引入路徑之一放大橫截面圖;
圖6係根據本發明的一實施例的一晶圓轉移及冷卻製程之一流程圖;及
圖7係根據本發明的特定實施例,在轉移及冷卻製程期間裝載腔內側的整體壓力作為時間的一函數之一標繪圖。
400...裝載腔
402...冷卻台座
404...晶圓
406...MCA支撐物
408...對準圓錐體
Claims (20)
- 一種使用一裝載腔冷卻一晶圓並將該晶圓自一低壓環境轉移至一高壓環境之方法,該方法包括:(a)透過一引入轉移端口將該晶圓自該低壓環境提供至該裝載腔中,同時保持一排出轉移端口為閉合,其中該晶圓具有一前側及一背側;(b)將該晶圓定位於設置於一台座上的多個支撐物上,該台座具有用以吸收來自該晶圓的熱之一表面,使得該晶圓之該背側與該等支撐物之其中至少一些相接觸,其中該等支撐物之尖端定義位於用以吸收來自該晶圓的熱之該台座表面上的一平面;(c)閉合該引入轉移端口;(d)藉由運送一通氣氣體通過位於該晶圓之該前側上之前一通氣氣體端口,增加該裝載腔內側的壓力,其中增加該壓力在該晶圓之該前側及該背側間產生一壓力差,該壓力差改變該晶圓之形狀,使得該晶圓之該背側與由該等支撐物之尖端定義之該平面間之一平均距離因該晶圓之形狀改變而縮減;及(e)開啟該排出轉移端口,同時保持該引入轉移端口為閉合,且透過該排出轉移端口將該晶圓自該裝載腔移動至該高壓環境。
- 如請求項1之方法,其中該通氣氣體實質上不包含氦氣。
- 如請求項1之方法,其中以一足夠改變該晶圓之形狀的 速率增加該裝載腔內側之壓力。
- 如請求項3之方法,其中該速率為至少30托/秒。
- 如請求項3之方法,其中該該速率為可變的。
- 如請求項5之方法,其中該可變的速率在步驟(d)開始時大於步驟(d)結束時。
- 如請求項5之方法,其中該可變的速率對應於該壓力差,該壓力差在步驟(d)開始時大於步驟(d)結束時。
- 如請求項3之方法,其中藉由以一介於約10標準公升/分鐘至50標準公升/分鐘的流速將該通氣氣體運送進入該裝載腔來維持該速路,該裝載腔具有一介於2公升至20公升間之內部體積。
- 如請求項1之方法,其中該通氣氣體在步驟(d)期間具有一可變成份。
- 如請求項1之方法,其中該壓力差造成該晶圓之背側與所有設置於該台座上之支撐件相接觸。
- 如請求項1之方法,其中當該晶圓定位於該等支撐件上時,該壓力差造成該晶圓之背側與該等設置於該台座上之支撐件中尚未與該晶圓之背側相接觸之一或多個額外的支撐件相接觸。
- 如請求項1之方法,其進一步包括在步驟(d)期間,透過該台座之一中央部位將該通氣氣體泵吸(pumping)出該裝載腔,以在該晶圓之該前側與該背側間產生額外的壓力差。
- 一種用於在處理晶圓之後將其冷卻且將其自一低壓環境 轉移至一高壓環境之裝載腔,該裝載腔包括:(a)一引入轉移端口,其用以容納該經處理之後的晶圓;(b)一排出轉移端口,其用以移除該冷卻之後的晶圓;(c)一台座,其具有用以吸收來自該等晶圓的熱之一表面,該表面經組構以防止一通氣氣體通過該台座,同時吸收來自該等晶圓之熱;(d)一通氣氣體端口,其用以運送該台座表面上之一通氣非處理氣體(venting non-process gas),以增加該裝載腔內側的壓力,該通氣氣體端口與一經成形為一環之通道相連通,該通道包含一面對該環之一中央之開口,該通道經組構以在該通氣非處理氣體離開該開口之後在該晶圓之前側上且平行於該前側導引該通氣非處理氣體,其中該環之直徑小於該晶圓之直徑;及(e)至少三個設置於該台座表面上的支撐物,其中該支撐物之尖端定義位於該台座表面上的一平面。
- 如請求項13之裝載腔,其中由該至少三個支撐物之尖端所定義之該平面與該台座表面間之平均間隙不大於約0.010吋。
- 如請求項13之裝載腔,其中該對應於該通道之環具有一用於300毫米晶圓之開口,該開口之一直徑為介於約4吋至10吋之間,以在該通氣非處理氣體離開該開口之後定義該晶圓之前側上之該通氣非處理氣體之流動路徑。
- 如請求項13之裝載腔其進一步包括一裝載腔蓋子及一裝載腔本體,使得該裝載腔蓋子及該裝載腔本體間之一介面至少形成該通道。
- 如請求項13之裝載腔,其進一步包括被耦合至該通氣氣體端口之一通氣氣體源,其中該通氣非處理氣體實質上不含氦氣。
- 如請求項13之裝載腔,其中該台座表面為一凸面形狀。
- 如請求項13之裝載腔,其中該等支撐物被配置於台座上之至少一第一環及一第二環內,且其中該第一環被定位於距該台座的一中央約4吋至6吋之間,且其中該第二環被定位於距該台座的該中央約1吋至3吋之間。
- 如請求項19之裝載腔,其中該第一環內設有至少六個支撐物,且該第二環內設有至少三個支撐物。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/333,239 US8033771B1 (en) | 2008-12-11 | 2008-12-11 | Minimum contact area wafer clamping with gas flow for rapid wafer cooling |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201029106A TW201029106A (en) | 2010-08-01 |
TWI490973B true TWI490973B (zh) | 2015-07-01 |
Family
ID=42243289
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW098142343A TWI490973B (zh) | 2008-12-11 | 2009-12-10 | 利用快速晶圓冷卻的氣流的最小接觸面積晶圓夾持 |
Country Status (5)
Country | Link |
---|---|
US (2) | US8033771B1 (zh) |
KR (1) | KR101645053B1 (zh) |
CN (1) | CN102246287B (zh) |
TW (1) | TWI490973B (zh) |
WO (1) | WO2010068598A2 (zh) |
Families Citing this family (352)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100270004A1 (en) * | 2005-05-12 | 2010-10-28 | Landess James D | Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates |
US7960297B1 (en) | 2006-12-07 | 2011-06-14 | Novellus Systems, Inc. | Load lock design for rapid wafer heating |
US8052419B1 (en) * | 2007-11-08 | 2011-11-08 | Novellus Systems, Inc. | Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation |
US8033769B2 (en) | 2007-11-30 | 2011-10-11 | Novellus Systems, Inc. | Loadlock designs and methods for using same |
TWI472882B (zh) * | 2008-05-06 | 2015-02-11 | Novellus Systems Inc | 光阻剝離方法及設備 |
US8288288B1 (en) | 2008-06-16 | 2012-10-16 | Novellus Systems, Inc. | Transferring heat in loadlocks |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8033771B1 (en) | 2008-12-11 | 2011-10-11 | Novellus Systems, Inc. | Minimum contact area wafer clamping with gas flow for rapid wafer cooling |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5416570B2 (ja) * | 2009-12-15 | 2014-02-12 | 住友電気工業株式会社 | 加熱冷却デバイスおよびそれを搭載した装置 |
US8371567B2 (en) | 2011-04-13 | 2013-02-12 | Novellus Systems, Inc. | Pedestal covers |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
CN102751228B (zh) * | 2011-06-28 | 2014-11-26 | 清华大学 | 一种利用弹簧夹子的晶圆夹持装置 |
US10854498B2 (en) * | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
JP5841382B2 (ja) * | 2011-09-06 | 2016-01-13 | 株式会社ダイヘン | ワーク搬送装置 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
KR20140119726A (ko) | 2012-01-06 | 2014-10-10 | 노벨러스 시스템즈, 인코포레이티드 | 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템 |
CN103077917A (zh) * | 2012-06-29 | 2013-05-01 | 光达光电设备科技(嘉兴)有限公司 | 衬底支撑座及应用所述衬底支撑座的半导体处理设备 |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9070750B2 (en) | 2013-03-06 | 2015-06-30 | Novellus Systems, Inc. | Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment |
US9865501B2 (en) | 2013-03-06 | 2018-01-09 | Lam Research Corporation | Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9779971B2 (en) * | 2014-04-11 | 2017-10-03 | Applied Materials, Inc. | Methods and apparatus for rapidly cooling a substrate |
US9469912B2 (en) | 2014-04-21 | 2016-10-18 | Lam Research Corporation | Pretreatment method for photoresist wafer processing |
SG11201608905XA (en) | 2014-05-21 | 2016-12-29 | Applied Materials Inc | Thermal processing susceptor |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
CN105470133B (zh) * | 2014-09-06 | 2018-07-31 | 中国科学院微电子研究所 | 半导体器件制造方法 |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9472377B2 (en) | 2014-10-17 | 2016-10-18 | Lam Research Corporation | Method and apparatus for characterizing metal oxide reduction |
CN110120360B (zh) * | 2014-12-11 | 2023-01-13 | 瑞士艾发科技 | 用于衬底脱气的室 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9428833B1 (en) * | 2015-05-29 | 2016-08-30 | Lam Research Corporation | Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9929029B2 (en) * | 2015-10-15 | 2018-03-27 | Applied Materials, Inc. | Substrate carrier system |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
JP6554387B2 (ja) * | 2015-10-26 | 2019-07-31 | 東京エレクトロン株式会社 | ロードロック装置における基板冷却方法、基板搬送方法、およびロードロック装置 |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9870917B2 (en) | 2015-12-17 | 2018-01-16 | Lam Research Corporation | Variable temperature hardware and methods for reduction of wafer backside deposition |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9698042B1 (en) * | 2016-07-22 | 2017-07-04 | Lam Research Corporation | Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10347547B2 (en) | 2016-08-09 | 2019-07-09 | Lam Research Corporation | Suppressing interfacial reactions by varying the wafer temperature throughout deposition |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10767320B2 (en) | 2016-10-20 | 2020-09-08 | Watson Bowman Acme Corporation | Cover assembly for structural members |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10443146B2 (en) | 2017-03-30 | 2019-10-15 | Lam Research Corporation | Monitoring surface oxide on seed layers during electroplating |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US20180334746A1 (en) * | 2017-05-22 | 2018-11-22 | Lam Research Corporation | Wafer Edge Contact Hardware and Methods to Eliminate Deposition at Wafer Backside Edge and Notch |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10361099B2 (en) | 2017-06-23 | 2019-07-23 | Applied Materials, Inc. | Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI781346B (zh) * | 2018-09-29 | 2022-10-21 | 美商應用材料股份有限公司 | 具有精確溫度和流量控制的多站腔室蓋 |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
US20210035851A1 (en) * | 2019-07-30 | 2021-02-04 | Applied Materials, Inc. | Low contact area substrate support for etching chamber |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
WO2021044623A1 (ja) * | 2019-09-06 | 2021-03-11 | キヤノンアネルバ株式会社 | ロードロック装置 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11177048B2 (en) * | 2019-11-20 | 2021-11-16 | Applied Materials Israel Ltd. | Method and system for evaluating objects |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
WO2024028194A1 (en) * | 2022-08-05 | 2024-02-08 | Asml Netherlands B.V. | High-throughput load lock chamber |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200739787A (en) * | 2006-02-22 | 2007-10-16 | Semitool Inc | Single side workpiece processing |
TW200839862A (en) * | 2006-11-24 | 2008-10-01 | Tokyo Electron Ltd | Treatment apparatus, method of treating and recording medium |
TW200845283A (en) * | 2007-02-05 | 2008-11-16 | Tokyo Electron Ltd | Vacuum processing apparatus and method, and storage medium for executing the method |
Family Cites Families (108)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3308989A (en) | 1965-10-22 | 1967-03-14 | Internat Specialty Products In | Business card dispenser having a reciprocating card ejector means |
US3612825A (en) | 1969-08-08 | 1971-10-12 | Shatterproof Glass Corp | Windowed high-temperature ovens |
US3909994A (en) | 1974-04-03 | 1975-10-07 | Temcor | Dome construction |
US4457359A (en) | 1982-05-25 | 1984-07-03 | Varian Associates, Inc. | Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer |
US4535835A (en) | 1982-05-25 | 1985-08-20 | Varian Associates, Inc. | Optimum surface contour for conductive heat transfer with a thin flexible workpiece |
US4563589A (en) | 1984-01-09 | 1986-01-07 | Scheffer Herbert D | Ultraviolet curing lamp device |
JPS61264649A (ja) | 1985-05-20 | 1986-11-22 | Ulvac Corp | 基板冷却装置 |
US4615755A (en) | 1985-08-07 | 1986-10-07 | The Perkin-Elmer Corporation | Wafer cooling and temperature control for a plasma etching system |
JPS62229833A (ja) | 1986-03-29 | 1987-10-08 | Hitachi Ltd | 光化学反応方法 |
US4960488A (en) | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
JPH01107519A (ja) | 1987-10-20 | 1989-04-25 | Nec Corp | 気相成長装置 |
US4949783A (en) | 1988-05-18 | 1990-08-21 | Veeco Instruments, Inc. | Substrate transport and cooling apparatus and method for same |
US5178682A (en) | 1988-06-21 | 1993-01-12 | Mitsubishi Denki Kabushiki Kaisha | Method for forming a thin layer on a semiconductor substrate and apparatus therefor |
KR940011708B1 (ko) | 1990-04-09 | 1994-12-23 | 니찌덴 아네루바 가부시끼가이샤 | 기판온도제어기구 |
US5282121A (en) | 1991-04-30 | 1994-01-25 | Vari-Lite, Inc. | High intensity lighting projectors |
US5228208A (en) | 1991-06-17 | 1993-07-20 | Applied Materials, Inc. | Method of and apparatus for controlling thermal gradient in a load lock chamber |
US6518195B1 (en) | 1991-06-27 | 2003-02-11 | Applied Materials, Inc. | Plasma reactor using inductive RF coupling, and processes |
JP3238200B2 (ja) | 1992-07-17 | 2001-12-10 | 株式会社東芝 | 基体処理装置及び半導体素子製造方法 |
US5308989A (en) | 1992-12-22 | 1994-05-03 | Eaton Corporation | Fluid flow control method and apparatus for an ion implanter |
US5447431A (en) | 1993-10-29 | 1995-09-05 | Brooks Automation, Inc. | Low-gas temperature stabilization system |
JP3017631B2 (ja) | 1993-11-24 | 2000-03-13 | 東京エレクトロン株式会社 | 低温処理装置の制御方法 |
US5588827A (en) | 1993-12-17 | 1996-12-31 | Brooks Automation Inc. | Passive gas substrate thermal conditioning apparatus and method |
US5562947A (en) | 1994-11-09 | 1996-10-08 | Sony Corporation | Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5830277A (en) | 1995-05-26 | 1998-11-03 | Mattson Technology, Inc. | Thermal processing system with supplemental resistive heater and shielded optical pyrometry |
JP2814370B2 (ja) | 1995-06-18 | 1998-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JPH0992615A (ja) | 1995-09-27 | 1997-04-04 | Sony Corp | 半導体ウェハの冷却装置 |
US5811762A (en) | 1996-09-25 | 1998-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Heater assembly with dual temperature control for use in PVD/CVD system |
US5909994A (en) | 1996-11-18 | 1999-06-08 | Applied Materials, Inc. | Vertical dual loadlock chamber |
JPH10284360A (ja) | 1997-04-02 | 1998-10-23 | Hitachi Ltd | 基板温度制御装置及び方法 |
US6214184B1 (en) | 1997-05-14 | 2001-04-10 | Taiwan Semiconductor Manufacturing Company, Ltd | Insulated wafer pedestal |
US6113698A (en) | 1997-07-10 | 2000-09-05 | Applied Materials, Inc. | Degassing method and apparatus |
US6106625A (en) | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
US6072163A (en) | 1998-03-05 | 2000-06-06 | Fsi International Inc. | Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate |
US6232248B1 (en) | 1998-07-03 | 2001-05-15 | Tokyo Electron Limited | Single-substrate-heat-processing method for performing reformation and crystallization |
US6087632A (en) | 1999-01-11 | 2000-07-11 | Tokyo Electron Limited | Heat processing device with hot plate and associated reflector |
JP4111625B2 (ja) | 1999-03-31 | 2008-07-02 | 芝浦メカトロニクス株式会社 | 真空処理装置の基板冷却台 |
US6610150B1 (en) | 1999-04-02 | 2003-08-26 | Asml Us, Inc. | Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system |
KR100613674B1 (ko) | 1999-05-14 | 2006-08-21 | 동경 엘렉트론 주식회사 | 웨이퍼 처리 장치 및 처리 방법 |
US6320736B1 (en) | 1999-05-17 | 2001-11-20 | Applied Materials, Inc. | Chuck having pressurized zones of heat transfer gas |
US6307184B1 (en) | 1999-07-12 | 2001-10-23 | Fsi International, Inc. | Thermal processing chamber for heating and cooling wafer-like objects |
US6228438B1 (en) | 1999-08-10 | 2001-05-08 | Unakis Balzers Aktiengesellschaft | Plasma reactor for the treatment of large size substrates |
US6558509B2 (en) | 1999-11-30 | 2003-05-06 | Applied Materials, Inc. | Dual wafer load lock |
JP4540796B2 (ja) | 2000-04-21 | 2010-09-08 | 東京エレクトロン株式会社 | 石英ウインドウ、リフレクタ及び熱処理装置 |
JP3516392B2 (ja) * | 2000-06-16 | 2004-04-05 | イビデン株式会社 | 半導体製造・検査装置用ホットプレート |
US6860965B1 (en) | 2000-06-23 | 2005-03-01 | Novellus Systems, Inc. | High throughput architecture for semiconductor processing |
JP4731694B2 (ja) | 2000-07-21 | 2011-07-27 | 東京エレクトロン株式会社 | 半導体装置の製造方法および基板処理装置 |
WO2002011911A1 (en) | 2000-08-04 | 2002-02-14 | S. C. Fluids, Inc. | Inverted pressure vessel with shielded closure mechanism |
DE10043234A1 (de) | 2000-09-02 | 2002-03-14 | Stihl Maschf Andreas | Ventiltrieb mit einem Kipphebel |
WO2002023597A2 (en) * | 2000-09-15 | 2002-03-21 | Applied Materials, Inc. | Double dual slot load lock for process equipment |
JP2002134484A (ja) | 2000-10-19 | 2002-05-10 | Asm Japan Kk | 半導体基板保持装置 |
US6413321B1 (en) | 2000-12-07 | 2002-07-02 | Applied Materials, Inc. | Method and apparatus for reducing particle contamination on wafer backside during CVD process |
US6544340B2 (en) | 2000-12-08 | 2003-04-08 | Applied Materials, Inc. | Heater with detachable ceramic top plate |
TWI313059B (zh) | 2000-12-08 | 2009-08-01 | Sony Corporatio | |
US6559424B2 (en) | 2001-01-02 | 2003-05-06 | Mattson Technology, Inc. | Windows used in thermal processing chambers |
JP2002246375A (ja) | 2001-02-21 | 2002-08-30 | Matsushita Electric Ind Co Ltd | プラズマ処理装置 |
US20020117109A1 (en) | 2001-02-27 | 2002-08-29 | Hazelton Andrew J. | Multiple stage, stage assembly having independent reaction force transfer |
US6563686B2 (en) | 2001-03-19 | 2003-05-13 | Applied Materials, Inc. | Pedestal assembly with enhanced thermal conductivity |
WO2002086960A1 (en) | 2001-04-20 | 2002-10-31 | Memc Electronic Materials, Inc. | Method for the preparation of a silicon wafer having stabilized oxygen precipitates |
US6529686B2 (en) | 2001-06-06 | 2003-03-04 | Fsi International, Inc. | Heating member for combination heating and chilling apparatus, and methods |
JP3713220B2 (ja) | 2001-06-15 | 2005-11-09 | 日本特殊陶業株式会社 | セラミックヒータ |
KR20020096524A (ko) * | 2001-06-20 | 2002-12-31 | 삼성전자 주식회사 | 반도체 장치 제조용 공정챔버의 웨이퍼 안착 구조 |
US6750155B2 (en) | 2001-08-08 | 2004-06-15 | Lam Research Corporation | Methods to minimize moisture condensation over a substrate in a rapid cycle chamber |
JP2003059999A (ja) | 2001-08-14 | 2003-02-28 | Tokyo Electron Ltd | 処理システム |
JP4821074B2 (ja) | 2001-08-31 | 2011-11-24 | 東京エレクトロン株式会社 | 処理システム |
US6752948B2 (en) | 2001-10-03 | 2004-06-22 | 3D Systems, Inc. | Post processing three-dimensional objects formed by selective deposition modeling |
US6563092B1 (en) | 2001-11-28 | 2003-05-13 | Novellus Systems, Inc. | Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry |
US6729824B2 (en) | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US6646233B2 (en) | 2002-03-05 | 2003-11-11 | Hitachi High-Technologies Corporation | Wafer stage for wafer processing apparatus and wafer processing method |
US6899765B2 (en) | 2002-03-29 | 2005-05-31 | Applied Materials Israel, Ltd. | Chamber elements defining a movable internal chamber |
KR20030096732A (ko) | 2002-06-17 | 2003-12-31 | 삼성전자주식회사 | 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지 |
US6768084B2 (en) | 2002-09-30 | 2004-07-27 | Axcelis Technologies, Inc. | Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile |
US6887523B2 (en) | 2002-12-20 | 2005-05-03 | Sharp Laboratories Of America, Inc. | Method for metal oxide thin film deposition via MOCVD |
JP4212888B2 (ja) | 2002-12-26 | 2009-01-21 | 三菱化学エンジニアリング株式会社 | プレート型触媒反応器 |
DE10261362B8 (de) | 2002-12-30 | 2008-08-28 | Osram Opto Semiconductors Gmbh | Substrat-Halter |
US7265061B1 (en) | 2003-05-09 | 2007-09-04 | Novellus Systems, Inc. | Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties |
US7154731B1 (en) | 2003-07-18 | 2006-12-26 | Novellus Systems, Inc. | Reflective coating for electrostatic chucks |
JP4540953B2 (ja) | 2003-08-28 | 2010-09-08 | キヤノンアネルバ株式会社 | 基板加熱装置及びマルチチャンバー基板処理装置 |
JP2005116655A (ja) | 2003-10-06 | 2005-04-28 | Canon Inc | ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法 |
US8536492B2 (en) | 2003-10-27 | 2013-09-17 | Applied Materials, Inc. | Processing multilayer semiconductors with multiple heat sources |
US7410355B2 (en) | 2003-10-31 | 2008-08-12 | Asm International N.V. | Method for the heat treatment of substrates |
WO2005048313A2 (en) | 2003-11-10 | 2005-05-26 | Blueshift Technologies, Inc. | Methods and systems for handling workpieces in a vacuum-based semiconductor handling system |
JP4376070B2 (ja) | 2004-01-14 | 2009-12-02 | 日本碍子株式会社 | 加熱装置 |
US7253125B1 (en) | 2004-04-16 | 2007-08-07 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
KR100702010B1 (ko) | 2005-03-07 | 2007-03-30 | 삼성전자주식회사 | 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법 |
JP4860167B2 (ja) | 2005-03-30 | 2012-01-25 | 東京エレクトロン株式会社 | ロードロック装置,処理システム及び処理方法 |
US8137465B1 (en) | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US20100270004A1 (en) | 2005-05-12 | 2010-10-28 | Landess James D | Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates |
US7941039B1 (en) | 2005-07-18 | 2011-05-10 | Novellus Systems, Inc. | Pedestal heat transfer and temperature control |
US20070029046A1 (en) | 2005-08-04 | 2007-02-08 | Applied Materials, Inc. | Methods and systems for increasing substrate temperature in plasma reactors |
US7845891B2 (en) * | 2006-01-13 | 2010-12-07 | Applied Materials, Inc. | Decoupled chamber body |
JP4497103B2 (ja) * | 2006-02-21 | 2010-07-07 | 住友電気工業株式会社 | ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ |
JP4702799B2 (ja) * | 2006-03-17 | 2011-06-15 | ルネサスエレクトロニクス株式会社 | ボルト及び半導体製造装置 |
US7665951B2 (en) * | 2006-06-02 | 2010-02-23 | Applied Materials, Inc. | Multiple slot load lock chamber and method of operation |
US20070283709A1 (en) | 2006-06-09 | 2007-12-13 | Veeco Instruments Inc. | Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system |
US20080102644A1 (en) | 2006-10-31 | 2008-05-01 | Novellus Systems, Inc. | Methods for removing photoresist from a semiconductor substrate |
US7960297B1 (en) | 2006-12-07 | 2011-06-14 | Novellus Systems, Inc. | Load lock design for rapid wafer heating |
US7870804B2 (en) | 2006-12-08 | 2011-01-18 | GM Global Technologies Operations LLC | Multi-speed dual clutch transmission |
KR100836183B1 (ko) | 2007-01-16 | 2008-06-09 | (주)나노테크 | 히터 조립체 및 그 설치구조 |
KR20080072275A (ko) * | 2007-02-01 | 2008-08-06 | 세메스 주식회사 | 반도체 제조용 애싱 장비 및 그 구동방법 |
US7831135B2 (en) | 2007-09-04 | 2010-11-09 | Sokudo Co., Ltd. | Method and system for controlling bake plate temperature in a semiconductor processing chamber |
US8052419B1 (en) | 2007-11-08 | 2011-11-08 | Novellus Systems, Inc. | Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation |
US8033769B2 (en) | 2007-11-30 | 2011-10-11 | Novellus Systems, Inc. | Loadlock designs and methods for using same |
US8047706B2 (en) | 2007-12-07 | 2011-11-01 | Asm America, Inc. | Calibration of temperature control system for semiconductor processing chamber |
TWI472882B (zh) | 2008-05-06 | 2015-02-11 | Novellus Systems Inc | 光阻剝離方法及設備 |
US8288288B1 (en) | 2008-06-16 | 2012-10-16 | Novellus Systems, Inc. | Transferring heat in loadlocks |
US7981763B1 (en) | 2008-08-15 | 2011-07-19 | Novellus Systems, Inc. | Atomic layer removal for high aspect ratio gapfill |
US8033771B1 (en) | 2008-12-11 | 2011-10-11 | Novellus Systems, Inc. | Minimum contact area wafer clamping with gas flow for rapid wafer cooling |
-
2008
- 2008-12-11 US US12/333,239 patent/US8033771B1/en active Active
-
2009
- 2009-12-07 WO PCT/US2009/067040 patent/WO2010068598A2/en active Application Filing
- 2009-12-07 KR KR1020117015366A patent/KR101645053B1/ko active IP Right Grant
- 2009-12-07 CN CN200980149339.5A patent/CN102246287B/zh active Active
- 2009-12-10 TW TW098142343A patent/TWI490973B/zh active
-
2011
- 2011-09-07 US US13/227,160 patent/US8454294B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200739787A (en) * | 2006-02-22 | 2007-10-16 | Semitool Inc | Single side workpiece processing |
TW200839862A (en) * | 2006-11-24 | 2008-10-01 | Tokyo Electron Ltd | Treatment apparatus, method of treating and recording medium |
TW200845283A (en) * | 2007-02-05 | 2008-11-16 | Tokyo Electron Ltd | Vacuum processing apparatus and method, and storage medium for executing the method |
Also Published As
Publication number | Publication date |
---|---|
TW201029106A (en) | 2010-08-01 |
CN102246287B (zh) | 2014-06-18 |
US8454294B2 (en) | 2013-06-04 |
KR20110094206A (ko) | 2011-08-22 |
WO2010068598A3 (en) | 2010-09-23 |
US8033771B1 (en) | 2011-10-11 |
WO2010068598A2 (en) | 2010-06-17 |
US20110318142A1 (en) | 2011-12-29 |
KR101645053B1 (ko) | 2016-08-02 |
CN102246287A (zh) | 2011-11-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI490973B (zh) | 利用快速晶圓冷卻的氣流的最小接觸面積晶圓夾持 | |
TWI645487B (zh) | Substrate processing apparatus and substrate processing method | |
US6357143B2 (en) | Method and apparatus for heating and cooling substrates | |
JP5048352B2 (ja) | 基板処理方法及び基板処理装置 | |
JP6339057B2 (ja) | 基板処理装置、半導体装置の製造方法、プログラム | |
JPH05218176A (ja) | 熱処理方法及び被処理体の移載方法 | |
KR102588608B1 (ko) | 기판 처리 방법 | |
KR20170137245A (ko) | 기판 처리 장치 및 방법 | |
JP5496837B2 (ja) | 被処理体の冷却方法、冷却装置及びコンピュータ読み取り可能な記憶媒体 | |
JPWO2013183437A1 (ja) | ガス処理方法 | |
US11373876B2 (en) | Film forming method and film forming apparatus | |
WO2020188743A1 (ja) | 半導体装置の製造方法、基板処理装置および記録媒体 | |
US20220290921A1 (en) | Method and apparatus for treating a substrate | |
JP6823575B2 (ja) | 基板処理装置、反応管及び半導体装置の製造方法 | |
US20220090859A1 (en) | Apparatus and method for treating substrate | |
JP2009260022A (ja) | 基板処理ユニットおよび基板処理装置 | |
US20230162994A1 (en) | Apparatus for treating substrate | |
US20230215754A1 (en) | Substrate processing apparatus and substrate transfer method | |
US20240153818A1 (en) | Embedding method and processing system |