CN110770888A - 在电子装置制造系统中经由直接部件接触进行间隙校准的系统和方法 - Google Patents

在电子装置制造系统中经由直接部件接触进行间隙校准的系统和方法 Download PDF

Info

Publication number
CN110770888A
CN110770888A CN201880041126.XA CN201880041126A CN110770888A CN 110770888 A CN110770888 A CN 110770888A CN 201880041126 A CN201880041126 A CN 201880041126A CN 110770888 A CN110770888 A CN 110770888A
Authority
CN
China
Prior art keywords
actuator
load lock
lock mechanism
motion
motion controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880041126.XA
Other languages
English (en)
Other versions
CN110770888B (zh
Inventor
莫辛·瓦卡尔
马文·L·弗雷曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202011316823.7A priority Critical patent/CN112542404B/zh
Publication of CN110770888A publication Critical patent/CN110770888A/zh
Application granted granted Critical
Publication of CN110770888B publication Critical patent/CN110770888B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electron Beam Exposure (AREA)

Abstract

一种电子装置制造系统包括运动控制系统,所述运动控制系统通过将这些部件表面移动成彼此直接接触来校准处理腔室或装载锁定机构部件的表面之间的间隙。部件表面可包括基板和/或基板支撑件的表面和处理传送设备的表面,所述处理传送设备可为例如:图案掩模和/或等离子体或气体分配组件。运动控制系统可包括运动控制器、可由运动控制器执行的软件程序、网络、一个或更多个致动器驱动器、可由一个或更多个致动器驱动器执行的软件程序、一个或更多个致动器、以及一个或更多个反馈装置。还提供了通过处理腔室或装载锁定机构部件表面的直接接触来校准间隙的方法,以及其他方面。

Description

在电子装置制造系统中经由直接部件接触进行间隙校准的系 统和方法
相关申请
本申请要求于2017年6月23日提交且名称为“SYSTEMS AND METHODS OF GAPCALIBRATION VIA DIRECT COMPONENT CONTACT IN ELECTRONIC DEVICE MANUFACTURINGSYSTEMS”的美国非临时申请第15/632,031号的优先权(代理人案卷号25132/USA),为了所有目的,在此通过引用将该申请结合在本文中。
技术领域
本公开内容涉及设备的分布式运动控制的系统和方法,所述设备用于支撑和处理电子装置制造系统中的基板。
背景技术
电子装置制造系统可包括一个或更多个处理腔室,其中基板在所述处理腔室中经处理以在其上制造电子装置(例如,集成电路和/或平板显示器)。处理腔室可在真空水平(例如,范围从约0.01Torr至约80Torr)和高温(例如,范围从约100℃至700℃)下操作。电子装置制造系统的每个处理腔室中可能发生相同或不同的基板处理,例如基板上的膜层的沉积、蚀刻、退火、固化或类似者。基板处理也可能发生在一些电子装置制造系统的装载锁定机构(loadlock)中。装载锁定机构是一种腔室,其中基板通过所述装载锁定机构以在处理腔室与工厂界面之间传送,以便运输到电子装置制造系统中的其他地方。
在基板处理中,具有所需厚度和均匀性的所需材料的一个或更多个膜层可经由处理传送设备(例如图案掩模和/或等离子体或气体分配组件)选择性地施加到基板或从基板移除。为了确保精确地施加或移除这种所需厚度和均匀性,应当严格控制基板与处理传送设备之间的间隙。然而,随着处理腔室的尺寸增加以处理更大的基板尺寸、更大的基板批量负载以及更高的处理温度(其可能影响处理部件的热膨胀),所需间隙可能变得更难控制。电子装置制造系统因此可受益于改进的间隙校准系统和方法。
发明内容
根据第一方面,提供了一种电子装置制造系统的运动控制系统。运动控制系统包括运动控制器,所述运动控制器包括可编程处理器、存储器和间隙校准软件程序,所述间隙校准软件程序存储在存储器中并且可由可编程处理器执行。运动控制系统还包括致动器驱动器,所述致动器驱动器耦接至运动控制器并包括驱动器软件程序。运动控制系统进一步包括致动器,所述致动器耦接至致动器驱动器并且耦接至位于处理腔室或装载锁定机构中的处理传送设备或基板支撑件,其中所述致动器被配置为移动处理传送设备或基板支撑件。运动控制系统进一步包括反馈装置,所述反馈装置耦接至致动器和运动控制器。间隙校准软件程序被配置为造成处理传送设备与基板支撑件或基板支撑件所接收的基板的相应表面之间的直接接触。
根据第二方面,提供了一种电子装置制造系统。所述电子装置制造系统包括传送腔室和耦接至所述传送腔室的处理腔室,其中所述传送腔室被配置为将一个或更多个基板传送进出所述处理腔室,且所述处理腔室被配置为在其中处理一个或更多个基板。电子装置制造系统还包括耦接至传送腔室的装载锁定机构,其中传送腔室被配置为将一个或更多个基板传送进出装载锁定机构。电子装置制造系统进一步包括运动控制器,所述运动控制器包括可编程处理器、存储器和存储在存储器中并且可由所述可编程处理器执行的间隙校准软件程序。间隙校准软件程序被配置为在处理腔室中造成处理传送设备与基板支撑件或在基板支撑件上所接收的一个或更多个基板的其中一个基板的相应表面之间直接接触。
根据第三方面,提供了一种校准电子装置制造系统的处理腔室或装载锁定机构中的部件表面之间的间隙的方法。所述方法包括以下步骤:通过从运动控制器向一个或更多个致动器驱动器发出准备指令,来准备间隙校准;致动所述处理腔室或所述装载锁定机构中的一个或更多个致动器,以造成这些部件表面之间的直接接触,而不使得所述处理腔室或所述装载锁定机构离线(offline);和对检测到这些部件表面之间的直接接触进行响应。
根据本公开内容的这些实施方式和其他实施方式的其他方面、特征和优点可从以下详细描述、所附权利要求和附图而将变得显而易见。因此,本文的附图和描述在本质上被认为是说明性的,而非限制性的。
附图说明
下方描述的附图仅用于说明目的且不一定按比例绘制。附图并非意图以任何方式限制本公开内容的范围。
图1绘示了根据本公开内容的实施方式的电子装置制造系统的示意性顶视图。
图2A至图2E绘示了根据本公开内容的实施方式的处理部件配置的各种示意性侧视图。
图3绘示了根据本公开内容的实施方式的运动控制系统的示意性侧视图。
图4绘示了根据本公开内容的实施方式的校准电子装置制造系统的处理腔室或装载锁定机构中的部件表面之间的间隙的方法的流程图。
图5A和图5B绘示了根据本公开内容的实施方式的可在致动器驱动器中执行的位置和速度软件控制回路。
具体实施方式
现在将详细参照本公开内容的示例性实施方式,这些实施方式绘示于附图中。尽可能地,整个附图中将使用相同的参考标记来代表相同或相似的部件。
根据本公开内容的一个或更多个实施方式的电子装置制造系统可包括分布式运动控制系统,所述分布式运动控制系统被配置为检测在配置为处理基板的处理腔室或装载锁定机构内的移动处理部件的直接接触。由执行间隙校准软件程序的运动控制器检测直接接触可用于校准处理部件表面之间的间隙间距。校准并接着严格控制间隙间距可有利地改进膜沉积和/或膜蚀刻特性,包括施加和/或移除所需厚度和均匀性。严格地控制间隙间距亦可有利地影响膜沉积和/或蚀刻的速率。当精确控制时,间隙间距可进一步改善具有两个或更多个处理腔室的电子装置制造系统中的处理腔室性能的匹配。所需的间隙间距因应用而异。例如,对于化学物质注入器(chemical injector)与基板之间的间隙而言,用于原子层沉积的所需间隙间距可在4mil与80mil之间变化,且对于图案掩模与基板之间的间隙而言,用于斜角(bevel)蚀刻的所需间隙间距可在1mil与20mil之间变化。
在间隙校准期间,处理部件表面之间的直接接触可包括以下部件的任何组合之间的接触:基板表面、基板支撑表面和/或处理传送设备表面。
在下方连同图1至图5B更详细地解释示例性实施方式的进一步细节,这些实施方式绘示和描述经由处理部件表面的直接接触来进行间隙校准,以及解释其他方面,包括校准电子装置制造系统的处理腔室或装载锁定机构中的处理部件表面之间的间隙的方法。
图1绘示了根据一个或更多个实施方式的电子装置制造系统100。电子装置制造系统100可在基板102上执行一个或更多个处理。基板102可为适用于在其上制造电子装置或电路部件的任何合适的刚性、固定尺寸的平面制品,例如含硅圆盘(disc)或晶片、图案化晶片、玻璃板或类似者。在一些实施方式中,基板可为例如200mm、300mm或450mm直径的半导体晶片。
电子装置制造系统100可包括处理工具104和耦接至处理工具104的工厂界面106。处理工具104可包括壳体108,所述壳体在其中具有传送腔室110,且传送腔室110可具有位于其中的基板传送机械手112。多个处理腔室114、116和118可耦接至壳体108和传送腔室110。装载锁定机构120亦可耦接至壳体108和传送腔室110。传送腔室110、处理腔室114、116和118以及装载锁定机构120可保持在真空水平。传送腔室110的真空水平的范围可为,例如,大约0.01Torr至大约80Torr。可使用其他真空水平。
传送机械手112可包括多个臂和一个或更多个末端执行器(end effector),所述臂和末端执行器被配置为将基板102传送进出物理耦接至传送腔室110的任何处理腔室和装载锁定机构(注意到,在图1中,基板102和基板放置位置显示为圆圈)。
在每个处理腔室114、116和118中,一个或更多个基板上可发生相同的或不同的基板处理,例如原子层沉积(ALD)、物理气相沉积(PVD)、化学气相沉积(CVD)、蚀刻、退火、固化、预清洁、金属或金属氧化物移除或类似者。例如,PVD处理可发生在处理腔室114的其中一者或两者中,蚀刻处理可发生在处理腔室116的其中一者或两者中,且退火处理可发生在处理腔室118的其中一者或两者中。其他处理可在其中的基板上进行。
装载锁定机构120可被配置为在一个侧面上与传送腔室110界面连接并耦接,且在相对的侧面上与工厂界面106界面连接并耦接。装载锁定机构120可具有环境控制的气氛,该气氛可从真空环境(其中基板可被传送进出传送腔室110)改变到处于或接近大气压力的惰性气体环境(其中基板可被传送进出工厂界面106)。在一些实施方式中,装载锁定机构120可为堆叠式装载锁定机构,所述堆叠式装载锁定机构具有位于不同垂直高度处的一对上内部腔室和一对下内部腔室(例如,一个在另一个之上)。在一些实施方式中,该对上内部腔室可被配置为从传送腔室110接收已处理的基板以便从处理工具104移除,而该对下内部腔室可被配置为从工厂界面106接收基板以便在处理工具104中处理。在一些实施方式中,装载锁定机构120可被配置为在其中所接收到的一个或更多个基板102上执行基板处理(例如,蚀刻或预清洁)。
工厂界面106可为任何合适的包体(enclosure),例如设备前端模块(EquipmentFront End Module)或EFEM。工厂界面106可被配置为从停靠在工厂界面106的各种装载口124处的基板载具122(其可为例如前开式晶片盒(Front Opening Unified Pods)或FOUP)接收基板102。工厂界面机械手126(虚线所示)可用于在基板载具122与装载锁定机构120之间传送基板102。任何常规机械手类型可用于工厂界面机械手126。传送可依任何顺序或方向进行。工厂界面106可保持在,例如,微正压的非反应性气体环境中(例如使用氮气作为非反应性气体)。
可由马达驱动系统(图1中未示出)来控制传送机械手112和工厂界面机械手126的移动以及在处理腔室114、116和118;装载锁定机构120;工厂界面106;和基板载具122内和/或之间的基板102的传送,所述马达驱动系统可包括多个伺服马达或步进马达。
电子装置制造系统100还可包括系统控制器128。系统控制器128可耦接至每个有源硬件部件(active hardware component)以控制其操作。系统控制器128可包括可编程处理器、存储处理器可执行的指令/软件程序/固件的存储器、各种支持电路以及输入/输出电路。系统控制器128还被配置为允许由人类操作者输入及显示数据、操作命令和类似者。
电子装置制造系统100可进一步包括运动控制器130,其在下方连同图3至图5B更详细地描述。运动控制器130可包括可编程处理器、存储处理器可执行的指令/软件程序/固件的存储器、各种支持电路以及输入/输出电路。运动控制器130可在封闭回路位置控制系统中操作,所述封闭回路位置控制系统可被称为伺服控制系统,以通过使用各种装置来收集并处理来自电子装置制造系统100内的致动器(运动)设备的数据,所述各种装置可耦接至网络,所述网络在致动器(运动)设备的致动器(运动)驱动器的内部和外部,以用于马达换向之外的高阶监控任务。运动控制器130可独立于系统控制器128操作、可向系统控制器128提供信息、和/或可由系统控制器128来控制。或者,系统控制器128可执行运动控制器130的功能,所述运动控制器可从电子装置制造系统100中省略。
尽管随着半导体装置尺寸的缩小,半导体产业中的工艺变化容差持续减小,但在基板处理(例如,在处理腔室或装载锁定机构中的基板上的膜的沉积、退火、固化、蚀刻和/或其他处理)期间仍需要在处理部件之间维持严格控制的间隙。
处理部件可包括基板支撑件和处理传送设备。基板支撑设备可包括单轴或多轴致动器(例如,马达),且可具有单槽或多槽(两个或更多个垂直)堆叠的基板,所述基板可具有升降机、提升装置(elevator)或索引器(indexer)来运输和支撑基板。处理传送设备的各种实施方式可具有致动器,所述致动器可用于将处理传送组件(例如,图案掩模和/或等离子体、气体或热分配组件)定位在处理腔室或装载锁定机构内。这种组件的示例包括在蚀刻处理腔室或装载锁定机构中的阴极组件、在化学气相沉积和原子层沉积处理腔室中的加热器基座组件和气体分配喷头组件、和在斜角蚀刻处理腔室或装载锁定机构中的基板图案掩蔽组件。可使用斜角边缘蚀刻来移除基板的边缘区域上的沉积膜的不需要部分。
根据一个或更多个实施方式,图2A至图2E绘示了处理腔室或装载锁定机构中的基板支撑件和处理传送设备的各种配置,其可与处理腔室114、116和/或118的其中一者或更多者和/或装载锁定机构120类似或相同。
图2A绘示了处理腔室或装载锁定机构214A,其包括处理设备马达232A,所述处理设备马达被配置为在间隙校准期间使处理传送设备233A垂直向下移动,使得处理传送设备233A的底表面直接接触基板202A或基板支撑件203A(例如,在基板支撑件203A上尚未接收基板202A的那些情况下)的顶表面。
图2B绘示了处理腔室或装载锁定机构214B,其包括基板支撑件马达234B,基板支撑件马达234B被配置为在间隙校准期间使基板支撑件203B垂直向上移动,使得基板202B或基板支撑件203B(例如,在基板支撑件203B上尚未接收基板202B的那些情况下)的顶表面直接接触处理传送设备233B的底表面。
图2C绘示了处理腔室或装载锁定机构214C,其包括处理设备马达232C和基板支撑件马达234C两者,所述处理设备马达被配置为使处理传送设备233C垂直向下移动,且所述基板支撑件马达被配置为使基板支撑件203C垂直向上移动,使得在间隙校准期间,处理传送设备233C的底表面与基板202C或基板支撑件203C(例如,在基板支撑件203C上尚未接收基板202C的那些情况下)的顶表面之间发生直接接触。
图2D绘示了基板支撑件203D(其中未示出腔室),所述基板支撑件具有口袋(pocket)205D以便在其中接收基板202D。基板支撑件马达234D被配置为使基板支撑件203D垂直向上移动,使得在间隙校准期间,在顶部基板支撑表面207D与处理传送设备(例如处理传送设备233A、233B、和/或233C)的底表面之间发生直接接触,即使基板支撑件203D上已接收基板202D。
另外图2E(其中未示出腔室)绘示了基板支撑件马达234E,所述基板支撑件马达被配置为使基板支撑件203E垂直向上移动,其中基板支撑件203E具有销或轴209E以便支撑基板202E,使得在间隙校准期间,在基板202E的顶表面与处理传送设备(例如处理传送设备233A、233B、和/或233C)的底表面之间发生直接接触。
图2A至图2E所示的移动处理部件可包括致动器,所述致动器具有大扭矩驱动系(torque drive train)。这种大扭矩驱动系可用于传送和/或支撑基板,以便为基板提供刚性且稳定的平台,从而产生具有最小振动的一致平面表面。这种刚性处理部件可具有较大的壁厚并且可由抗变形的材料构成,例如钢或陶瓷。大的壁厚和抗变形材料可能会导致更大的移动质量。这些处理部件还可提供多种功能,例如包括加热、冷却和机械或静电卡紧(chucking)基板,且因此可包括大量的嵌套子部件,所述嵌套子部件可包括加热、冷却、基板卡紧和气体分配元件。此外,基板在处理腔室中可连续地处于真空下,且为了将真空环境与大气隔离,这些处理部件可包括诸如波纹管(bellow)之类的真空隔离元件。因此,当采用大直径的真空隔离元件来封闭在真空中将致动器桥接到负载的致动器轴时,可能在这些真空隔离界面上产生大的压力。致动器轴的直径应具有足够的内径以适应流体、气体和电力的供应和返回通道。轴向力可能在真空隔离界面处形成,所述轴向力与真空隔离元件的直径可能成比例。因此,这样的处理部件可具有显著的载量(payload),其需要大扭矩的高效滚珠螺杆驱动系,所述高效滚珠螺杆驱动系因此具有破坏(例如压碎)一些处理部件的能力。
根据一个或更多个实施方式,补充反馈装置亦可嵌入到处理腔室中以用于实时的间隙测量和控制。这些反馈装置可为直接接触式或非接触式的,且可包括例如光学传感器、电容式传感器、电感式传感器和/或CCD(电荷耦合装置)相机。将传感器嵌入到处理腔室或装载锁定机构的实际限制可能包括将脆弱的传感器封装及电子装置暴露于升高的处理温度和强烈的化学反应中、电子装置对来自处理腔室的高频电性噪声和等离子体噪声的易感性,以及当在狭小空间中封装传感器和路由信号时必须保持真空完整性所增加的机械复杂度。
根据一个或更多个实施方式的运动控制系统和方法有利地在处理压力(范围从例如0.01Torr至约80Torr)和/或在处理温度(范围从例如100℃至700℃)下提供了完全封闭腔室和原位(in-situ)的基板间隙校准。因此,对于通常非常涉及手动维护的程序,处理腔室或装载锁定机构可不需要为了执行间隙校准而离线。本公开内容的运动控制系统和方法还可有利地消除对用于间隙测量和校准的专用和额外传感器、产品和/或工具的需求。本公开内容的运动控制系统和方法还可有利地不需要额外的机械或电子复杂性,其中在一些实施方式中可直接使用致动器反馈信号。本公开内容的运动控制系统和方法可更进一步有利地组合增益调度(gain scheduling)、分布式运动规划和信号处理的原理,以使用主致动器的反馈来常规地确认和维持在处理中心处的精确间隙控制。本公开内容的运动控制系统和方法可能对处理环境较不敏感,并且可能考虑到(由高处理温度引起的)热膨胀对间隙间距的实际尺寸变化影响,从而增加间隙校准和控制的准确度。
根据一个或更多个实施方式的运动控制系统和方法有利地可克服许多实际限制,其可包括(1)能够超过处理腔室内的结构部件的容许应力极限的大扭矩致动器,(2)处理腔室或装载锁定机构的结构部件的总体机械弯曲(mechanical flexure),(3)对运动控制信号和运动反馈信号施加的分布式运动网络带宽限制,以及(4)运动反馈信号中的噪声。
图3绘示了运动控制系统300,所述运动控制系统可用于电子装置制造系统中,例如电子装置制造系统100。根据一个或更多个实施方式,运动控制系统300可用于控制处理传送设备333(其可例如与图2的处理传送设备233A或233C相同或相似)在处理腔室或装载锁定机构314内的移动,和/或基板支撑件303(其可例如与图2的基板支撑件203B-203E相同或相似)的移动。处理腔室或装载锁定机构314可通过真空泵(未示出)均匀地排气。基板支撑件303可中央地设置在处理腔室或装载锁定机构314中,且在一些实施方式中,基板支撑件303可包括至少一个嵌入式加热器,所述嵌入式加热器可经操作以将基板支撑件303及其上所接收的基板302可控制地加热至预定温度。
运动控制系统300可包括运动控制器330,所述运动控制器可与图1的运动控制器130相同或相似。运动控制系统300还可包括一个或更多个致动器332和334、耦接至运动控制器330的通信网络336、分别耦接至通信网络336的一个或更多个致动器驱动器338A和338B通信、以及一个或更多个反馈装置340A和340B。反馈装置340A和340B的每一者可为,例如,位置传感器和/或其他合适的传感器装置,其被配置为感测例如速度、扭矩、电流、力和和/或应变(strain)。根据基板支撑件303和处理传送设备333的配置,致动器332和334可为以下一者或更多者,例如,处理设备马达和/或基板支撑件马达,例如,处理设备马达232A和/或232C和/或基板支撑件马达234B-234E。处理传送设备333可包括图案掩模和/或等离子体或气体分配组件。
在一些实施方式中,致动器332可为多方向移动装置,所述多方向移动装置被配置为相对于基板302移动和定位处理传送设备333。致动器332可为基于电动马达的系统的一部分,其可用于沿着所有三个轴(X-Y-Z)调整处理传送设备333的位置。在一些实施方式中,致动器332可包括与电动马达连接的机械固定器(fixture),所述电动马达可在第一方向上移动处理传送设备333。电动马达通过第二固定器(例如不锈钢环)可接着在第二方向和第三方向上移动处理传送设备333。因此,通过在使处理传送设备333上下移动的同时枢转处理传送设备333,处理传送设备333可沿着所有三个轴重新定位。此外,致动器332可控制处理传送设备333相对于基板支撑件303的水平。
系统控制器328(其可为电子装置制造系统的系统控制器),例如图1的系统控制器128,可经由通信网络336或替代地经由直接耦接至运动控制器330的专用通信通道337以与运动控制器330和/或致动器驱动器338A和338B通信。或者,系统控制器328可执行运动控制器330的功能,所述运动控制器可省略。
致动器驱动器338A可与相应的致动器332和反馈装置340B通信并且控制所述致动器332和反馈装置340B,且致动器驱动器338B可与相应的致动器334和反馈装置340B通信并控制所述致动器334和反馈装置340B。致动器驱动器338A和338B的每一者被配置为提供相应致动器332和334的受控运动,从而控制处理传送设备333和/或基板支撑件303的相应移动。致动器驱动器338A和338B的每一者可包括可编程处理能力,所述可编程处理能力被配置为执行可编程指令/软件程序/固件,其可包括例如位置反馈回路、速度反馈回路和运动规划器,如下方连同图4和图5A至图5B更详细地描述的。
运动控制器330可包括可编程处理器和存储器,所述存储器存储处理器可执行指令/软件程序/固件和从致动器驱动器338A和338B和反馈装置340A和340B接收到的数据。运动控制器330还可包括各种支持电路(例如,用于电源和网络通信)和输入/输出电路。在一些实施方式中,运动控制器330可包括可执行的指令/软件程序/固件,其具有存储在其存储器中并且可由其可编程处理器执行的信号处理能力。除了致动器驱动器338A和338B之外,运动控制器330还可经由通信网络336耦接至一个或更多个其他远程装置342,所述远程装置可为例如一个或更多个I/O模块,所述I/O模块提供,例如,基于一个或更多个处理部件的位置、对准或其他状态的信号。
运动控制器330可使用例如CANopen(Controller Area Network open,控制器局域网开启)通信协定以经由通信网络336进行通信。CANopen协定是基于主从(master-slave)通信模型。因此,运动控制器330可为被指定为主机(master)的CANopen节点,其向从属(slave)发送数据和从该从属请求数据,该从属可为致动器驱动器338A和338B以及任何指定为从属的其他远程设备342。使用这种通信模型,主机能够启动、停止和重设从属以及其他命令。根据一个或更多个实施方式,运动控制器330(主机)可执行所有指令并通过通信网络336将所有命令传输到致动器驱动器338A和338B,这些致动器驱动器可称为远程节点(从属)。在一些实施方式中,通信网络336可在大于约50Hz且小于约1000Hz的取样率下操作。当由致动器驱动器338A和338B的其中一者或两者执行示例性运动指令时,可启动致动器332和334的其中一者或两者在速度和加速度的预定约束内的位于第一位置与第二位置之间的移动。
图4绘示了根据一个或更多个实施方式的校准在电子装置制造系统(例如电子装置制造系统100)的处理腔室或装载锁定机构中的处理部件之间的间隙,而不使处理腔室或装载锁定机构离线的方法400。方法400可由运动控制器(例如图1的运动控制器130或图3的运动控制器330)于以下位置执行:图1的处理腔室114、116、118和装载锁定机构120、图2的处理腔室或装载锁定机构214A-214C、图3的处理腔室或装载锁定机构314,和可能发生基板处理的任何其他腔室的任何一者中。方法400可校准间隙,例如处理传送设备333与基板302之间的间隙G1(参见图3),和/或处理传送设备333与基板支撑件303之间的间隙G2(在基板支撑件303尚未接收基板302的那些情况下)。
软件控制程序(其例如在运动控制器(主机)中执行)可识别在一个或更多个致动器驱动器(从属)中执行的软件/固件,所述致动器驱动器例如图3的致动器驱动器338A和338B,且所述软件控制程序可通过通信网络(例如通信网络336)以将指令传输到致动器驱动器。在收到指令并完成指令后,致动器驱动器与运动控制器(主机)通信以指示完成。后续指令取决于在致动器驱动器中已成功完成的先前指令。
根据一个或更多个实施方式的分布式运动网络可允许分布式运动规划,同时提供与来自运动控制器(主机)的指令的密切协调。软件程序/固件可分别存储在运动控制器(主机)和致动器驱动器(从属)中。这样可允许运动规划在主机和从属之间分配,并且通过减少通信网络上可能发生的数据传送量来减轻主机和通信网络的负担。例如,由运动控制器(主机)产生的关于间隙校准的运动指令可启动致动器(例如,马达)在速度和加速度的预定约束内的位于第一位置(例如,安全起始位置)与第二位置(例如,超出预期接触位置的目标位置)之间的移动。运动指令可由位于致动器驱动器(从属)内部的运动规划器接收,所述运动规划器基于运动指令数据产生运动轮廓(profile),所述运动轮廓精确地描述致动器(例如,马达)基于每一瞬间的运动,以控制速度和加速度的变化,例如,以便限制“急动(jerk)”,亦即加速度的一阶导数,该加速度的变化可能会在马达和附接部件上产生不期望的磨损或振荡。
从而,所命令的运动数据不需要通过通信网络传输,而只需要在致动器驱动器中启动运动规划器的更高阶运动命令。运动规划的分布式特性释放了通信网络流量,以便从致动器驱动器向运动控制器传送实时的处理数据,包括运动反馈数据(其可介于每毫秒一个样本与每20毫秒一个样本之间),而不会降低运动定位性能。将显而易见的是,这样亦允许运动控制器(主机)同时控制多个致动器驱动器(从属)以便进行间隙校准或其他功能。方法400因此可在根据一个或更多个实施方式的运动控制系统中执行,所述运动控制系统具有能够规划运动的致动器驱动器。
在处理方块402处,方法400可开始于通过从运动控制器(主机)向一个或更多个致动器驱动器(从属)发出准备指令来准备间隙校准。这可包括在运动控制器(主机)与一个或更多个致动器驱动器(从属)之间打开或建立通信。致动器驱动器的数量取决于处理部件配置(例如参见图2A至图2E)。具体而言,处理方块402可包括从运动控制器(主机)发出以下准备指令之一或更多个以配置和和/或操作一个或更多个致动器驱动器(从属):
(1)在一个或更多个致动器驱动器中设定操作模式,以允许一个或更多个致动器驱动器在内部产生(或规划)适当的运动轮廓。例如,致动器驱动器可提供位置控制操作模式,其中在速度和加速度的预定约束内,致动器驱动器中的运动规划器在内部产生梯形位置轮廓。
(2)命令一个或更多个致动器(例如致动器332和/或334)从两个预定表面(例如基板302的顶表面和处理传送设备333的底表面)之间的预期接触位置移动到安全起始位置。
(3)关闭(disable)一个或更多个致动器驱动器中的相关故障保护,包括位置追踪错误,使得间隙校准过程不会过早地出现故障(fault-out)。
(4)在一个或更多个致动器驱动器中设定(或调度)位置回路和速度回路反馈增益(其可被称为PID增益),以减少低频致动器(例如马达)的响应(亦即,致动器(例如马达)电流(扭矩)针对非常缓慢的时变干扰(time-varying disturbance)的响应速率,该干扰例如直接接触阻碍)。
(5)将命令的速度设定(或调度)至非常低的致动器轴频率(其可能小于1rpm;当触发间隙校准运动开始时,致动器轴频率可低到足以在结合消除致动器电流的积分作用(integral action)时,致动器电流可能不会对处理部件直接接触时的受阻运动快速地响应,且这样可大幅降低这些部件上的接触力)。
(6)将命令的位置设定(或调度)到超过预期接触位置的目标位置,以确保处理部件表面之间的接触确实发生。
关于准备指令(4),在图5A和图5B所示的一个或更多个实施方式中,致动器驱动器中的位置和速度回路可为级联(cascaded)形式。图5A描绘了总体控制系统,所述总体控制系统分为“受控体(plant)”和控制器。所述控制系统的目标是在克服干扰的同时,响应命令(ycmd)以驱动受控体。所述受控体包括反馈装置和产生系统响应的元件或多个元件。例如,受控体可为马达,所述马达与其负载耦接,其中反馈装置附接至所述马达、所述负载或两者。受控体接收来自功率转换器(未示出)的控制器输出(u),并将反馈信号(y)发送到控制器。控制器可分为级联位置和速度回路。位置回路包含位置比例增益(Ppos)并接收误差信号(e),所述误差信号为所命令的位置(ycmd)与反馈信号(y)之间的差异。速度回路包含速度比例增益(Pvel)和速度积分增益(Ivel)。速度回路接收包括以下信号的总和:位置回路的输出、命令位置的导数(命令速度)和反馈信号的导数。“s”项表示对信号的微分操作,且“1/s”项表示对信号的积分操作。类似地,图5B描绘了总体控制系统,所述总体控制系统划分为受控体和控制器。在这种情况下的控制器由单个回路组成,且含有比例增益(P)、积分增益(I)和微分增益(D)。根据PID理论,图5A和图5B中所示的控制器内的每个控制项在致动器电流响应的低频、中频或高频区域之一者中占主导地位(dominant)。通过设定对致动器电流提供积分作用的项,可有效地减缓致动器电流(扭矩)响应非常缓慢的时变干扰的速率。在一些实施方式中,这可通过从运动控制器(主机)向一个或更多个致动器驱动器发出指令以将图5A中的“Ivel”项和图5B中的“I”项设定成零来实现。
在处理方块404处,方法400可包括致动处理腔室或装载锁定机构中的一个或更多个致动器,以造成处理部件表面之间的直接接触,而不使处理腔室或装载锁定机构离线。具体而言,处理方块404可包括运动控制器(主机)执行以下操作:
(1)连续地进行采样(polling)(亦即收集),并绘制来自一个或更多个反馈装置(例如反馈装置340A和和/或340B)的处理数据的时间序列。此处理反馈可包括位置、位置误差、致动器(例如,马达)电流、致动器(例如,马达)速度、应变、力、或通信网络上可取得的其他信号。取样率可为通信网络上允许的最大值(其范围可从每毫秒约一个样本至每20毫秒一个样本)。
(2)通过在运动控制器中执行的软件控制程序以数字方式从致动器(例如马达)反馈过滤(亦即移除)随机(任意)噪声和确定性(周期性)噪声。此处用于处理腔室和装载锁定机构中的致动器可具有低频机械共振,所述低频机械共振由以下一者或更多者引起:马达与负载之间的顺应性、轴承和马达轴的未对准、旋转部件的偏心、和/或在低速下来自马达齿槽的脉动扭矩波动。低通、带通和陷波通(notch pass)滤波器可各自用来过滤被认为是噪声的不需要的频率。过滤用于改善信噪比,使得在运动控制器中执行的软件控制程序可更清楚和更迅速地辨别和响应处理部件表面之间的直接接触。
(3)通过在运动控制器中执行的软件控制程序,使用圆形移动平均滤波器(circular moving average filter)拟合实际的反馈信号,来估计致动器反馈。通过在运动控制器的存储器中产生相当于至少一个致动器(例如,马达)转数的足够大的信号缓冲器,在运动控制器中执行的软件控制程序可产生推导出的估计反馈信号和第二个推导出的残余信号(residual signal),所述残余信号可为估计反馈与实际反馈之间的差异。
(4)检测处理传送设备与基板或基板支撑件的表面之间的直接接触。在致动器处于运动中的实时情况下,在处理传送设备与基板或基板支撑件的表面之间的直接接触点处所估计的信号与实际信号可能会急剧偏离。当遇到受阻运动时,所估计的马达反馈可能不会偏离,而实际反馈可能会迅速偏离。进而,残余信号可能非常迅速地上升或下降,且在运动控制器中执行的软件控制程序因此可充分、准确且快速地检测处理腔室或装载锁定机构内部的直接接触。
在处理方块406处,方法400可包括以下步骤:对检测到的部件表面(例如处理传送设备与处理腔室或装载锁定机构中的基板或基板支撑件的表面)之间的直接接触进行响应。具体而言,处理方块406可包括运动控制器执行软件控制程序以发出指令,以暂停由一个或更多个致动器驱动的运动并将一个或更多个致动器的校准位置记录到运动控制器的存储器中。校准位置可包括在接触位置处和在限定的机械间隙间距(例如,小至1mil)处的实际致动器位置。处理方块406还可包括软件控制程序发出指令以将一个或更多个致动器驱动器中的操作模式恢复到正常操作模式。
以上描述仅披露了本公开内容的示例性实施方式。以上披露的设备、系统和方法的修改可落入本公开内容的范围内。因此,尽管已经披露了本公开内容的示例性实施方式,但应理解到其他实施方式可能落入由以下权利要求限定的本公开内容的范围内。

Claims (15)

1.一种电子装置制造系统的运动控制系统,包括:
运动控制器,所述运动控制器包括可编程处理器、存储器和间隙校准软件程序,所述间隙校准软件程序存储在所述存储器中并可由所述可编程处理器执行;
致动器驱动器,所述致动器驱动器耦接至所述运动控制器,且所述致动器驱动器包括驱动器软件程序;
致动器,所述致动器耦接至所述致动器驱动器且耦接至位于处理腔室或装载锁定机构中的处理传送设备或基板支撑件,所述致动器被配置为移动所述处理传送设备或所述基板支撑件;和
反馈装置,所述反馈装置耦接至所述致动器且耦接至所述运动控制器,其中:
所述间隙校准软件程序被配置为造成所述处理传送设备与所述基板支撑件或在所述基板支撑件上所接收的基板的相应表面之间的直接接触。
2.如权利要求1所述的运动控制系统,其中在所述间隙校准软件程序的执行期间,所述运动控制器、所述致动器驱动器、所述致动器和所述反馈装置可在所述处理腔室或装载锁定机构处于处理温度或处理压力时操作。
3.如权利要求2所述的运动控制系统,其中所述处理温度的范围为100摄氏度至700摄氏度,且所述处理压力的范围为0.01Torr至约80Torr。
4.如权利要求1所述的运动控制系统,进一步包括通信网络,所述通信网络耦接至所述运动控制器、所述致动器驱动器、所述致动器和所述反馈装置,所述通信网络利用CANopen通信协定。
5.如权利要求1所述的运动控制系统,其中所述反馈装置测量以下至少一者:位置、速度、扭矩、电流、力、或应变。
6.如权利要求1所述的运动控制系统,其中:
所述致动器驱动器包括多个致动器驱动器;
所述致动器包括多个致动器;和
所述反馈装置包括多个反馈装置;其中:
在所述间隙校准软件程序的执行期间,所述多个致动器驱动器、致动器和反馈装置同时由所述运动控制器所操作。
7.一种电子装置制造系统,包括:
传送腔室;
处理腔室,所述处理腔室耦接至所述传送腔室,所述传送腔室被配置为将一个或更多个基板传送进出所述处理腔室,所述处理腔室被配置为在其中处理所述一个或更多个基板;
装载锁定机构,所述装载锁定机构耦接至所述传送腔室,所述传送腔室被配置为将所述一个或更多个基板传送进出所述装载锁定机构;和
运动控制器,所述运动控制器包括可编程处理器、存储器和间隙校准软件程序,所述间隙校准软件程序存储在所述存储器中并可由所述可编程处理器执行,所述间隙校准软件程序被配置为在所述处理腔室内造成处理传送设备与所述基板支撑件或在所述基板支撑件上所接收的所述一个或更多个基板的其中一个基板的相应表面之间的直接接触。
8.如权利要求7所述的电子装置制造系统,其中所述装载锁定机构被配置为在其中处理所述一个或更多个基板的一者或更多者并且其中所述间隙校准软件程序进一步被配置为在所述装载锁定机构内造成装载锁定机构处理传送设备与装载锁定机构基板支撑件或在所述装载锁定机构基板支撑件上所接收的所述一个或更多个基板的其中一个基板的相应表面之间的直接接触。
9.如权利要求7所述的电子装置制造系统,其中在所述间隙校准软件程序的执行期间,所述处理腔室或所述装载锁定机构处于处理温度或处理压力下。
10.如权利要求7所述的电子装置制造系统,进一步包括:
致动器驱动器,所述致动器驱动器耦接至所述运动控制器,且所述致动器驱动器包括驱动器软件程序;
致动器,所述致动器耦接至所述致动器驱动器且耦接至位于所述处理腔室中的所述处理传送设备或所述基板支撑件,所述致动器被配置为移动所述处理传送设备或所述基板支撑件;和
反馈装置,所述反馈装置耦接至所述致动器且耦接至所述运动控制器。
11.一种校准电子装置制造系统的处理腔室或装载锁定机构中的部件表面之间的间隙的方法,所述方法包括以下步骤:
通过从运动控制器向一个或更多个致动器驱动器发出准备指令,来准备间隙校准;
致动所述处理腔室或所述装载锁定机构中的一个或更多个致动器,以造成所述部件表面之间的直接接触,而不使得所述处理腔室或所述装载锁定机构离线;和
对检测到所述部件表面之间的直接接触进行响应。
12.如权利要求11所述的方法,其中所述准备的步骤包括以下步骤:
将所述一个或更多个致动器驱动器中的操作模式设定成间隙校准模式,以允许所述一个或更多个致动器驱动器产生运动轮廓;
命令所述一个或更多个驱动器移动到安全的起始位置;
关闭所述一个或更多个致动器驱动器中的故障保护,以避免过早的出现故障;
设定位置回路和速度回路的反馈增益;
将命令的速度设定至低轴频率;和
将命令的位置设定至目标位置,所述目标位置超过预期的接触位置,以确保发生所述部件表面之间的直接接触。
13.如权利要求11所述的方法,其中所述致动的步骤包括以下步骤:
将来自一个或更多个反馈装置的处理数据的时间序列进行采样和制图;
通过在所述运动控制器中执行的软件控制程序以数字方式从致动器反馈过滤掉随机噪声和确定性噪声;
通过在所述运动控制器中执行的所述软件控制程序,利用圆形移动平均滤波拟合实际的反馈信号,来估计所述致动器反馈;和
检测所述部件表面之间的直接接触。
14.如权利要求11所述的方法,其中所述响应的步骤包括以下步骤:
暂停所述一个或更多个致动器的运动;
将所述一个或更多个致动器的校准位置存储至所述运动控制器的存储器中;和
将所述一个或更多个致动器驱动器中的操作模式恢复到正常操作模式。
15.如权利要求11所述的方法,其中所述准备、致动和响应的步骤是在将所述处理腔室或装载锁定机构维持在处理温度或处理压力的同时执行的。
CN201880041126.XA 2017-06-23 2018-05-25 在电子装置制造系统中进行间隙校准的系统和方法 Active CN110770888B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202011316823.7A CN112542404B (zh) 2017-06-23 2018-05-25 在电子装置制造系统中进行间隙校准的系统和方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/632,031 2017-06-23
US15/632,031 US10361099B2 (en) 2017-06-23 2017-06-23 Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
PCT/US2018/034704 WO2018236547A1 (en) 2017-06-23 2018-05-25 SYSTEMS AND METHODS FOR CONTACT SPACING CALIBRATION OF DIRECT COMPONENT IN ELECTRONIC DEVICE MANUFACTURING SYSTEMS

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202011316823.7A Division CN112542404B (zh) 2017-06-23 2018-05-25 在电子装置制造系统中进行间隙校准的系统和方法

Publications (2)

Publication Number Publication Date
CN110770888A true CN110770888A (zh) 2020-02-07
CN110770888B CN110770888B (zh) 2020-12-11

Family

ID=64692702

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202011316823.7A Active CN112542404B (zh) 2017-06-23 2018-05-25 在电子装置制造系统中进行间隙校准的系统和方法
CN201880041126.XA Active CN110770888B (zh) 2017-06-23 2018-05-25 在电子装置制造系统中进行间隙校准的系统和方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202011316823.7A Active CN112542404B (zh) 2017-06-23 2018-05-25 在电子装置制造系统中进行间隙校准的系统和方法

Country Status (6)

Country Link
US (2) US10361099B2 (zh)
JP (2) JP7000466B2 (zh)
KR (2) KR102324077B1 (zh)
CN (2) CN112542404B (zh)
TW (2) TWI723599B (zh)
WO (1) WO2018236547A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
JP7449215B2 (ja) 2019-10-11 2024-03-13 キヤノントッキ株式会社 アライメント装置、アライメント方法、成膜装置及び成膜方法
US11355368B2 (en) * 2020-07-13 2022-06-07 Applied Materials, Inc. Decentralized substrate handling and processing system
EP4181306A1 (en) 2021-03-12 2023-05-17 LG Energy Solution, Ltd. Bus bar assembly, battery pack comprising bus bar assembly, and vehicle comprising battery pack
WO2023063633A1 (ko) 2021-10-12 2023-04-20 주식회사 엘지에너지솔루션 배터리 팩 및 이를 포함하는 자동차

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080210258A1 (en) * 2003-10-28 2008-09-04 Applied Materials, Inc. Scrubber box and methods for using the same
CN101572222A (zh) * 2008-05-02 2009-11-04 日东电工株式会社 保护带粘贴装置
CN102246287A (zh) * 2008-12-11 2011-11-16 诺发系统有限公司 用于冷却晶片的装载锁和冷却所述晶片的方法
CN105453246A (zh) * 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5100502A (en) 1990-03-19 1992-03-31 Applied Materials, Inc. Semiconductor wafer transfer in processing systems
US5815396A (en) * 1991-08-12 1998-09-29 Hitachi, Ltd. Vacuum processing device and film forming device and method using same
US6542925B2 (en) 1995-05-30 2003-04-01 Roy-G-Biv Corporation Generation and distribution of motion commands over a distributed network
JPH1123615A (ja) * 1997-05-09 1999-01-29 Hitachi Ltd 接続装置および検査システム
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US20020045956A1 (en) 2000-02-18 2002-04-18 Kapitan Brian A. Network distributed motion control system
JP2004510221A (ja) 2000-06-14 2004-04-02 アプライド マテリアルズ インコーポレイテッド 環境が制御されたチャンバ内で圧力を維持するための装置及び方法
KR100914363B1 (ko) 2001-07-15 2009-08-28 어플라이드 머티어리얼스, 인코포레이티드 처리 시스템
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
JP4712379B2 (ja) 2002-07-22 2011-06-29 ブルックス オートメーション インコーポレイテッド 基板処理装置
JP2006174690A (ja) * 2004-11-18 2006-06-29 Smc Corp アクチュエータ制御システム
TWI259631B (en) * 2005-09-23 2006-08-01 Furutech Co Ltd Shaft lock socket system
WO2007075840A2 (en) 2005-12-20 2007-07-05 Applied Materials, Inc. Extended mainframe designs for semiconductor device manufacturing equipment
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US7942556B2 (en) * 2007-06-18 2011-05-17 Xicato, Inc. Solid state illumination device
US7831135B2 (en) * 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
JP4853836B2 (ja) 2007-09-19 2012-01-11 株式会社安川電機 精密微動位置決め装置およびそれを備えた微動位置決めステージ
US8991785B2 (en) 2007-10-26 2015-03-31 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
JP4547443B2 (ja) 2008-04-24 2010-09-22 シャープ株式会社 プラズマ処理装置およびそれを用いたプラズマ処理方法
JP5284212B2 (ja) * 2009-07-29 2013-09-11 株式会社東芝 半導体装置の製造方法
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
KR101134277B1 (ko) 2010-10-25 2012-04-12 주식회사 케이씨텍 원자층 증착 장치
JP2012112034A (ja) * 2010-11-04 2012-06-14 Canon Inc 真空蒸着装置
JP2012156163A (ja) * 2011-01-21 2012-08-16 Toshiba Corp 半導体製造装置
US9323235B2 (en) 2011-04-11 2016-04-26 Rockwell Automation Technologies, Inc. Industrial control system with distributed motion planning
TWI549210B (zh) * 2012-02-27 2016-09-11 Screen Holdings Co Ltd A sample preparation apparatus for evaluation, a sample manufacturing method for evaluation, and a substrate processing apparatus
US10014202B2 (en) * 2012-06-12 2018-07-03 Erich Thallner Device and method for aligning substrates
TW201437423A (zh) 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
US10847391B2 (en) 2013-03-12 2020-11-24 Applied Materials, Inc. Semiconductor device manufacturing platform with single and twinned processing chambers
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
WO2014150260A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
WO2014144162A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Temperature control systems and methods for small batch substrate handling systems
KR20210014778A (ko) * 2013-03-15 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법
KR101734821B1 (ko) 2013-03-15 2017-05-12 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시 기판들을 프로세싱하도록 적응된 프로세싱 시스템들, 장치, 및 방법들
US9134261B2 (en) * 2013-04-22 2015-09-15 Ebara Corporation Inspection apparatus
JP6145334B2 (ja) * 2013-06-28 2017-06-07 株式会社荏原製作所 基板処理装置
US9196514B2 (en) 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9435025B2 (en) 2013-09-25 2016-09-06 Applied Materials, Inc. Gas apparatus, systems, and methods for chamber ports
CN105580124B (zh) 2013-09-26 2018-05-18 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
US10971381B2 (en) 2013-11-04 2021-04-06 Applied Materials, Inc. Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10294394B2 (en) * 2014-05-08 2019-05-21 3M Innovative Properties Company Pressure sensitive adhesive tape with microstructured elastomeric core
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
JP6607873B2 (ja) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 埋め込み式ファイバーオプティクス及びエポキシ光ディフューザーを使用した基板の温度制御のための装置、システム、並びに方法
JP6608923B2 (ja) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 溝に経路指定された光ファイバーによる加熱を含む温度制御装置、基板温度制御システム、電子デバイス処理システム、及び処理方法
KR20170027820A (ko) * 2014-07-03 2017-03-10 아틀라스 콥코 인더스트리얼 테크니크 에이비 툴 통신 네트워크의 방법, 노드 및 컴퓨터 프로그램
US9954132B2 (en) * 2014-10-29 2018-04-24 General Electric Company Systems and methods for detectors having improved internal electrical fields
JP6062413B2 (ja) 2014-11-28 2017-01-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
TW201629264A (zh) * 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
CN104723235B (zh) * 2015-03-09 2016-07-06 上海交通大学 一种高度可调的反馈杆与管弹簧刚度测量通用夹具
US9601391B2 (en) * 2015-03-12 2017-03-21 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Mechanical stress measurement during thin-film fabrication
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10403515B2 (en) 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
TW201727104A (zh) 2016-01-27 2017-08-01 應用材料股份有限公司 陶瓷狹縫閥門及組件
US20180082870A1 (en) 2016-09-16 2018-03-22 Applied Materials, Inc. Assemblies and methods of process gas flow control

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080210258A1 (en) * 2003-10-28 2008-09-04 Applied Materials, Inc. Scrubber box and methods for using the same
CN101572222A (zh) * 2008-05-02 2009-11-04 日东电工株式会社 保护带粘贴装置
CN102246287A (zh) * 2008-12-11 2011-11-16 诺发系统有限公司 用于冷却晶片的装载锁和冷却所述晶片的方法
CN105453246A (zh) * 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法

Also Published As

Publication number Publication date
JP7114793B2 (ja) 2022-08-08
TW201905824A (zh) 2019-02-01
KR20200010607A (ko) 2020-01-30
US20180374719A1 (en) 2018-12-27
US10916451B2 (en) 2021-02-09
US20190318940A1 (en) 2019-10-17
KR102324077B1 (ko) 2021-11-08
TWI679605B (zh) 2019-12-11
TWI723599B (zh) 2021-04-01
WO2018236547A1 (en) 2018-12-27
KR102395861B1 (ko) 2022-05-06
JP7000466B2 (ja) 2022-01-19
CN110770888B (zh) 2020-12-11
US10361099B2 (en) 2019-07-23
KR20210135356A (ko) 2021-11-12
JP2022050467A (ja) 2022-03-30
JP2020524899A (ja) 2020-08-20
CN112542404A (zh) 2021-03-23
CN112542404B (zh) 2022-03-22
TW202020794A (zh) 2020-06-01

Similar Documents

Publication Publication Date Title
CN110770888B (zh) 在电子装置制造系统中进行间隙校准的系统和方法
KR102388750B1 (ko) 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
KR102621965B1 (ko) 회전 조인트 인코더들을 갖는 웨이퍼 핸들링 로봇들
US9818633B2 (en) Equipment front end module for transferring wafers and method of transferring wafers
TWI623055B (zh) 適用於電子元件製造中處理基材的處理系統、設備及方法
KR102507845B1 (ko) 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션
WO2011017060A2 (en) Dual temperature heater
KR102584339B1 (ko) 반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘
KR20220031700A (ko) 동시 기판 이송을 위한 로봇
KR20130100153A (ko) 낮은 프로파일의 듀얼 아암 진공 로봇
KR20200031523A (ko) 자동-캘리브레이팅된 프로세스 독립적 피드포워드 제어
TWI838131B (zh) 對於旋轉晶圓之處理模組之處理站的自動校正
TW202401521A (zh) 用於處理基板的方法與設備

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant