TW202401521A - 用於處理基板的方法與設備 - Google Patents

用於處理基板的方法與設備 Download PDF

Info

Publication number
TW202401521A
TW202401521A TW112115113A TW112115113A TW202401521A TW 202401521 A TW202401521 A TW 202401521A TW 112115113 A TW112115113 A TW 112115113A TW 112115113 A TW112115113 A TW 112115113A TW 202401521 A TW202401521 A TW 202401521A
Authority
TW
Taiwan
Prior art keywords
substrate
processing
arcing
image
chamber
Prior art date
Application number
TW112115113A
Other languages
English (en)
Inventor
鍾姚穎
紹杰 許
約翰 克羅克
布里傑厄爾 霍爾納
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202401521A publication Critical patent/TW202401521A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0008Industrial image inspection checking presence/absence
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文提供用於處理基板的方法與設備。舉例而言,一種方法包括在製程腔室中在存在電場的情況下處理基板,隨後擷取此基板之影像,基於對擷取的影像之分析確定是否發生基板電弧作用,以及以下其中之一者:當確定沒有電弧作用時繼續處理此基板,或當確定有電弧作用時停止處理此基板。

Description

用於處理基板的方法與設備
本揭示案之實施例一般而言關於用於處理基板的方法與設備,並且舉例而言,關於使用即時電弧作用檢測(real-time arcing detection)的方法與設備。
基板級(晶圓)電弧作用可能為大量生產沉積中的一個嚴重問題。舉例而言,一旦發生電弧作用,由於處理腔室中持續的基板級電弧作用,產量可能下降高達100%。目前用於基板級電弧作用檢測的方法(例如,電壓及電弧計數檢測)可能有些局限性,因為此類方法不是很準確也不是即時執行(例如,通常在已執行一或更多個製程之後觀察到電弧作用),這可能導致基板報廢(電弧作用發生至其他基板)並且降低產量。
因此,發明人在此描述對於經處理基板的即時電弧作用的改善的方法與設備。
本文提供用於處理基板的方法與設備。在一些實施例中,一種用於處理基板的方法包含在製程腔室中在存在電場的情況下處理基板,隨後擷取此基板之影像,基於對擷取的影像之分析確定是否發生基板電弧作用,以及以下其中之一者:當確定沒有電弧作用時繼續處理此基板,或當確定有電弧作用時停止處理此基板。
根據至少一些實施例,一種非暫態電腦可讀取儲存媒體(non-transitory computer readable storage medium)具有儲存在其上的指令,當由處理器執行時,執行一種用於處理基板的方法,包含在製程腔室中在存在電場的情況下處理基板,隨後擷取此基板之影像,基於對擷取的影像之分析確定是否發生基板電弧作用,以及以下其中之一者:當確定沒有電弧作用時繼續處理此基板,或當確定有電弧作用時停止處理此基板。
以下描述本揭示案之其他及進一步實施例。
本文提供用於處理基板的方法與設備之實施例。舉例而言,本文所述的方法與設備使用即時電弧作用檢測。在至少一些實施例中,一種用於處理基板的方法可包括在存在電場的情況下處理基板,隨後擷取此基板之影像,基於對擷取的影像之分析確定是否發生基板電弧作用,以及當確定沒有電弧作用時繼續處理此基板,或當確定有電弧作用時停止處理此基板。相較於習知方法與設備,本文所述的方法與設備即使不能消除仍可減少基板報廢(廢料),例如,防止其他基板在危及的(有問題的)腔室中被處理,並且可增加產量。
根據本揭示案之至少一些實施例,第1圖為用於處理基板的方法100之流程圖,第2圖為可用於執行方法100的工具200(或設備)。本文所述的方法與設備使用一或更多個照相機或感測器,此一或更多個照相機或感測器係定位(安裝)在處理腔室/平台(例如,整合工具)之狹縫閥(及/或一或更多個模組)附近,其允許即時電弧檢測,例如,一旦特定製程腔室發生基板電弧作用。類似地,照相機或感測器亦可安裝在具有透明蓋的一或更多個製程腔室上方,從而允許從製程腔室之外部及製程腔室蓋上方觀察整個基板。在至少一些實施例中,在基板在工具200之一或更多個處理腔室中被處理並且經由狹縫閥(及/或一或更多個模組)被傳送至另一個處理腔室以進行進一步處理之後,此一或更多個照相機或感測器經配置以向工具200之處理器提供基板之影像(或照片)。處理器將此影像與基準線(baseline)(或查找表(look-up table))進行比較(例如,使用控制演算法、人工智慧等),此基準線(或查找表)可包括一或更多個基板電弧作用圖案。若處理器確定此影像匹配此一或更多個基板電弧作用圖案,則處理器可提供故障(fault)之指示並且可立即停止處理程序。替代地或另外地,若處理器確定此影像不匹配此一或更多個基板電弧作用圖案,則處理器可根據配方繼續處理基板。
方法100可在工具200中執行,工具200可包含經配置用於以下一或更多者的任何適合的製程腔室:物理氣相沉積(physical vapor deposition; PVD)、化學氣相沉積(chemical vapor deposition; CVD),及/或原子層沉積(atomic layer deposition; ALD),例如電漿增強ALD或熱ALD(例如,無電漿形成)。可用於執行本文揭示的發明方法的處理系統之實例可包含但不限於可由美國加州聖塔克拉拉之應用材料公司商購的一或更多個製程腔室。其他製程腔室,包含來自其他製造商者,亦可適當地結合本文提供的教示來使用。
工具200可以單獨的製程腔室來實施,這些製程腔室可以獨立配置或作為群集工具(舉例而言,以下關於第2圖描述的整合工具(工具200))之一部分來提供。整合工具之實例可包含但不限於從美國加州聖塔克拉拉之應用材料公司商購的一或更多個製程腔室。本文所述的方法可使用具有耦合至其上的適合的製程腔室的其它群集工具來實踐,或在其它適合的製程腔室中實踐。舉例而言,在一些實施例中,以上論述的發明方法可在整合工具中執行,使得在處理步驟之間存在受限或沒有真空中斷。舉例而言,減少真空中斷可限制或防止一或更多個金屬層或基板之其他部分的污染(例如,氧化)。
整合工具包含真空密封處理平台(處理平台201)、工廠介面(factory interface) 204以及控制器202。處理平台201包括多個製程腔室,例如操作上耦合至傳送腔室203(真空基板傳送腔室)的製程腔室214A、214B、214C及214D,以及操作上耦合至緩衝腔室208(真空基板緩衝腔室)的製程腔室214E及214F。
工廠介面204藉由一或更多個裝載閘腔室(load lock chamber)(第2圖所示的兩個裝載閘腔室,例如206A及206B)操作上耦合至緩衝腔室208。在至少一些實施例中,工具200之緩衝腔室208或傳送腔室203中之一者可被省略。一或更多個模組或通道可設置在緩衝腔室208及傳送腔室203之間,並且可經配置以從緩衝腔室208及/或傳送腔室203接收一或更多個基板。在至少一些實施例中,模組218A及模組218B設置在緩衝腔室208與傳送腔室203之間,並且經配置以在操作期間從緩衝腔室208及/或傳送腔室203接收一或更多個基板,如以下將更詳細地描述。如上所述,模組218A及模組218B可具有透明蓋。
在一些實施例中,工廠介面204包括塢站(docking station) 207、工廠介面機器人238,以促進一或更多個半導體基板(晶圓)的傳送。塢站207經配置以接受一或更多個前開式晶圓傳送盒(front opening unified pod; FOUP)。四個FOUP,例如205A、205B、205C及205D圖示於第2圖之實施例中。工廠介面機器人238經配置以將基板從工廠介面204經由裝載閘腔室(例如206A及206B)傳送至處理平台201。裝載閘腔室206A及206B中之每一者具有耦合至工廠介面204的第一端口及耦合至傳送腔室203的第二端口。裝載閘腔室206A及206B耦合至壓力控制系統(未圖示),此系統對裝載閘腔室206A及206B抽氣及排氣,以促進在緩衝腔室208之真空環境與工廠介面204之實質上周圍(例如,大氣)環境之間傳遞基板。在至少一些實施例中,緩衝腔室208可維持在實質上周圍環境下。在不使用緩衝腔室208的實施例中,裝載閘腔室206A及206B促進在傳送腔室203與工廠介面204之間傳遞基板。緩衝腔室208及傳送腔室203各自具有定位成傳送/接收一或更多個基板的真空機器人242。舉例而言,緩衝腔室208之真空機器人242能夠在裝載閘腔室206A及206B、製程腔室214E及214F以及模組218A及218F之間接收/傳送基板221。類似地,傳送腔室203之真空機器人242能夠在製程腔室214A、214B、214C及214D與模組218A及218F之間接收/傳送基板221。
在一些實施例中,製程腔室214A、214B、214C、214D、214E及214F可包括至少一個ALD腔室、CVD腔室、PVD腔室、電子束沉積腔室及/或電鍍、無電電鍍(EEP)沉積腔室。同樣地,在一些實施例中,一或更多個可選的服務腔室(service chamber)(圖示為216A及216B)可耦合至緩衝腔室208。服務腔室216A及216B可經配置以執行其他基板製程,例如脫氣、接合、化學機械研磨(chemical mechanical polishing; CMP)、基板清潔(預清潔)、晶圓切割、蝕刻、電漿切割、定向、基板計量、冷卻等。
在至少一些實施例中,可使用一或更多個適合的安裝裝置(例如,螺帽、螺栓黏合劑、接合劑等)將一或更多個設備213安裝於鄰近製程腔室214A、214B、214C、214D、214E及214E、服務腔室216A及216B、模組218A及218F及/或裝載閘腔室206A及206B處。舉例而言,此一或更多個設備213可為照相機、感測器(例如,電荷耦合元件)或其他能夠擷取影像或照片的適合的設備,如以下將更詳細地描述。此外,可將此一或更多個設備213安裝在鄰近通向製程腔室214A、214B、214C、214D、214E及214F、服務腔室216A及216B、模組218A及218F及/或裝載閘腔室206A及206B中之任一者的開口處。舉例而言,在至少一些實施例中,可將此一或更多個設備213安裝在鄰近狹縫閥(未圖示),此狹縫閥開口通向製程腔室214A、214B、214C、214D、214E及214F、服務腔室216A及216B、模組218A及218F及/或裝載閘腔室206A及206B。
控制器202使用直接控制製程腔室214A、214B、214C、214D、214E及214F以及設備212來控制工具200的操作,或者替代地,藉由控制與製程腔室214A、214B、214C、214D、214E及214F、設備212以及工具200相關聯的電腦(或控制器)來控制工具200的操作。在操作中,控制器202能夠從相應的腔室及系統收集資料及獲得回饋,以使工具200之效能最佳化。控制器202通常包含中央處理單元230、記憶體234及支援電路232。中央處理單元230可為可在工業環境中使用的任何形式的通用電腦處理器。支援電路232習知地耦合至中央處理單元230,並且可包括快取(cache)、時脈電路(clock circuit)、輸入/輸出子系統、電源等。例如上述的處理方法的軟體常式(software routine)可儲存在記憶體234(例如,非暫態電腦可讀取儲存媒體)中,並且當由中央處理單元230執行時,將中央處理單元230轉換成專用電腦(例如,控制器202)。軟體常式亦可由遠離工具200定位的第二控制器(未圖示)儲存及/或執行。
繼續參照第1圖,最初可將一或更多個基板、熱模組組件等裝載至四個FOUP(例如205A、205B、205C及205D(第2圖))中之一或更多者中。舉例而言,在至少一些實施例中,可將基板221(晶圓)裝載至FOUP 205B中。基板300可具有150 mm、200 mm、300 mm等的直徑。基板221可由鍺、矽、碳化矽、氧化矽等形成。在至少一些實施例中,基板221可具有300 mm的直徑,並且可由矽形成。在至少一些實施例中,可將一或更多個金屬層沉積在基板221上。舉例而言,此一或更多個金屬層可包括鋁、鈷、銅、氮化物、鈦、鉭等。在至少一些實施例中,基板221可包括包括鈷及鎢的金屬層。
一旦裝載,工廠介面機器人238可經由舉例而言裝載閘腔室206A將基板221從工廠介面204傳送至處理平台201。真空機器人242可將基板221從裝載閘腔室206A傳送至製程腔室214A、214B、214C、214D、214E及214F及/或服務腔室216A及216B中之一或更多者,或從製程腔室214A、214B、214C、214D、214E及214F及/或服務腔室216A及216B中之一或更多者傳送基板221。
舉例而言,在至少一些實施例中,真空機器人242可將基板221從裝載閘腔室206A傳送至服務腔室216A,在服務腔室216A中可執行脫氣、接合、化學機械研磨(CMP)、基板清潔(預清潔)、晶圓切割、蝕刻、電漿切割、定向、基板計量、冷卻中之一或更多者。舉例而言,在至少一些實施例中,可在基板221上執行預清潔製程以移除可能已形成在金屬層上的氧化物。在至少一些實施例中,在服務腔室216A中處理基板221之前或之後,一或更多個設備213可用於擷取基板221之影像(照片),如以下將更詳細地描述。
接下來,緩衝腔室208之真空機器人242可將基板221從服務腔室216A傳送至模組218A及218B中之一者(例如,為了冷卻)。再次地,在將基板221傳送至模組218A及218B之前或之後,此一或更多個設備213可用於擷取基板221之影像(照片),如以下將詳細地描述。
接下來,在102,方法100包括在製程腔室中,在存在電場的情況下處理基板。舉例而言,傳送腔室203之真空機器人242可將基板221從模組218A及218B中之一者傳送至製程腔室214A、214B、214C及214D中之一者,在此製程腔室中可在基板221上執行一或更多個沉積製程。在至少一些實施例中,可將基板221傳送至製程腔室214A,在此製程腔室中可在基板221上執行物理氣相沉積。舉例而言,在物理氣相沉積期間,可將一或更多個額外的金屬層(例如,相對較厚、高度均勻的低溫膜,其包括氮化鋁、氧化鋁、砷化鎵、鍺、氧化銦錫(indium tin oxide)、鈦酸鉛鋯(lead zirconium titanate)、鈧摻雜的氮化鋁(scandium doped aluminum nitride)、碳化矽等中之至少一者)沉積在先前沉積於基板221上的金屬層上。額外的金屬層可用於一或更多種MTM(超越摩爾(More than Moore))應用,例如功率元件、MEMS、RF、光子學及封裝技術,例如,TSV。
接下來,在104,方法100包括隨後擷取基板之影像。舉例而言,如上所述,一或更多個設備可用於擷取基板221之影像。舉例而言,一或更多個設備213可在沉積製程之後擷取基板221之影像。舉例而言,如上所述,考慮到在沉積製程(例如,物理氣相沉積或化學氣相沉積)期間存在的電場,電弧作用可能在物理氣相沉積期間發生。因此,在沉積製程之後,在控制器202的控制下,此一或更多個設備213可擷取基板之頂表面(例如,沉積的額外的金屬層)之影像。擷取的影像可經由有線或無線通訊傳輸至控制器202,並且儲存在記憶體234中。
接下來,在106,方法100包括基於對擷取的影像之分析確定是否發生基板電弧作用。舉例而言,在至少一些實施例中,控制器202可將擷取的影像(影像處理)與儲存的基板進行比較。舉例而言,控制器202可將擷取的影像與基準線(模型,例如形狀資料)或查找表中之至少一者進行比較。舉例而言,基準線可包括儲存在記憶體234中的可接受的電弧作用之最大(預定)量之對應影像(電弧晶圓圖案(arcing wafer pattern))。舉例而言,電弧晶圓圖案可包括表面上的形狀資料(例如,沿著或鄰近基板之周圍,或鄰近基板之中心,或基板之任何其他區域)。因此,控制器202可將擷取的影像與基準線進行比較,以確定電弧作用的發生是否等於或超過可接受的電弧作用之最大(預定)量。同樣地,控制器202可將擷取的影像與儲存在記憶體234中的影像(複數個電弧晶圓圖案)進行比較,以確定電弧作用的發生是否等於或超過可接受的電弧作用之最大(預定)量。
接下來,在108,方法100包括以下其中之一者:當確定沒有電弧作用時繼續處理基板,或當確定有電弧作用時停止處理基板。舉例而言,若控制器202確定擷取的影像實質上匹配(等於)基準線之儲存影像及/或查找表中的儲存影像,則控制器202可使用製程腔室214A、214B、214C、214D、214E及214F及/或服務腔室216A及216B中之一或更多者繼續基板221的處理。相反地,若控制器202確定擷取的影像實質上匹配(等於)基準線之儲存影像及/或查找表中的儲存影像,則控制器202可停止基板221的處理。此外,方法100可包括在確定電弧作用時觸發故障指示。在至少一些實施例中,控制器202可觸發故障指示(例如,警報、視覺警告等),並且將此故障指示傳輸給使用者。
可對製程腔室214A、214B、214C、214D、214E及214F及/或服務腔室216A及216B中之每一者重複包括操作102至操作108的方法100。包括操作102至操作108的方法100亦可以類似方式結合模組218A及218F及/或裝載閘腔室206A及206B來使用,例如,計量。
儘管前述為針對本揭示案之實施例,但在不脫離本揭示案之基本範疇的情況下可設計本揭示案之其他及進一步實施例。
100:方法 102:操作 104:操作 106:操作 108:操作 200:工具 201:處理平台 202:控制器 203:傳送腔室 204:工廠介面 205A,205B,205C,205D:前開式晶圓傳送盒(FOUP) 206A,206B:裝載閘腔室 207:塢站 208:緩衝腔室 212:設備 213:設備 214A,214B,214C,214D,214E,214F:製程腔室 216A,216B:服務腔室 218A,218B:模組 221:基板 230:中央處理單元 232:支援電路 234:記憶體 238:工廠介面機器人 242:真空機器人
藉由參照附圖中描繪的本揭示案之說明性實施例可理解以上簡要總結且以下更詳細論述的本揭示案之實施例。然而,附圖僅繪示本揭示案之典型實施例並且因此不應被視為限制範疇,因為本揭示案可允許其他等效實施例。
第1圖為根據本揭示案之至少一些實施例的用於處理基板的方法之流程圖。
第2圖為根據本揭示案之至少一些實施例的用於執行第1圖之方法的設備之示意圖。
為了促進理解,在可能的情況下已使用相同的元件符號來指稱圖式中共有的相同元件。圖式並非按比例繪製,並且為了清楚起見可簡化。一個實施例之元件及特徵可有益地併入其他實施例中而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:方法
102:操作
104:操作
106:操作
108:操作

Claims (20)

  1. 一種用於處理一基板的方法,包括以下步驟: 在一製程腔室中,在存在一電場的情況下處理一基板; 隨後擷取該基板之一影像; 基於對該擷取的影像之分析,確定是否發生基板電弧作用;及 以下其中之一者: 當確定沒有電弧作用時,繼續處理該基板;或 當確定有電弧作用時,停止處理該基板。
  2. 如請求項1所述之方法,其中擷取該基板之該影像之步驟是在設置於鄰近一傳送腔室的一模組或配置用於處理該基板的一沉積腔室之一狹縫閥中之至少一者處執行。
  3. 如請求項1所述之方法,其中擷取該基板之該影像之步驟是使用一照相機或一感測器中之至少一者來執行。
  4. 如請求項1所述之方法,其中處理該基板之步驟包括一沉積製程,該沉積製程為一物理氣相沉積製程或一化學氣相沉積製程中之至少一者。
  5. 如請求項1所述之方法,其中確定是否發生基板電弧作用之步驟包括以下步驟:將該擷取的影像與一基板電弧圖案之一基準線或一查找表進行比較。
  6. 如請求項1所述之方法,進一步包括以下步驟:當確定有電弧作用時觸發一故障指示。
  7. 如請求項1至請求項6中之任一項所述之方法,其中該基板由鋁、銅或鉭中之至少一者製成。
  8. 一種非暫態電腦可讀取儲存媒體,具有儲存在其上的指令,當由一處理器執行時,執行用於處理一基板的一方法,包括以下步驟: 在一製程腔室中,在存在一電場的情況下處理一基板; 隨後擷取該基板之一影像; 基於對該擷取的影像之分析,確定是否發生基板電弧作用;及 以下其中之一者: 當確定沒有電弧作用時,繼續處理該基板;或 當確定有電弧作用時,停止處理該基板。
  9. 如請求項8所述之非暫態電腦可讀取儲存媒體,其中擷取該基板之該影像之步驟是在設置於鄰近一傳送腔室的一模組或配置用於處理該基板的一沉積腔室之一狹縫閥中之至少一者處執行。
  10. 如請求項8所述之非暫態電腦可讀取儲存媒體,其中擷取該基板之該影像之步驟是使用一照相機或一感測器中之至少一者來執行。
  11. 如請求項8所述之非暫態電腦可讀取儲存媒體,其中處理該基板之步驟包括一沉積製程,該沉積製程為一物理氣相沉積製程或一化學氣相沉積製程中之至少一者。
  12. 如請求項8所述之非暫態電腦可讀取儲存媒體,其中確定是否發生基板電弧之步驟包括以下步驟:將該擷取的影像與一基板電弧圖案之一基準線或一查找表進行比較。
  13. 如請求項8所述之非暫態電腦可讀取儲存媒體,進一步包括以下步驟:當確定有電弧作用時觸發一故障指示。
  14. 如請求項8至請求項13中之任一項所述之非暫態電腦可讀取儲存媒體,其中該基板由鋁、銅或鉭中之至少一者製成。
  15. 一種用於處理一基板的設備,包括: 一製程腔室,經配置用於在存在一電場的情況下處理一基板; 一設備,用於擷取該基板之一影像;及 一控制器,經配置用於: 基於對該擷取的影像之分析,確定是否發生基板電弧作用;以及 以下其中之一者: 當確定沒有電弧作用時,繼續處理該基板;或 當確定有電弧作用時,停止處理該基板。
  16. 如請求項15所述之設備,其中該基板之該影像是在設置於鄰近該設備之一傳送腔室的一模組或一沉積腔室之一狹縫閥中之至少一者處擷取。
  17. 如請求項15所述之設備,其中用於擷取該基板之該影像的該設備為一照相機或一感測器中之至少一者。
  18. 如請求項15所述之設備,其中該製程腔室為一物理氣相沉積製程或一化學氣相沉積製程中之至少一者。
  19. 如請求項15所述之設備,其中該控制器經配置以將該擷取的影像與一基板電弧圖案之一基準線或一查找表進行比較。
  20. 如請求項15至19之任一項所述之設備,其中該控制器進一步經配置以當確定有電弧作用時觸發一故障指示。
TW112115113A 2022-06-28 2023-04-24 用於處理基板的方法與設備 TW202401521A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/851,620 US20230416906A1 (en) 2022-06-28 2022-06-28 Methods and apparatus for processing a substrate
US17/851,620 2022-06-28

Publications (1)

Publication Number Publication Date
TW202401521A true TW202401521A (zh) 2024-01-01

Family

ID=89323627

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112115113A TW202401521A (zh) 2022-06-28 2023-04-24 用於處理基板的方法與設備

Country Status (3)

Country Link
US (1) US20230416906A1 (zh)
TW (1) TW202401521A (zh)
WO (1) WO2024006030A1 (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108292582B (zh) * 2015-12-04 2020-04-28 应用材料公司 用于等离子体处理的发弧检测设备
KR102648517B1 (ko) * 2018-03-20 2024-03-15 도쿄엘렉트론가부시키가이샤 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
JP7382848B2 (ja) * 2020-02-20 2023-11-17 東京エレクトロン株式会社 基板処理方法および基板処理装置
KR20220062207A (ko) * 2020-11-06 2022-05-16 세메스 주식회사 기판 처리 장치

Also Published As

Publication number Publication date
WO2024006030A1 (en) 2024-01-04
US20230416906A1 (en) 2023-12-28

Similar Documents

Publication Publication Date Title
US10468278B2 (en) Substrate transfer method and substrate processing apparatus
JP7106681B2 (ja) デュアルロードロックチャンバ
KR102472255B1 (ko) 디개싱 방법
US11104992B2 (en) Substrate processing apparatus, non-transitory computer-readable recording medium thereof and semiconductor manufacturing method by employing thereof
US9230835B2 (en) Integrated platform for fabricating n-type metal oxide semiconductor (NMOS) devices
US11587799B2 (en) Methods and apparatus for processing a substrate
TW202416419A (zh) 處理系統對準器站之校正
US7462560B2 (en) Process of physical vapor depositing mirror layer with improved reflectivity
JP2010056353A (ja) 半導体装置の製造方法
US20170221738A1 (en) Substrate processing apparatus
TW202401521A (zh) 用於處理基板的方法與設備
US20240027295A1 (en) Method and apparatus for lamp housing crack detection
US20240038557A1 (en) Methods and apparatus for processing a substrate
US20240170311A1 (en) Methods and apparatus for processing a substrate
US20220403505A1 (en) Methods and apparatus for processing a substrate
TWI835309B (zh) 用於處理基板的方法及設備
US11887898B2 (en) Method of monitoring semiconductor process
US20230345836A1 (en) Methods for reducing surface defects in active film layers
WO2022146533A1 (en) Methods and apparatus for processing a substrate
KR101550526B1 (ko) 클러스터형 반도체 제조장치 및 이를 이용한 반도체 소자 제조방법
WO2024030386A1 (en) Conductive backside layer for bow mitigation
JP2013239656A (ja) 基板処理装置