KR20200010607A - 전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들 - Google Patents

전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들 Download PDF

Info

Publication number
KR20200010607A
KR20200010607A KR1020207002062A KR20207002062A KR20200010607A KR 20200010607 A KR20200010607 A KR 20200010607A KR 1020207002062 A KR1020207002062 A KR 1020207002062A KR 20207002062 A KR20207002062 A KR 20207002062A KR 20200010607 A KR20200010607 A KR 20200010607A
Authority
KR
South Korea
Prior art keywords
actuator
electronic device
device manufacturing
manufacturing system
motion
Prior art date
Application number
KR1020207002062A
Other languages
English (en)
Other versions
KR102324077B1 (ko
Inventor
모신 와카
마빈 엘. 프리맨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020217035788A priority Critical patent/KR102395861B1/ko
Publication of KR20200010607A publication Critical patent/KR20200010607A/ko
Application granted granted Critical
Publication of KR102324077B1 publication Critical patent/KR102324077B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electron Beam Exposure (AREA)

Abstract

전자 디바이스 제조 시스템은 프로세스 챔버 또는 로드록 컴포넌트들의 표면들 사이의 갭을, 그러한 컴포넌트 표면들을 서로 직접 접촉하게 이동시킴으로써 교정하기 위한 모션 제어 시스템을 포함한다. 컴포넌트 표면들은 기판 및/또는 기판 지지부의 표면과 프로세스 전달 장치의 표면을 포함할 수 있으며, 이 프로세스 전달 장치는 예컨대 패턴 마스크 및/또는 플라즈마 또는 가스 분배 어셈블리일 수 있다. 모션 제어 시스템은 모션 제어기, 모션 제어기에 의해 실행가능한 소프트웨어 프로그램, 네트워크, 하나 이상의 액추에이터 구동기들, 하나 이상의 액추에이터 구동기들에 의해 실행가능한 소프트웨어 프로그램, 하나 이상의 액추에이터들 및 하나 이상의 피드백 디바이스들을 포함할 수 있다. 다른 양상들로서, 프로세스 챔버 또는 로드록 컴포넌트 표면들의 직접 접촉을 통해 갭을 교정하는 방법들이 또한 제공된다.

Description

전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들
[001] 본 출원은, "SYSTEMS AND METHODS OF GAP CALIBRATION VIA DIRECT COMPONENT CONTACT IN ELECTRONIC DEVICE MANUFACTURING SYSTEMS"이란 명칭으로 2017년 6월 23일자로 출원된 미국 정규 출원 번호 제15/632,031호(대리인 관리 번호 제25132/USA호)를 우선권으로 주장하며, 이 출원은 이로써 모든 목적들을 위해 인용에 의해 본원에 포함된다.
[002] 본 개시내용은, 전자 디바이스 제조 시스템들에서 기판들을 지지 및 프로세싱하기 위해 사용되는 장치의 분산 모션 제어 시스템들 및 방법들에 관한 것이다.
[003] 전자 디바이스 제조 시스템은, 전자 디바이스들(예컨대, 집적 회로들 및/또는 평판 디스플레이들)을 기판들 상에 제작하도록 이러한 기판들이 프로세싱되는 하나 이상의 프로세스 챔버들을 포함할 수 있다. 프로세스 챔버들은 (예컨대, 약 0.01 Torr 내지 약 80 Torr 범위의) 진공 레벨에서 그리고 (예컨대, 약 100 ℃ 내지 700 ℃ 범위의) 고온들에서 동작될 수 있다. 전자 디바이스 제조 시스템의 각각의 프로세스 챔버에서는 동일한 또는 상이한 기판 프로세스, 이를테면, 예컨대, 기판 상의 필름 층의 증착, 에칭, 어닐링, 경화 등이 이루어질 수 있다. 기판 프로세싱은 또한, 일부 전자 디바이스 제조 시스템들의 로드록(loadlock)에서 발생할 수 있다. 로드록은, 전자 디바이스 제조 시스템에서 다른 곳으로의 수송을 위해 프로세스 챔버들과 팩토리 인터페이스 사이에서 기판들이 이송되게 하는 챔버이다.
[004] 기판 프로세스에서, 원하는 두께 및 균일성을 갖는 원하는 재료의 하나 이상의 필름 층들은 프로세스 전달 장치, 이를테면, 예컨대, 패턴 마스크 및/또는 플라즈마 또는 가스 분배 어셈블리를 통해 선택적으로 기판에 적용되거나 또는 기판으로부터 제거될 수 있다. 그러한 원하는 두께들 및 균일성들이 정밀하게 적용되거나 또는 제거됨을 보장하기 위해, 기판과 프로세스 전달 장치 사이의 갭이 엄격하게 제어되어야 한다. 그러나, 더 큰 기판 크기들, 기판들의 더 큰 배치 부하(load)들 및 더 높은 프로세스 온도들(이러한 더 높은 프로세스 온도들은 프로세스 컴포넌트들의 열 팽창에 영향을 줄 수 있음)을 처리하기 위해 프로세스 챔버들의 크기가 증가함에 따라, 원하는 갭은 제어하기가 더 어려워질 수 있다. 그러므로, 전자 디바이스 제조 시스템들은 개선된 갭 교정 시스템들 및 방법들로부터 이득을 얻을 수 있다.
[005] 제1 양상에 따르면, 전자 디바이스 제조 시스템의 모션 제어 시스템이 제공된다. 모션 제어 시스템은 모션 제어기를 포함하고, 이 모션 제어기는 프로그램가능 프로세서, 메모리, 및 메모리에 저장되고 프로그램가능 프로세서에 의해 실행가능한 갭 교정 소프트웨어 프로그램을 포함한다. 모션 제어 시스템은 또한, 모션 제어기에 커플링되고 구동기 소프트웨어 프로그램을 포함하는 액추에이터 구동기를 포함한다. 모션 제어 시스템은, 액추에이터 구동기에 커플링되고 프로세스 챔버 또는 로드록(loadlock)에 위치된 프로세스 전달 장치 또는 기판 지지부에 커플링된 액추에이터를 더 포함하고, 여기서, 액추에이터는 프로세스 전달 장치 또는 기판 지지부를 이동시키도록 구성된다. 계속해서, 모션 제어 시스템은 액추에이터 및 모션 제어기에 커플링된 피드백 디바이스를 더 포함한다. 갭 교정 소프트웨어 프로그램은 프로세스 전달 장치 및 기판 지지부 또는 기판 지지부 상에 수용된 기판의 개개의 표면들 사이의 직접 접촉을 유발하도록 구성된다.
[006] 제2 양상에 따르면, 전자 디바이스 제조 시스템이 제공된다. 전자 디바이스 제조 시스템은 이송 챔버 및 이송 챔버에 커플링된 프로세스 챔버를 포함하고, 여기서, 이송 챔버는 하나 이상의 기판들을 프로세스 챔버로, 그리고 프로세스 챔버로부터 이송하도록 구성되고, 프로세스 챔버는 프로세스 챔버 내부에서 하나 이상의 기판들을 프로세싱하도록 구성된다. 전자 디바이스 제조 시스템은 또한, 이송 챔버에 커플링된 로드록을 포함하고, 여기서, 이송 챔버는 하나 이상의 기판들을 로드록으로, 그리고 로드록으로부터 이송하도록 구성된다. 전자 디바이스 제조 시스템은 모션 제어기를 더 포함하고, 이 모션 제어기는 프로그램가능 프로세서, 메모리, 및 메모리에 저장되고 프로그램가능 프로세서에 의해 실행가능한 갭 교정 소프트웨어 프로그램을 포함한다. 갭 교정 소프트웨어 프로그램은 프로세스 챔버 내에서 프로세스 전달 장치 및 기판 지지부 또는 하나 이상의 기판들 중 기판 지지부 상에 수용된 기판의 개개의 표면들 사이의 직접 접촉을 유발하도록 구성된다.
[007] 제3 양상에 따르면, 전자 디바이스 제조 시스템의 프로세스 챔버 또는 로드록에서 컴포넌트 표면들 사이의 갭을 교정하는 방법이 제공된다. 방법은, 모션 제어기로부터 하나 이상의 액추에이터 구동기들로 준비 명령들을 발행함으로써 갭 교정을 준비하는 단계; 프로세스 챔버 또는 로드록이 오프라인에 이르게 하지 않고 컴포넌트 표면들 사이의 직접 접촉을 유발하도록, 프로세스 챔버 또는 로드록에서 하나 이상의 액추에이터들을 작동시키는 단계; 및 컴포넌트 표면들 사이의 검출된 직접 접촉에 응답하는 단계를 포함한다.
[008] 본 개시내용의 이들 및 다른 실시예들에 따른 또 다른 양상들, 특징들 및 장점들은 다음의 상세한 설명, 첨부된 청구항들 및 첨부된 도면들로부터 용이하게 자명할 수 있다. 그에 따라서, 본원의 설명들 및 도면들은 제한적인 것이 아니라 사실상 예시적인 것으로서 간주되어야 한다.
[009] 아래에서 설명된 도면들은 단지 예시적인 목적들을 위한 것이며, 반드시 실척대로 그려진 것은 아니다. 도면들은 어떤 방식으로도 본 개시내용의 범위를 제한하는 것으로 의도되지 않는다.
[0010] 도 1은 본 개시내용의 실시예들에 따른 전자 디바이스 제조 시스템의 개략적인 평면도를 예시한다.
[0011] 도 2a-도 2e는 본 개시내용의 실시예들에 따른, 프로세스 컴포넌트 구성들의 다양한 개략적인 측면도들을 예시한다.
[0012] 도 3은 본 개시내용의 실시예들에 따른 모션 제어 시스템의 개략적인 측면도를 예시한다.
[0013] 도 4는 본 개시내용의 실시예들에 따른, 전자 디바이스 제조 시스템의 프로세스 챔버 또는 로드록에서 컴포넌트 표면들 사이의 갭을 교정하는 방법의 흐름도를 예시한다.
[0014] 도 5a 및 도 5b는 본 개시내용의 실시예들에 따른, 액추에이터 구동기에서 실행가능한 포지션 및 속도 소프트웨어 제어 루프들을 예시한다.
[0015] 이제, 첨부된 도면들에서 예시되는, 본 개시내용의 예시적인 실시예들에 대한 참조가 상세히 이루어질 것이다. 가능하다면, 동일한 또는 유사한 부분들을 지칭하기 위해 동일한 참조 번호들이 도면들 전체에 걸쳐 사용될 것이다.
[0016] 본 개시내용의 하나 이상의 실시예들에 따른 전자 디바이스 제조 시스템들은, 기판들을 프로세싱하도록 구성된 프로세스 챔버 또는 로드록 내부의 이동 프로세스 컴포넌트들의 직접 접촉을 검출하도록 구성된 분산 모션 제어 시스템을 포함할 수 있다. 갭 교정 소프트웨어 프로그램을 실행하는 모션 제어기에 의한 직접 접촉 검출은 프로세스 컴포넌트들의 표면들 사이의 갭 간격을 교정하기 위해 사용될 수 있다. 갭 간격을 교정하고 그런 다음 엄격하게 제어하는 것은 유리하게, 원하는 두께들 및 균일성들의 적용 및/또는 제거를 포함하여, 필름 증착 및/또는 필름 에칭 특성들을 개선시킬 수 있다. 또한, 갭 간격을 엄격하게 제어하는 것은 유리하게, 필름 증착 및/또는 에칭의 레이트(rate)에 영향을 줄 수 있다. 정밀하게 제어될 때, 갭 간격은 추가로, 2 개 이상의 프로세스 챔버들을 갖는 전자 디바이스 제조 시스템에서 프로세스 챔버 성능의 매칭을 개선시킬 수 있다. 원하는 갭 간격은 애플리케이션마다 변한다. 예컨대, 원자 층 증착을 위한 원하는 갭 간격은 화학 주입기와 기판 사이의 갭의 경우 4 mil 내지 80 mil에서 변할 수 있고, 베벨 에칭을 위한 원하는 갭 간격은 패턴 마스크와 기판 사이의 갭의 경우 1 mil 내지 20 mil에서 변할 수 있다.
[0017] 갭 교정 동안 프로세스 컴포넌트 표면들 사이의 직접 접촉은 기판 표면, 기판 지지부 표면 및/또는 프로세스 전달 장치 표면의 임의의 조합 사이의 접촉을 포함할 수 있다.
[0018] 프로세스 컴포넌트 표면들의 직접 접촉을 통한 갭 교정 뿐만 아니라, 전자 디바이스 제조 시스템의 프로세스 챔버 또는 로드록에서의 프로세스 컴포넌트 표면들 사이의 갭을 교정하는 방법들을 포함하는 다른 양상들을 예시 및 설명하는 예시적인 실시예들의 추가적인 세부사항들이 도 1-도 5b와 관련하여 아래에서 더욱 상세히 설명될 것이다.
[0019] 도 1은 하나 이상의 실시예들에 따른 전자 디바이스 제조 시스템(100)을 예시한다. 전자 디바이스 제조 시스템(100)은 기판(102) 상에서의 하나 이상의 프로세스들을 수행할 수 있다. 기판(102)은 전자 디바이스들 또는 이러한 전자 디바이스들 상의 회로 컴포넌트들을 제작하기에 적절한, 임의의 적절하게 강성인 고정-치수 평면 물품, 이를테면, 예컨대, 실리콘-함유 디스크 또는 웨이퍼, 패터닝된 웨이퍼, 유리 플레이트 등일 수 있다. 일부 실시예들에서, 기판은 예컨대 200 mm, 300 mm 또는 450 mm 직경의 반도체 웨이퍼일 수 있다.
[0020] 전자 디바이스 제조 시스템(100)은 프로세스 툴(104) 및 프로세스 툴(104)에 커플링된 팩토리 인터페이스(106)를 포함할 수 있다. 프로세스 툴(104)은 프로세스 툴(104) 내부에 이송 챔버(110)를 갖는 하우징(108)을 포함할 수 있고, 이송 챔버(110)는 이송 챔버(110) 내부에 위치된 기판 이송 로봇(112)을 가질 수 있다. 복수의 프로세스 챔버들(114, 116 및 118)이 하우징(108) 및 이송 챔버(110)에 커플링될 수 있다. 로드록(120)이 또한, 하우징(108) 및 이송 챔버(110)에 커플링될 수 있다. 이송 챔버(110), 프로세스 챔버들(114, 116 및 118) 및 로드록(120)은 진공 레벨로 유지될 수 있다. 이송 챔버(110)를 위한 진공 레벨은 예컨대 약 0.01 Torr 내지 약 80 Torr의 범위일 수 있다. 다른 진공 레벨들이 사용될 수 있다.
[0021] 이송 로봇(112)은, 이송 챔버(110)에 물리적으로 커플링된 임의의 프로세스 챔버 및 로드록으로 그리고 이러한 임의의 프로세스 챔버 및 로드록으로부터 기판들(102)을 이송하도록 구성되는 다수의 아암들 및 하나 이상의 엔드 이펙터들을 포함할 수 있다(기판들(102) 및 기판 배치 위치들이 도 1에서 원들로서 도시됨에 주목하라).
[0022] 프로세스 챔버들(114, 116 및 118) 각각에서 동일한 또는 상이한 기판 프로세스, 이를테면, 예컨대, 원자 층 증착(ALD; atomic layer deposition), 물리 기상 증착(PVD; physical vapor deposition), 화학 기상 증착(CVD; chemical vapor deposition), 에칭, 어닐링, 경화, 예비-세정, 금속 또는 금속 옥사이드 제거 등이 하나 이상의 기판들 상에 이루어질 수 있다. 예컨대, PVD 프로세스는 프로세스 챔버들(114) 중 하나 또는 둘 모두에서 이루어질 수 있고, 에칭 프로세스는 프로세스 챔버들(116) 중 하나 또는 둘 모두에서 이루어질 수 있으며, 어닐링 프로세스는 프로세스 챔버들(118) 중 하나 또는 둘 모두에서 이루어질 수 있다. 다른 프로세스들이 프로세스 챔버들(118) 중 하나 또는 둘 모두 내부에서 기판들 상에 수행될 수 있다.
[0023] 로드록(120)은, 일 측에서 이송 챔버(110)와 인터페이싱하고 이송 챔버(110)에 커플링되도록, 그리고 대향 측에서 팩토리 인터페이스(106)와 인터페이싱하고 팩토리 인터페이스(106)에 커플링되도록 구성될 수 있다. 로드록(120)은 진공 환경(여기서, 기판들은 이송 챔버(110)로 그리고 이송 챔버(110)로부터 이송될 수 있음)으로부터 대기압 또는 거의 대기압에서의 불활성-가스 환경(여기서, 기판들은 팩토리 인터페이스(106)로 그리고 팩토리 인터페이스(106)로부터 이송될 수 있음)으로 변화될 수 있는, 환경-제어식 분위기(environmentally-controlled atmosphere)를 가질 수 있다. 일부 실시예들에서, 로드록(120)은 상이한 수직 레벨들(예컨대, 하나가 다른 하나 위에 있음)에 위치되는 한 쌍의 상부 내부 챔버들 및 한 쌍의 하부 내부 챔버들을 갖는 적층식 로드록일 수 있다. 일부 실시예들에서, 한 쌍의 상부 내부 챔버들은 프로세스 툴(104)로부터의 제거를 위해 이송 챔버(110)로부터 프로세싱된 기판들을 수용하도록 구성될 수 있는 한편, 한 쌍의 하부 내부 챔버들은 프로세스 툴(104)에서의 프로세싱을 위해 팩토리 인터페이스(106)로부터 기판들을 수용하도록 구성될 수 있다. 일부 실시예들에서, 로드록(120)은 로드록(120) 내부에 수용된 하나 이상의 기판들(102) 상에 기판 프로세스(예컨대, 에칭 또는 예비-세정)를 수행하도록 구성될 수 있다.
[0024] 팩토리 인터페이스(106)는 임의의 적절한 인클로저, 이를테면, 예컨대, 장비 프론트 엔드 모듈(Equipment Front End Module) 또는 EFEM일 수 있다. 팩토리 인터페이스(106)는 팩토리 인터페이스(106)의 다양한 부하 포트들(124)에 도킹된 기판 캐리어들(122)(이러한 기판 캐리어들(122)은 예컨대 프론트 오프닝 통합 포드(Front Opening Unified Pod)들 또는 FOUP들일 수 있음)로부터 기판들(102)을 수용하도록 구성될 수 있다. 팩토리 인터페이스 로봇(126)(점선으로 도시됨)이 기판 캐리어들(122)과 로드록(120) 사이에서 기판들(102)을 이송시키기 위해 사용될 수 있다. 임의의 종래의 로봇 타입이 팩토리 인터페이스 로봇(126)에 사용될 수 있다. 이송들은 임의의 순서 또는 방향으로 수행될 수 있다. 팩토리 인터페이스(106)는 예컨대 약간 양압의 비-반응성 가스 환경(예컨대, 비-반응성 가스로서 질소를 사용함)에서 유지될 수 있다.
[0025] 프로세스 챔버들(114, 116 및 118); 로드록(120); 팩토리 인터페이스(106); 및 기판 캐리어들(122) 내에서 그리고/또는 이들 사이에서 이송 로봇(112) 및 팩토리 인터페이스 로봇(126)의 이동과 기판들(102)의 이송은 복수의 서보 또는 스테퍼 모터들을 포함할 수 있는 모터 구동 시스템(도 1에서 도시되지 않음)에 의해 제어될 수 있다.
[0026] 전자 디바이스 제조 시스템(100)은 또한, 시스템 제어기(128)를 포함할 수 있다. 시스템 제어기(128)는 활성 하드웨어 컴포넌트들 각각에, 이들의 동작을 제어하도록 커플링될 수 있다. 시스템 제어기(128)는 프로그램가능 프로세서, 프로세서 실행가능 명령들/소프트웨어 프로그램들/펌웨어를 저장하는 메모리, 다양한 지원 회로들 및 입력/출력 회로들을 포함할 수 있다. 시스템 제어기(128)는 또한, 인간 오퍼레이터에 의한 데이터의 입력 및 디스플레이, 동작 지시(command)들 등을 허용하도록 구성될 수 있다.
[0027] 전자 디바이스 제조 시스템(100)은 도 3-도 5b와 관련하여 아래에서 더욱 상세히 설명된 모션 제어기(130)를 더 포함할 수 있다. 모션 제어기(130)는 프로그램가능 프로세서, 프로세서 실행가능 명령들/소프트웨어 프로그램들/펌웨어를 저장하는 메모리, 다양한 지원 회로들 및 입력/출력 회로들을 포함할 수 있다. 모션 제어기(130)는, 전자 디바이스 제조 시스템(100) 내의 액추에이터 (모션) 장비로부터 데이터를 수집 및 프로세싱하기 위해, 모터의 정류(commutation)를 넘어서는 고-레벨 감독 태스크들을 위한, 이러한 액추에이터 (모션) 장비의 액추에이터 (모션) 구동부들에 대한 내부 및 외부 둘 모두의 네트워크에 커플링될 수 있는 다양한 디바이스들을 사용함으로써, 서보 제어 시스템으로 지칭될 수 있는 폐-루프 포지션 제어 시스템에서 동작할 수 있다. 모션 제어기(130)는 시스템 제어기(128)와 독립적으로 동작할 수 있고, 시스템 제어기(128)에 정보를 제공할 수 있으며, 그리고/또는 시스템 제어기(128)에 의해 제어될 수 있다. 대안적으로, 시스템 제어기(128)가 모션 제어기(130)의 기능들을 수행할 수 있고, 이 모션 제어기(130)는 전자 디바이스 제조 시스템(100)으로부터 생략될 수 있다.
[0028] 반도체 디바이스들의 크기가 줄어듦에 따라 반도체 산업에서의 프로세스 변동성 허용오차가 계속 감소하지만, 기판 프로세싱(예컨대, 프로세스 챔버 또는 로드록에서의 기판 상의 필름의 증착, 어닐링, 경화, 에칭 및/또는 다른 프로세싱) 동안 프로세스 컴포넌트들 사이에 엄격하게 제어된 갭을 유지할 필요가 있다.
[0029] 프로세스 컴포넌트들은 기판 지지부 및 프로세스 전달 장치를 포함할 수 있다. 기판 지지 장치는 단일-축 또는 다중-축 액추에이터들(예컨대, 모터들)을 포함할 수 있고, 기판을 수송 및 지지하기 위해 리프트들, 엘리베이터들 또는 인덱서들을 가질 수 있는 단일-슬롯 또는 다중-슬롯의 (2 개 이상의 수직으로) 적층된 기판들을 가질 수 있다. 프로세스 전달 장치의 다양한 실시예들은, 프로세스 챔버 또는 로드록 내부에서 프로세스 전달 어셈블리들(예컨대, 패턴 마스크들 및/또는 플라즈마, 가스 또는 열 분배 어셈블리들)을 포지셔닝시키기 위해 사용될 수 있는 액추에이터들을 가질 수 있다. 그러한 어셈블리들의 예들은 에칭 프로세스 챔버들 또는 로드록들에서의 캐소드 어셈블리들, 화학 기상 증착 및 원자 층 증착 프로세스 챔버들에서의 가열기 페데스탈 어셈블리들 및 가스 분배 샤워헤드 어셈블리들, 그리고 베벨 에칭 프로세스 챔버들 또는 로드록들에서의 기판 패턴 마스킹 어셈블리들을 포함한다. 기판의 에지 구역 상의 증착 필름의 바람직하지 않은 부분들을 제거하기 위해 베벨 에지 에칭이 사용될 수 있다.
[0030] 하나 이상의 실시예들에 따르면, 도 2a-도 2e는 프로세스 챔버들(114, 116 및/또는 118) 및/또는 로드록(120) 중 하나 이상과 유사하거나 또는 동일할 수 있는 프로세스 챔버 또는 로드록에서의 기판 지지 및 프로세스 전달 장치의 다양한 구성들을 예시한다.
[0031] 도 2a는 프로세스 전달 장치(233A)의 바닥 표면이 기판(202A) 또는 (예컨대, 기판(202A)이 기판 지지부(203A) 상에 아직 수용되지 않은 경우들에서는) 기판 지지부(203A)의 상부 표면과 직접 접촉하도록 갭 교정 동안 프로세스 전달 장치(233A)를 수직으로 하향으로 이동시키도록 구성된 프로세스 장치 모터(232A)를 포함하는 프로세스 챔버 또는 로드록(214A)을 예시한다.
[0032] 도 2b는 기판(202B) 또는 (예컨대, 기판(202B)이 기판 지지부(203B) 상에 아직 수용되지 않은 경우들에서는) 기판 지지부(203B)의 상단 표면이 프로세스 전달 장치(233B)의 바닥 표면과 직접 접촉하도록 갭 교정 동안 기판 지지부(203B)를 수직으로 상향으로 이동시키도록 구성된 기판 지지부 모터(234B)를 포함하는 프로세스 챔버 또는 로드록(214B)을 예시한다.
[0033] 도 2c는 기판(202C) 또는 (예컨대, 기판(202C)이 기판 지지부(203C) 상에 아직 수용되지 않은 경우들에서는) 기판 지지부(203C)의 상단 표면과 프로세스 전달 장치(233C)의 바닥 표면 사이에서 갭 교정 동안 직접 접촉이 발생하도록, 프로세스 전달 장치(233C)를 수직으로 하향으로 이동시키도록 구성된 프로세스 장치 모터(232C) 및 기판 지지부(203C)를 수직으로 상향으로 이동시키도록 구성된 기판 지지부 모터(234C) 둘 모두를 포함하는 프로세스 챔버 또는 로드록(214C)을 예시한다.
[0034] 도 2d는 기판 지지부(203D)(도시되지 않은 챔버를 가짐)를 예시하고, 기판 지지부(203D)는 기판 지지부(203D) 내부에 기판(202D)을 수용하기 위한 포켓(205D)을 갖는다. 기판(202D)이 기판 지지부(203D) 상에 수용되더라도, 갭 교정 동안 프로세스 전달 장치, 이를테면, 예컨대, 프로세스 전달 장치(233A, 233B 및/또는 233C)의 바닥 표면과 상단 기판 지지부 표면들(207D) 사이에서 직접 접촉이 발생하도록, 기판 지지부 모터(234D)는 기판 지지부(203D)를 수직으로 상향으로 이동시키도록 구성된다.
[0035] 그리고 도 2e(도시되지 않은 챔버를 가짐)는 기판 지지부(203E)를 수직으로 상향으로 이동시키도록 구성된 기판 지지부 모터(234E)를 예시하고, 여기서, 기판 지지부(203E)는 기판(202E)을 지지하기 위한 핀들 또는 샤프트들(209E)을 가져서, 갭 교정 동안 프로세스 전달 장치, 이를테면, 예컨대, 프로세스 전달 장치(233A, 233B 및/또는 233C)의 바닥 표면과 기판(202E)의 상단 표면 사이에서 직접 접촉이 발생한다.
[0036] 도 2a-도 2e에서 도시된 이동 프로세스 컴포넌트들은 큰 토크 구동 트레인들을 갖는 액추에이터들을 포함할 수 있다. 그러한 큰 토크 구동 트레인들은, 최소 진동으로 지속적으로 평면의 표면을 야기하는, 기판을 위한 강성의 안정된 플랫폼을 제공하기 위하여, 기판을 수송 및/또는 지지하는 데 사용될 수 있다. 그러한 강성 프로세스 컴포넌트들은 큰 벽 두께들을 가질 수 있고, 변형에 내성이 있는 재료들, 이를테면, 예컨대, 스틸 또는 세라믹으로 구성될 수 있다. 큰 벽 두께들 및 변형 내성 재료들은 더 큰 이동 질량에 기여할 수 있다. 이들 프로세스 컴포넌트들은 또한, 예컨대 기판을 가열, 냉각, 및 기계적으로 또는 정전기적으로 척킹하는 것을 포함하는 다수의 기능들을 제공할 수 있고, 이에 따라 가열, 냉각, 기판 척킹 및 가스 분배 엘리먼트들을 포함할 수 있는 많은 수의 중첩된(nested) 하위-컴포넌트들을 포함할 수 있다. 또한, 기판은 프로세스 챔버에서 계속해서 진공 하에 있을 수 있고, 대기로부터 진공 환경을 격리시키기 위해, 진공 격리 엘리먼트, 이를테면 벨로우즈가 이들 프로세스 컴포넌트들에 포함될 수 있다. 따라서, 액추에이터를 진공 상태에서 부하에 브리징(bridge)하는 액추에이터 샤프트들을 둘러싸기 위해 큰 직경의 진공 격리 엘리먼트들이 사용될 때, 이들 진공 격리 인터페이스들에 걸쳐 큰 압력 힘들이 발달(develop)할 수 있다. 액추에이터 샤프트의 직경은 유체, 가스 및 전력을 위한 공급 및 리턴 채널들을 수납하기에 충분한 내부 직경을 가져야 한다. 진공 격리 엘리먼트의 직경에 비례할 수 있는 진공 격리 인터페이스들에서 축력들이 발달할 수 있다. 따라서, 그러한 프로세스 컴포넌트들은, 결과적으로 일부 프로세스 컴포넌트들을 손상(예컨대, 파쇄)시키는 능력을 갖는 큰 토크 고-효율 볼 스크류 구동 트레인들을 필요로 하는 상당한 페이로드(payload)들을 가질 수 있다.
[0037] 또한, 하나 이상의 실시예들에 따르면, 실시간 갭 측정 및 제어를 위한 보충 피드백 디바이스들이 프로세스 챔버에 임베딩될 수 있다. 이들 피드백 디바이스들은 직접-접촉 또는 비-접촉 타입일 수 있으며, 예컨대 광학 센서들, 용량성 센서들, 유도성 센서들 및/또는 전하 결합 소자(CCD; charge coupled device) 카메라들을 포함할 수 있다. 프로세스 챔버들 또는 로드록들에 센서들을 임베딩하는 현실적인 제한들은, 높은 프로세스 온도 및 강한 화학 반응들에 대한 취약한 센서 패키징 및 전자장치(electronics)의 노출, 프로세스 챔버로부터의 고주파수 전기 및 플라즈마 잡음에 대한 전자장치의 민감도(susceptibility), 및 센서를 패키징할 때 진공 무결성을 유지해야 하는 것 그리고 빽빽한 공간들에서 신호들을 라우팅하는 것으로 인한 부가된 기계적 복잡성을 포함할 수 있다.
[0038] 하나 이상의 실시예들에 따른 모션 제어 시스템들 및 방법들은 유리하게, (예컨대, 0.01 Torr 내지 약 80 Torr 범위의) 프로세스 압력들에서 그리고/또는 (예컨대, 100 ℃ 내지 700 ℃ 범위의) 프로세스 온도들에서 완전 폐쇄-챔버 및 제자리(in-situ) 기판 갭 교정을 제공한다. 따라서, 갭 교정을 수행하기 위하여, 프로세스 챔버 또는 로드록은, 통상적으로는 매우 수동으로 수반되는 유지보수 절차들을 위해 오프라인에 이르게 될 필요가 없을 수 있다. 또한, 본 개시내용의 모션 제어 시스템들 및 방법들은 유리하게, 갭 측정 및 교정을 위한, 전문적이고 보충적인 센서들, 제품들 및/또는 툴들에 대한 필요성을 제거할 수 있다. 추가로, 본 개시내용의 모션 제어 시스템들 및 방법들은 유리하게, 부가적인 기계적 또는 전기적 복잡성을 필요로 하지 않을 수 있으며, 여기서, 일부 실시예들에서, 액추에이터 피드백 신호들이 직접적으로 사용될 수 있다. 계속해서, 추가로, 본 개시내용의 모션 제어 시스템들 및 방법들은 유리하게, 1차 액추에이터의 피드백을 사용하여 프로세스의 중심에서의 정확한 갭 제어를 일상적으로 확인 및 유지하기 위해, 이득 스케줄링, 분산 모션 플래닝 및 신호 프로세싱의 원리들을 결합할 수 있다. 본 개시내용의 모션 제어 시스템들 및 방법들은 프로세싱 환경에 덜 민감할 수 있으며, 갭 간격에 대한 열 팽창(열 팽창은 높은 프로세스 온도들에 의해 유발됨)의 실제 치수 변경 효과들을 고려하여서, 갭 교정 및 제어의 정확성을 증가시킬 수 있다.
[0039] 하나 이상의 실시예들에 따른 모션 제어 시스템들 및 방법들은 유리하게, 다수의 현실적인 제한들을 극복할 수 있으며, 이러한 현실적인 제한들은 (1) 프로세스 챔버 내부의 구조적 컴포넌트들의 허용가능 응력 제한치들을 초과할 수 있는 큰 토크 액추에이터들, (2) 프로세스 챔버 또는 로드록의 구조적 컴포넌트들의 총 기계적 굴곡(gross mechanical flexure), (3) 모션 제어 신호들 및 모션 피드백 신호들에 부과된 분산 모션 네트워크 대역폭 제한들 및 (4) 모션 피드백 신호들에서의 잡음을 포함할 수 있다.
[0040] 도 3은 전자 디바이스 제조 시스템, 이를테면, 예컨대, 전자 디바이스 제조 시스템(100)에서 사용될 수 있는 모션 제어 시스템(300)을 예시한다. 하나 이상의 실시예들에 따르면, 모션 제어 시스템(300)은 프로세스 전달 장치(333)(예컨대, 도 2의 프로세스 전달 장치(233A 또는 233C)와 동일하거나 또는 유사할 수 있음) 및/또는 기판 지지부(303)(예컨대, 도 2의 기판 지지부들(203B-203E)과 동일하거나 또는 유사할 수 있음)의, 프로세스 챔버 또는 로드록(314) 내에서의 이동들을 제어하기 위해 사용될 수 있다. 프로세스 챔버 또는 로드록(314)은 진공 펌프(미도시)에 의해 균일하게 진공배기될 수 있다. 기판 지지부(303)는 프로세스 챔버 또는 로드록(314)에서 중심에 배치될 수 있으며, 일부 실시예들에서, 기판 지지부(303)는 미리 결정된 온도까지 기판 지지부(303) 및 기판 지지부(303) 상에 수용된 기판(302)을 제어가능하게 가열하도록 동작가능할 수 있는 적어도 하나의 임베디드 가열기를 포함할 수 있다.
[0041] 모션 제어 시스템(300)은 도 1의 모션 제어기(130)와 동일하거나 또는 유사할 수 있는 모션 제어기(330)를 포함할 수 있다. 모션 제어 시스템(300)은 또한, 하나 이상의 액추에이터들(332 및 334), 모션 제어기(330)에 커플링된 통신 네트워크(336), 통신 네트워크(336)에 각각 커플링된 하나 이상의 액추에이터 구동기들(338A 및 338B), 및 하나 이상의 피드백 디바이스들(340A 및 340B)을 포함할 수 있다. 피드백 디바이스들(340A 및 340B) 각각은 예컨대 포지션 센서 및/또는 예컨대 속도, 토크, 전류, 힘 및/또는 변형율(strain)을 감지하도록 구성된 다른 적절한 센서 디바이스(들)일 수 있다. 기판 지지부(303) 및 프로세스 전달 장치(333)의 구성에 따라, 액추에이터들(332 및 334)은 예컨대 프로세스 장치 모터 및/또는 기판 지지부 모터, 이를테면, 예컨대, 프로세스 장치 모터들(232A 및/또는 232C) 및/또는 기판 지지부 모터들(234B-234E) 중 하나 이상일 수 있다. 프로세스 전달 장치(333)는 패턴 마스크 및/또는 플라즈마 또는 가스 분배 어셈블리를 포함할 수 있다.
[0042] 일부 실시예들에서, 액추에이터(332)는, 기판(302)에 대해 프로세스 전달 장치(333)를 이동 및 포지셔닝시키도록 구성된 다방향 이동 디바이스일 수 있다. 액추에이터(332)는, 3 개의 축들(X-Y-Z) 전부를 따라 프로세스 전달 장치(333)의 포지션을 조정하기 위해 사용될 수 있는 전기 모터-기반 시스템의 일부일 수 있다. 일부 실시예들에서, 액추에이터(332)는 프로세스 전달 장치(333)를 제1 방향으로 이동시킬 수 있는 전기 모터와 관련된 기계적 고정장치(fixture)를 포함할 수 있다. 그런 다음, 제2 고정장치, 이를테면 스테인레스 스틸 링을 통한 전기 모터는 프로세스 전달 장치(333)를 제2 방향 및 제3 방향으로 이동시킬 수 있다. 따라서, 프로세스 전달 장치(333)를 위아래로 이동시키면서 프로세스 전달 장치(333)를 피봇팅함으로써, 프로세스 전달 장치(333)는 3 개의 축들 전부를 따라 재배치될 수 있다. 또한, 액추에이터(332)는 기판 지지부(303)에 대해 프로세스 전달 장치(333)를 레벨링(level)할 수 있다.
[0043] 전자 디바이스 제조 시스템의 시스템 제어기, 이를테면, 예컨대, 도 1의 시스템 제어기(128)일 수 있는 시스템 제어기(328)는 통신 네트워크(336)를 통해 또는 대안적으로 모션 제어기(330)에 직접적으로 커플링된 전용 통신 채널(337)을 통해 모션 제어기(330) 및/또는 액추에이터 구동기들(338A 및 338B)과 통신할 수 있다. 대안적으로, 시스템 제어기(328)는 모션 제어기(330)의 기능들을 수행할 수 있고, 이 모션 제어기(330)는 생략될 수 있다.
[0044] 액추에이터 구동기(338A)는 대응하는 액추에이터(332) 및 피드백 디바이스(340B)와 통신하고 이들을 제어할 수 있고, 액추에이터 구동기(338B)는 대응하는 액추에이터(334) 및 피드백 디바이스(340B)와 통신하고 이들을 제어할 수 있다. 액추에이터 구동기들(338A 및 338B) 각각은, 개개의 액추에이터들(332 및 334)의 제어된 모션을 제공하도록 그리고 이로써 프로세스 전달 장치(333) 및/또는 기판 지지부(303)의 개개의 이동을 제어하도록 구성된다. 액추에이터 구동기들(338A 및 338B) 각각은, 도 4 및 도 5a-도 5b와 관련하여 아래에서 더욱 상세히 설명된 바와 같이, 예컨대 포지션 피드백 루프, 속도 피드백 루프 및 모션 플래너를 포함할 수 있는 프로그램가능 명령들/소프트웨어 프로그램들/펌웨어를 실행하도록 구성된 프로그램가능 프로세싱 능력을 포함할 수 있다.
[0045] 모션 제어기(330)는 프로그램가능 프로세서, 및 프로세서 실행가능 명령들/소프트웨어 프로그램들/펌웨어 그리고 액추에이터 구동기들(338A 및 338B) 및 피드백 디바이스들(340A 및 340B)로부터 수신된 데이터를 저장하는 메모리를 포함할 수 있다. 모션 제어기(330)는 또한, (예컨대, 전력 공급 및 네트워크 통신들을 위한) 다양한 지원 회로들, 및 입력/출력 회로들을 포함할 수 있다. 일부 실시예들에서, 모션 제어기(330)는, 자신의 프로그램가능 프로세서에 의해 실행가능하고 자신의 메모리에 저장된 신호 프로세싱 능력을 갖는 실행가능 명령들/소프트웨어 프로그램들/펌웨어를 포함할 수 있다. 액추에이터 구동기들(338A 및 338B)에 부가하여, 모션 제어기(330)는 또한, 통신 네트워크(336)를 통해 하나 이상의 다른 원격 디바이스들(342)에 커플링될 수 있으며, 이러한 하나 이상의 다른 원격 디바이스들(342)은 예컨대 하나 이상의 프로세스 컴포넌트들의 포지션, 정렬 또는 다른 상태에 기반한 신호들을 제공하는 예컨대 하나 이상의 I/O 모듈들일 수 있다.
[0046] 모션 제어기(330)는 예컨대 CANopen(Controller Area Network Open) 통신 프로토콜을 사용하여 통신 네트워크(336)를 통해 통신할 수 있다. CANopen 프로토콜은 마스터-슬레이브 통신 모델에 기반한다. 따라서, 모션 제어기(330)는, 슬레이브들에 데이터를 전송하고 이러한 슬레이브들로부터의 데이터를 요청하는 마스터로서 지정된 CANopen 노드일 수 있고, 이러한 슬레이브들은 슬레이브들로서 지정된 액추에이터 구동기들(338A 및 338B) 및 임의의 다른 원격 디바이스들(342)일 수 있다. 이 통신 모델을 사용하여, 마스터는 다른 지시들 중에서 슬레이브들을 시작, 정지 및 재설정(reset)할 수 있다. 하나 이상의 실시예들에 따르면, 모션 제어기(330)(마스터)는 모든 명령들을 실행하고, 통신 네트워크(336)를 통해 모든 지시들을 원격 노드들(슬레이브들)로 지칭될 수 있는 액추에이터 구동기들(338A 및 338B)에 송신할 수 있다. 일부 실시예들에서, 통신 네트워크(336)는, 약 50 Hz를 초과하면서 약 1000 Hz 미만의 샘플링 레이트로 동작할 수 있다. 액추에이터 구동기들(338A 및 338B) 중 하나 또는 둘 모두에 의해 실행될 때의 예시적인 모션 명령은, 속도 및 가속도의 미리 정의된 제약들 내에서 제1 포지션과 제2 포지션 사이에서의 액추에이터들(332 및 334) 중 하나 또는 둘 모두의 이동을 개시할 수 있다.
[0047] 도 4는 하나 이상의 실시예들에 따른, 전자 디바이스 제조 시스템, 이를테면 전자 디바이스 제조 시스템(100)의 프로세스 챔버 또는 로드록이 오프라인에 이르게 하지 않고, 이러한 프로세스 챔버 또는 로드록에서 프로세스 컴포넌트들 사이의 갭을 교정하는 방법(400)을 예시한다. 방법(400)은 도 1의 프로세스 챔버들(114, 116, 118) 및 로드록(120), 도 2의 프로세스 챔버들 또는 로드록들(214A-214C), 도 3의 프로세스 챔버 또는 로드록(314), 그리고 기판 프로세싱이 발생할 수 있는 임의의 다른 챔버 중 임의의 하나에서 모션 제어기, 이를테면, 예컨대, (도 1의) 모션 제어기(130) 또는 (도 3의) 모션 제어기(330)에 의해 수행될 수 있다. 방법(400)은 프로세스 전달 장치(333)와 기판(302) 사이의 갭(G1)(도 3 참조) 및/또는 (기판(302)이 기판 지지부(303) 상에 아직 수용되지 않은 경우들에서는) 프로세스 전달 장치(333)와 기판 지지부(303) 사이의 갭(G2)과 같은 갭을 교정할 수 있다.
[0048] 예컨대 모션 제어기(마스터)에서 실행되는 소프트웨어 제어 프로그램은 하나 이상의 액추에이터 구동기들(슬레이브들), 이를테면, 예컨대, 도 3의 액추에이터 구동기들(338A 및 338B)에서 실행되는 소프트웨어/펌웨어를 식별할 수 있으며, 통신 네트워크, 이를테면, 예컨대, 통신 네트워크(336)를 통해 명령들을 액추에이터 구동기들에 송신할 수 있다. 명령들을 수신하자마자 그리고 명령들을 완료하자마자, 액추에이터 구동기들은 완료를 표시하기 위해 모션 제어기(마스터)와 통신한다. 후속 명령들은 액추에이터 구동기들에서 성공적으로 완료된 이전 명령들에 따라 좌우된다.
[0049] 하나 이상의 실시예들에 따른 분산 모션 네트워크들은, 모션 제어기(마스터)로부터의 명령들과의 밀접한 조정을 제공하면서 분산 모션 플래닝을 허용할 수 있다. 소프트웨어 프로그램들/펌웨어는, 각각, 모션 제어기(마스터)에 그리고 액추에이터 구동기들(슬레이브)에 저장될 수 있다. 이는 모션 플래닝이 마스터와 슬레이브(들) 사이에 분배될 수 있게 할 수 있으며, 통신 네트워크를 통해 발생할 수 있는 데이터 전송량을 감소시킴으로써 마스터 및 통신 네트워크에 대한 부담을 감소시킨다. 예컨대, 모션 제어기(마스터)에 의해 생성된, 갭 교정에 대한 모션 명령은 속도 및 가속도의 미리 정의된 제약들 내에서 제1 포지션(예컨대, 안전 시작 포지션)과 제2 포지션(예컨대, 예상 접촉 포지션을 넘어서는 타겟 포지션) 사이에서의 액추에이터(예컨대, 모터)의 이동을 개시할 수 있다. 모션 명령은 액추에이터 구동기(슬레이브) 내부의 모션 플래너에 의해 수신될 수 있고, 이러한 모션 플래너는, 속도 및 가속도 변화들을 제어하기 위해, 예컨대, 모터 및 부착된 컴포넌트들에 대한 원치 않는 마모 또는 오실레이션들을 초래할 수 있는 가속도의 1차 도함수인 "저크(jerk)"를 제한하기 위해, 모션 명령 데이터에 기반하여, 액추에이터(예컨대, 모터)의 모션을 정밀하게 설명하는 모션 프로파일을 즉석에서 순식간에 생성한다.
[0050] 그에 따라서, 지시 모션 데이터는 통신 네트워크를 통해 송신될 필요가 없고, 단지 액추에이터 구동기들에서의 모션 플래너를 개시하는 더 상위 레벨의 모션 지시만이 송신될 필요가 있다. 모션 플래닝의 분산 본질은, 모션 포지셔닝 성능을 감소시키지 않고, 액추에이터 구동기들로부터 모션 제어기로의 모션 피드백 데이터(밀리초당 1 개의 샘플과 20 밀리초당 1 개의 샘플 사이에 있을 수 있음)를 포함하는 실시간 프로세스 데이터를 송신하기 위한 통신 네트워크 트래픽을 풀어 준다. 자명해질 바와 같이, 이는 또한, 모션 제어기(마스터)가 갭 교정 또는 다른 기능들을 위해 다수의 액추에이터 구동기들(슬레이브들)을 동시에 제어할 수 있게 한다. 그러므로, 하나 이상의 실시예들에 따르면, 방법(400)은 모션-플래닝 가능 액추에이터 구동기들을 갖는 모션 제어 시스템에서 수행될 수 있다.
[0051] 프로세스 블록(402)에서, 방법(400)은 모션 제어기(마스터)로부터 하나 이상의 액추에이터 구동기들(슬레이브들)로 준비 명령들을 발행함으로써 갭 교정을 준비하는 것으로 시작될 수 있다. 이는 모션 제어기(마스터)와 하나 이상의 액추에이터 구동기들(슬레이브들) 사이의 통신을 개방하거나 또는 확립하는 것을 포함할 수 있다. 액추에이터 구동기들의 수는 프로세스 컴포넌트 구성에 따라 좌우된다(예컨대, 도 2a-도 2e 참조). 특히, 프로세스 블록(402)은, 하나 이상의 액추에이터 구동기들(슬레이브들)을 구성하고 그리고/또는 동작시키기 위해, 모션 제어기(마스터)로부터 다음의 준비 명령들 중 하나 이상을 발행하는 것을 포함할 수 있다:
[0052] (1) 하나 이상의 액추에이터 구동기들이 적절한 모션 프로파일을 내부적으로 생성(또는 플래닝)할 수 있게 하기 위해, 하나 이상의 액추에이터 구동기들의 동작 모드를 세팅한다. 예컨대, 액추에이터 구동기는, 속도 및 가속도에 대한 미리 정의된 제약들 내에서 액추에이터 구동기에서의 모션 플래너에 의해 내부적으로 사다리꼴 포지션 프로파일이 생성되는 포지션 제어 동작 모드를 제공할 수 있다.
[0053] (2) 2 개의 미리 정의된 표면들, 이를테면, 예컨대, 기판(302)의 상단 표면과 프로세스 전달 장치(333)의 바닥 표면 사이에서 예상 접촉 포지션으로부터 안전 시작 포지션으로 이동하도록 하나 이상의 액추에이터들, 이를테면, 예컨대, 액추에이터들(332 및/또는 334)에게 지시한다.
[0054] (3) 갭 교정 프로세스가 조기 결함을 일으키지 않도록, 포지션 추적 에러를 포함하여, 하나 이상의 액추에이터 구동기들에서 관련 결함 보호들을 비활성화한다.
[0055] (4) 저주파수 액추에이터(예컨대, 모터) 응답(즉, 액추에이터(예컨대, 모터) 전류(토크)가 직접 접촉 차단(obstruction)과 같은 매우 느린 시변 외란(disturbance)에 응답하는 레이트)을 감소시키도록, 하나 이상의 액추에이터 구동기들의 포지션 루프 및 속도 루프 피드백 이득들(PID 이득들로 지칭될 수 있음)을 세팅(또는 스케줄링)한다.
[0056] (5) 매우 낮은 액추에이터 샤프트 주파수(1 rpm 미만일 수 있음; 갭 교정 모션이 시작하도록 트리거될 때, 액추에이터 샤프트 주파수는, 액추에이터 전류에 대한 적분 동작(integral action)의 제거와 조합되는 경우 액추에이터 전류가 프로세스 컴포넌트들의 직접 접촉 시 차단된 모션에 빠르게 응답하지 않을 수 있을 만큼 충분히 낮을 수 있으며, 이는 그러한 컴포넌트들에 대한 접촉력들을 크게 감소시킬 수 있음)로, 지시 속도를 세팅(또는 스케줄링)한다.
[0057] (6) 프로세스 컴포넌트 표면들 사이의 접촉이 발생함을 보장하기 위해, 지시 포지션을, 예상 접촉 포지션을 초과하는 타겟 포지션으로 세팅(또는 스케줄링)한다.
[0058] 준비 명령 (4)에 관하여, 도 5a 및 도 5b에서 도시된 하나 이상의 실시예들에서, 액추에이터 구동부들에서의 포지션 및 속도 루프들은 캐스케이드식(cascaded) 형태일 수 있다. 도 5a는 "플랜트" 및 제어기로 분할되는 일반적인 제어 시스템을 묘사한다. 이 제어 시스템의 목표는, 외란들을 극복하면서 지시(ycmd)에 대한 응답으로 플랜트를 구동하는 것이다. 플랜트는 피드백 디바이스, 그리고 시스템 응답을 생성하는 엘리먼트 또는 엘리먼트들을 포함한다. 예컨대, 플랜트는 모터일 수 있고, 이 모터는 자신의 부하에 커플링되며, 이때, 모터, 부하 또는 둘 모두에 피드백 디바이스가 부착된다. 플랜트는 전력 변환기(미도시)로부터 제어기 출력(u)을 수신하고, 피드백 신호(y)를 제어기에 전송한다. 제어기는 캐스케이드식 포지션 및 속도 루프들로 분할될 수 있다. 포지션 루프는 포지션 비례 이득(Ppos)을 포함하고, 지시 포지션(ycmd)과 피드백 신호(y) 사이의 차이인 에러 신호(e)를 수신한다. 속도 루프는 속도 비례 이득(Pvel) 및 속도 적분 이득(Ivel)을 포함한다. 속도 루프는 포지션 루프의 출력, 지시 포지션의 도함수(지시 속도) 및 피드백 신호의 도함수를 포함하는, 신호들의 합을 수신한다. 항 s는 신호에 대한 미분 연산을 나타내고, 항 1/s는 신호에 대한 적분 연산을 나타낸다. 유사하게, 도 5b는 플랜트 및 제어기로 분할되는 일반적인 제어 시스템을 묘사한다. 이 경우, 제어기는 단일 루프로 구성되며, 비례 이득(P), 적분 이득(I) 및 미분 이득(D)을 포함한다. PID 이론에 따르면, 도 5a 및 5b에서 도시된 제어기 내의 제어 항들 각각은 액추에이터 전류 응답의 저주파수 구역, 중간 주파수 구역 또는 고주파수 구역 중 하나에서 지배적이다. 액추에이터 전류에 대한 적분 동작을 제공하는 항들을 세팅함으로써, 액추에이터 전류(토크)가 매우 느린 시변 외란들에 응답하는 레이트가 효과적으로 느려질 수 있다. 일부 실시예들에서, 이는 도 5a의 "Ivel" 항 및 도 5b의 "I" 항을 0으로 세팅하도록 모션 제어기(마스터)로부터 하나 이상의 액추에이터 구동기들로 명령들을 전송함으로써 달성될 수 있다.
[0059] 프로세스 블록(404)에서, 방법(400)은, 프로세스 챔버 또는 로드록이 오프라인에 이르게 하지 않고 프로세스 컴포넌트 표면들 사이의 직접 접촉을 유발하도록, 프로세스 챔버 또는 로드록에서 하나 이상의 액추에이터들을 작동시키는 것을 포함할 수 있다. 특히, 프로세스 블록(404)은 모션 제어기(마스터)가 다음을 수행하는 것을 포함할 수 있다:
[0060] (1) 하나 이상의 피드백 디바이스들, 이를테면, 예컨대, 피드백 디바이스들(340A 및/또는 340B)로부터의 시계열의 프로세스 데이터를 연속적으로 폴링(polling)(즉, 수집) 및 차트화한다. 이 프로세스 피드백은 포지션, 포지션 에러, 액추에이터(예컨대, 모터) 전류, 액추에이터(예컨대, 모터) 속도, 변형률, 힘 또는 통신 네트워크 상에서 이용가능한 다른 신호들을 포함할 수 있다. 샘플링 레이트는 통신 네트워크 상에서 허용되는 최대치일 수 있다(이는 밀리초당 약 1 개의 샘플 내지 20 밀리초당 1 개의 샘플의 범위일 수 있음).
[0061] (2) 모션 제어기에서 실행되는 소프트웨어 제어 프로그램을 통해, 액추에이터(예컨대, 모터) 피드백으로부터 스토캐스틱(랜덤) 잡음 및 결정론적(주기적) 잡음을 디지털식으로 필터링(즉, 제거)한다. 본원의 프로세스 챔버들 및 로드록들에서 사용되는 액추에이터들은: 모터와 부하 사이의 적합성(compliance), 베어링과 모터 축의 오정렬, 회전 컴포넌트들의 편심, 및/또는 낮은 속도들에서의 모터 코깅으로부터의 맥동 토크 리플들 중 하나 이상에 의해 유발되는 저주파수 기계적 공명을 가질 수 있다. 잡음으로 간주되는 원하지 않는 주파수들을 필터링하기 위해 저역 통과, 대역 통과 및 노치 통과 필터들 각각이 사용될 수 있다. 모션 제어기에서 실행되는 소프트웨어 제어 프로그램이 프로세스 컴포넌트 표면들 사이의 직접 접촉을 더욱 명확하게 그리고 더욱 빠르게 알아차리고 이러한 직접 접촉에 응답할 수 있도록, 신호-대-잡음비를 개선시키기 위해 필터링이 사용된다.
[0062] (3) 모션 제어기에서 실행되는 소프트웨어 제어 프로그램을 통해, 실제 피드백 신호에 맞추기(fit) 위해 순환 이동 평균 필터를 사용하여 액추에이터 피드백을 추정한다. 적어도 하나의 액추에이터(예컨대, 모터) 선회(revolution)와 등가의 충분히 큰 신호 버퍼를 모션 제어기의 메모리에 생성함으로써, 모션 제어기에서 실행되는 소프트웨어 제어 프로그램은 유도 추정 피드백 신호, 및 추정 피드백과 실제 피드백 사이의 차이일 수 있는 제2 유도 잔차(residual) 신호를 생성할 수 있다.
[0063] (4) 프로세스 전달 장치의 표면과, 기판 또는 기판 지지부의 표면 사이의 직접 접촉을 검출한다. 모션 중인 액추에이터와 실시간으로, 추정 신호와 실제 신호는, 프로세스 전달 장치의 표면과, 기판 또는 기판 지지부의 표면 사이의 직접 접촉점에서 급격히 벗어날 수 있다. 차단된 모션에 맞닥뜨릴 때, 추정 모터 피드백이 벗어나지 않을 수 있는 반면, 실제 피드백은 빠르게 벗어날 수 있다. 이어서, 잔차 신호는 매우 빠르게 상승하거나 또는 하강할 수 있고, 이에 따라, 모션 제어기에서 실행되는 소프트웨어 제어 프로그램은 프로세스 챔버 또는 로드록 내부에서의 직접 접촉을 충분히 정확하게 그리고 빠르게 검출할 수 있다.
[0064] 프로세스 블록(406)에서, 방법(400)은, 프로세스 챔버 또는 로드록에서 컴포넌트 표면들, 이를테면, 예컨대, 프로세스 전달 장치의 표면과, 기판 또는 기판 지지부의 표면 사이의 검출된 직접 접촉에 응답하는 것을 포함할 수 있다. 특히, 프로세스 블록(406)은, 하나 이상의 액추에이터들에 의해 구동된 모션을 중단시키고 하나 이상의 액추에이터들의 교정 포지션들을 모션 제어기의 메모리에 기록하기 위한 명령들을 발행하도록, 모션 제어기가 소프트웨어 제어 프로그램을 실행하는 것을 포함할 수 있다. 교정 포지션들은, 접촉 포지션에서의, 그리고 정의된 기계적 갭 간격(예컨대, 1 mil만큼 작음)에서의 실제 액추에이터 포지션을 포함할 수 있다. 프로세스 블록(406)은 또한, 소프트웨어 제어 프로그램이 하나 이상의 액추에이터 구동기들의 동작 모드를 정상 동작 모드로 회복시키기 위한 명령들을 발행하는 것을 포함할 수 있다.
[0065] 전술된 설명은 단지 본 개시내용의 예시적인 실시예들을 개시한다. 위에서 개시된 장치, 시스템들 및 방법들의 수정들은 본 개시내용의 범위 내에 속할 수 있다. 그에 따라서, 본 개시내용의 예시적인 실시예들이 개시되었지만, 다음의 청구항들에 의해 정의된, 본 개시내용의 범위 내에 다른 실시예들이 속할 수 있다는 것이 이해되어야 한다.

Claims (15)

  1. 전자 디바이스 제조 시스템의 모션 제어 시스템으로서,
    프로그램가능 프로세서, 메모리, 및 상기 메모리에 저장되고 상기 프로그램가능 프로세서에 의해 실행가능한 갭 교정 소프트웨어 프로그램을 포함하는 모션 제어기;
    상기 모션 제어기에 커플링되고, 구동기 소프트웨어 프로그램을 포함하는 액추에이터 구동기;
    상기 액추에이터 구동기에 커플링되고, 프로세스 챔버 또는 로드록에 위치된 프로세스 전달 장치 또는 기판 지지부에 커플링된 액추에이터 ―상기 액추에이터는 상기 프로세스 전달 장치 또는 상기 기판 지지부를 이동시키도록 구성됨―; 및
    상기 액추에이터 및 상기 모션 제어기에 커플링된 피드백 디바이스
    를 포함하고,
    상기 갭 교정 소프트웨어 프로그램은 상기 프로세스 전달 장치 및 상기 기판 지지부 또는 상기 기판 지지부 상에 수용된 기판의 개개의 표면들 사이의 직접 접촉을 유발하도록 구성되는,
    전자 디바이스 제조 시스템의 모션 제어 시스템.
  2. 제1 항에 있어서,
    상기 갭 교정 소프트웨어 프로그램의 실행 동안, 상기 모션 제어기, 상기 액추에이터 구동기, 상기 액추에이터 및 상기 피드백 디바이스는 상기 프로세스 챔버 또는 상기 로드록이 프로세스 온도 또는 프로세스 압력에 있는 동안에 동작가능한,
    전자 디바이스 제조 시스템의 모션 제어 시스템.
  3. 제2 항에 있어서,
    상기 프로세스 온도는 100 ℃ 내지 700 ℃의 범위에 있고, 상기 프로세스 압력은 0.01 Torr 내지 약 80 Torr의 범위에 있는,
    전자 디바이스 제조 시스템의 모션 제어 시스템.
  4. 제1 항에 있어서,
    상기 모션 제어기, 상기 액추에이터 구동기, 상기 액추에이터 및 상기 피드백 디바이스에 커플링된 통신 네트워크
    를 더 포함하고,
    상기 통신 네트워크는 CANopen 통신 프로토콜을 사용하는,
    전자 디바이스 제조 시스템의 모션 제어 시스템.
  5. 제1 항에 있어서,
    상기 피드백 디바이스는 포지션, 속도, 토크, 전류, 힘 또는 변형률(strain) 중 적어도 하나를 측정하는,
    전자 디바이스 제조 시스템의 모션 제어 시스템.
  6. 제1 항에 있어서,
    상기 액추에이터 구동기는 복수의 액추에이터 구동기들을 포함하고;
    상기 액추에이터는 복수의 액추에이터들을 포함하며; 그리고
    상기 피드백 디바이스는 복수의 피드백 디바이스들을 포함하며,
    상기 복수의 액추에이터 구동기들, 상기 액추에이터들 및 상기 피드백 디바이스들은 상기 갭 교정 소프트웨어 프로그램의 실행 동안 상기 모션 제어기에 의해 동시에 동작되는,
    전자 디바이스 제조 시스템의 모션 제어 시스템.
  7. 전자 디바이스 제조 시스템으로서,
    이송 챔버;
    상기 이송 챔버에 커플링된 프로세스 챔버 ―상기 이송 챔버는 하나 이상의 기판들을 상기 프로세스 챔버로, 그리고 상기 프로세스 챔버로부터 이송하도록 구성되고, 상기 프로세스 챔버는 상기 프로세스 챔버 내부에서 상기 하나 이상의 기판들을 프로세싱하도록 구성됨―;
    상기 이송 챔버에 커플링된 로드록 ―상기 이송 챔버는 상기 하나 이상의 기판들을 상기 로드록으로, 그리고 상기 로드록으로부터 이송하도록 구성됨―; 및
    프로그램가능 프로세서, 메모리, 및 상기 메모리에 저장되고 상기 프로그램가능 프로세서에 의해 실행가능한 갭 교정 소프트웨어 프로그램을 포함하는 모션 제어기
    를 포함하고,
    상기 갭 교정 소프트웨어 프로그램은 상기 프로세스 챔버 내에서 프로세스 전달 장치 및 기판 지지부 또는 상기 하나 이상의 기판들 중 상기 기판 지지부 상에 수용된 기판의 개개의 표면들 사이의 직접 접촉을 유발하도록 구성되는,
    전자 디바이스 제조 시스템.
  8. 제7 항에 있어서,
    상기 로드록은 상기 로드록 내부에서 상기 하나 이상의 기판들 중 하나 이상을 프로세싱하도록 구성되고, 상기 갭 교정 소프트웨어 프로그램은 추가로, 상기 로드록 내에서 로드록 프로세스 전달 장치 및 로드록 기판 지지부 또는 상기 하나 이상의 기판들 중 상기 로드록 기판 지지부 상에 수용된 기판의 개개의 표면들 사이의 직접 접촉을 유발하도록 구성되는,
    전자 디바이스 제조 시스템.
  9. 제7 항에 있어서,
    상기 갭 교정 소프트웨어 프로그램의 실행 동안 상기 프로세스 챔버 또는 상기 로드록은 프로세스 온도 또는 프로세스 압력에 있는,
    전자 디바이스 제조 시스템.
  10. 제7 항에 있어서,
    상기 모션 제어기에 커플링되고, 구동기 소프트웨어 프로그램을 포함하는 액추에이터 구동기;
    상기 액추에이터 구동기에 커플링되고, 상기 프로세스 챔버에 위치된 상기 프로세스 전달 장치 또는 상기 기판 지지부에 커플링된 액추에이터 ―상기 액추에이터는 상기 프로세스 전달 장치 또는 상기 기판 지지부를 이동시키도록 구성됨―; 및
    상기 액추에이터 및 상기 모션 제어기에 커플링된 피드백 디바이스
    를 더 포함하는,
    전자 디바이스 제조 시스템.
  11. 전자 디바이스 제조 시스템의 프로세스 챔버 또는 로드록에서 컴포넌트 표면들 사이의 갭을 교정하는 방법으로서,
    모션 제어기로부터 하나 이상의 액추에이터 구동기들로 준비 명령들을 발행함으로써 갭 교정을 준비하는 단계;
    상기 프로세스 챔버 또는 상기 로드록이 오프라인에 이르게 하지 않고 상기 컴포넌트 표면들 사이의 직접 접촉을 유발하도록, 상기 프로세스 챔버 또는 상기 로드록에서 하나 이상의 액추에이터들을 작동시키는 단계; 및
    상기 컴포넌트 표면들 사이의 검출된 직접 접촉에 응답하는 단계
    를 포함하는,
    전자 디바이스 제조 시스템의 프로세스 챔버 또는 로드록에서 컴포넌트 표면들 사이의 갭을 교정하는 방법.
  12. 제11 항에 있어서,
    상기 준비하는 단계는,
    상기 하나 이상의 액추에이터 구동기들이 모션 프로파일을 생성할 수 있게 하기 위해, 상기 하나 이상의 액추에이터 구동기들의 동작 모드를 갭 교정 모드로 세팅하는 단계;
    안전 시작 포지션으로 이동하도록 상기 하나 이상의 액추에이터들에게 지시하는 단계;
    조기 결함(premature fault-out)을 방지하기 위해 상기 하나 이상의 액추에이터 구동기들에서 결함 보호들을 비활성화(disabling)하는 단계;
    포지션 루프 및 속도 루프 피드백 이득들을 세팅하는 단계;
    지시 속도를 저 샤프트 주파수로 세팅하는 단계; 및
    상기 컴포넌트 표면들 사이의 직접 접촉이 발생함을 보장하기 위해, 지시 포지션을, 예상 접촉 포지션을 초과하는 타겟 포지션으로 세팅하는 단계
    를 포함하는,
    전자 디바이스 제조 시스템의 프로세스 챔버 또는 로드록에서 컴포넌트 표면들 사이의 갭을 교정하는 방법.
  13. 제11 항에 있어서,
    상기 작동시키는 단계는,
    하나 이상의 피드백 디바이스들로부터의 시계열의 프로세스 데이터를 폴링(polling) 및 차트화하는 단계;
    상기 모션 제어기에서 실행되는 소프트웨어 제어 프로그램을 통해, 액추에이터 피드백으로부터 스토캐스틱 잡음 및 결정론적 잡음을 디지털식으로 필터링하는 단계;
    상기 모션 제어기에서 실행되는 상기 소프트웨어 제어 프로그램을 통해, 실제 피드백 신호에 맞추기(fit) 위해 순환 이동 평균 필터를 사용하여 상기 액추에이터 피드백을 추정하는 단계; 및
    상기 컴포넌트 표면들 사이의 직접 접촉을 검출하는 단계
    를 포함하는,
    전자 디바이스 제조 시스템의 프로세스 챔버 또는 로드록에서 컴포넌트 표면들 사이의 갭을 교정하는 방법.
  14. 제11 항에 있어서,
    상기 응답하는 단계는,
    상기 하나 이상의 액추에이터들의 모션을 중단시키는 단계;
    상기 하나 이상의 액추에이터들의 교정 포지션들을 상기 모션 제어기의 메모리에 저장하는 단계; 및
    상기 하나 이상의 액추에이터 구동기들의 동작 모드를 정상 동작 모드로 회복시키는 단계
    를 포함하는,
    전자 디바이스 제조 시스템의 프로세스 챔버 또는 로드록에서 컴포넌트 표면들 사이의 갭을 교정하는 방법.
  15. 제11 항에 있어서,
    상기 프로세스 챔버 또는 상기 로드록을 프로세스 온도 또는 프로세스 압력으로 유지하면서, 상기 준비하는 단계, 상기 작동시키는 단계 및 상기 응답하는 단계가 수행되는,
    전자 디바이스 제조 시스템의 프로세스 챔버 또는 로드록에서 컴포넌트 표면들 사이의 갭을 교정하는 방법.
KR1020207002062A 2017-06-23 2018-05-25 전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들 KR102324077B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217035788A KR102395861B1 (ko) 2017-06-23 2018-05-25 전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/632,031 US10361099B2 (en) 2017-06-23 2017-06-23 Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US15/632,031 2017-06-23
PCT/US2018/034704 WO2018236547A1 (en) 2017-06-23 2018-05-25 SYSTEMS AND METHODS FOR CONTACT SPACING CALIBRATION OF DIRECT COMPONENT IN ELECTRONIC DEVICE MANUFACTURING SYSTEMS

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217035788A Division KR102395861B1 (ko) 2017-06-23 2018-05-25 전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들

Publications (2)

Publication Number Publication Date
KR20200010607A true KR20200010607A (ko) 2020-01-30
KR102324077B1 KR102324077B1 (ko) 2021-11-08

Family

ID=64692702

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207002062A KR102324077B1 (ko) 2017-06-23 2018-05-25 전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들
KR1020217035788A KR102395861B1 (ko) 2017-06-23 2018-05-25 전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020217035788A KR102395861B1 (ko) 2017-06-23 2018-05-25 전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들

Country Status (6)

Country Link
US (2) US10361099B2 (ko)
JP (2) JP7000466B2 (ko)
KR (2) KR102324077B1 (ko)
CN (2) CN112542404B (ko)
TW (2) TWI723599B (ko)
WO (1) WO2018236547A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
JP7449215B2 (ja) 2019-10-11 2024-03-13 キヤノントッキ株式会社 アライメント装置、アライメント方法、成膜装置及び成膜方法
US11355368B2 (en) * 2020-07-13 2022-06-07 Applied Materials, Inc. Decentralized substrate handling and processing system
KR20220128310A (ko) 2021-03-12 2022-09-20 주식회사 엘지에너지솔루션 버스바 어셈블리, 이러한 버스바 어셈블리를 포함하는 배터리 팩 및 이러한 배터리 팩을 포함하는 자동차
EP4369507A1 (en) 2021-10-12 2024-05-15 LG Energy Solution, Ltd. Battery pack and vehicle comprising same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150119901A (ko) * 2013-02-21 2015-10-26 어플라이드 머티어리얼스, 인코포레이티드 인젝터 대 기판 갭 제어를 위한 장치 및 방법들
KR20160064932A (ko) * 2014-11-28 2016-06-08 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20160090767A (ko) * 2015-01-22 2016-08-01 어플라이드 머티어리얼스, 인코포레이티드 갭 검출 및 제어 메커니즘을 위한 지능형 하드스톱
KR20170027820A (ko) * 2014-07-03 2017-03-10 아틀라스 콥코 인더스트리얼 테크니크 에이비 툴 통신 네트워크의 방법, 노드 및 컴퓨터 프로그램

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5100502A (en) 1990-03-19 1992-03-31 Applied Materials, Inc. Semiconductor wafer transfer in processing systems
US5815396A (en) * 1991-08-12 1998-09-29 Hitachi, Ltd. Vacuum processing device and film forming device and method using same
US6542925B2 (en) 1995-05-30 2003-04-01 Roy-G-Biv Corporation Generation and distribution of motion commands over a distributed network
JPH1123615A (ja) * 1997-05-09 1999-01-29 Hitachi Ltd 接続装置および検査システム
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US20020045956A1 (en) 2000-02-18 2002-04-18 Kapitan Brian A. Network distributed motion control system
WO2001096972A2 (en) 2000-06-14 2001-12-20 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
CN100435269C (zh) 2001-07-15 2008-11-19 应用材料有限公司 处理系统
US7575406B2 (en) 2002-07-22 2009-08-18 Brooks Automation, Inc. Substrate processing apparatus
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7377002B2 (en) * 2003-10-28 2008-05-27 Applied Materials, Inc. Scrubber box
JP2006174690A (ja) * 2004-11-18 2006-06-29 Smc Corp アクチュエータ制御システム
TWI259631B (en) * 2005-09-23 2006-08-01 Furutech Co Ltd Shaft lock socket system
CN103021908B (zh) 2005-12-20 2015-09-30 应用材料公司 用于半导体设备制造装备的延伸主机设计
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US7942556B2 (en) 2007-06-18 2011-05-17 Xicato, Inc. Solid state illumination device
US7831135B2 (en) * 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
JP4853836B2 (ja) * 2007-09-19 2012-01-11 株式会社安川電機 精密微動位置決め装置およびそれを備えた微動位置決めステージ
WO2009055507A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
JP4547443B2 (ja) * 2008-04-24 2010-09-22 シャープ株式会社 プラズマ処理装置およびそれを用いたプラズマ処理方法
JP5015857B2 (ja) * 2008-05-02 2012-08-29 日東電工株式会社 保護テープ貼付け装置
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP5284212B2 (ja) * 2009-07-29 2013-09-11 株式会社東芝 半導体装置の製造方法
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
KR101134277B1 (ko) 2010-10-25 2012-04-12 주식회사 케이씨텍 원자층 증착 장치
JP2012112034A (ja) * 2010-11-04 2012-06-14 Canon Inc 真空蒸着装置
JP2012156163A (ja) * 2011-01-21 2012-08-16 Toshiba Corp 半導体製造装置
US8762588B2 (en) 2011-04-11 2014-06-24 Rockwell Automation Technologies, Inc. Output module for an industrial controller
TWI549210B (zh) * 2012-02-27 2016-09-11 Screen Holdings Co Ltd A sample preparation apparatus for evaluation, a sample manufacturing method for evaluation, and a substrate processing apparatus
US10014202B2 (en) * 2012-06-12 2018-07-03 Erich Thallner Device and method for aligning substrates
WO2014163791A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc Semiconductor device manufacturing platform with single and twinned processing chambers
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
CN105164799B (zh) * 2013-03-15 2020-04-07 应用材料公司 基板沉积系统、机械手移送设备及用于电子装置制造的方法
US9524889B2 (en) 2013-03-15 2016-12-20 Applied Materials, Inc. Processing systems and apparatus adapted to process substrates in electronic device manufacturing
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
CN110265321A (zh) 2013-03-15 2019-09-20 应用材料公司 用于小批量基板传送系统的温度控制系统与方法
US9134261B2 (en) * 2013-04-22 2015-09-15 Ebara Corporation Inspection apparatus
JP6145334B2 (ja) * 2013-06-28 2017-06-07 株式会社荏原製作所 基板処理装置
KR20220120714A (ko) 2013-08-12 2022-08-30 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
US9196514B2 (en) 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9435025B2 (en) 2013-09-25 2016-09-06 Applied Materials, Inc. Gas apparatus, systems, and methods for chamber ports
CN105580124B (zh) 2013-09-26 2018-05-18 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
CN105706227B (zh) 2013-11-04 2019-11-26 应用材料公司 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10294394B2 (en) * 2014-05-08 2019-05-21 3M Innovative Properties Company Pressure sensitive adhesive tape with microstructured elastomeric core
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
CN106471609B (zh) 2014-07-02 2019-10-15 应用材料公司 用于使用嵌入光纤光学器件及环氧树脂光学散射器的基板温度控制的装置、系统与方法
WO2016003630A1 (en) 2014-07-02 2016-01-07 Applied Materials, Inc Temperature control apparatus including groove-routed optical fiber heating, substrate temperature control systems, electronic device processing systems, and processing methods
US9954132B2 (en) * 2014-10-29 2018-04-24 General Electric Company Systems and methods for detectors having improved internal electrical fields
CN104723235B (zh) * 2015-03-09 2016-07-06 上海交通大学 一种高度可调的反馈杆与管弹簧刚度测量通用夹具
US9601391B2 (en) * 2015-03-12 2017-03-21 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Mechanical stress measurement during thin-film fabrication
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10403515B2 (en) 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
TW201727104A (zh) 2016-01-27 2017-08-01 應用材料股份有限公司 陶瓷狹縫閥門及組件
US20180082870A1 (en) 2016-09-16 2018-03-22 Applied Materials, Inc. Assemblies and methods of process gas flow control

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150119901A (ko) * 2013-02-21 2015-10-26 어플라이드 머티어리얼스, 인코포레이티드 인젝터 대 기판 갭 제어를 위한 장치 및 방법들
KR20170027820A (ko) * 2014-07-03 2017-03-10 아틀라스 콥코 인더스트리얼 테크니크 에이비 툴 통신 네트워크의 방법, 노드 및 컴퓨터 프로그램
KR20160064932A (ko) * 2014-11-28 2016-06-08 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20160090767A (ko) * 2015-01-22 2016-08-01 어플라이드 머티어리얼스, 인코포레이티드 갭 검출 및 제어 메커니즘을 위한 지능형 하드스톱

Also Published As

Publication number Publication date
CN112542404B (zh) 2022-03-22
JP7000466B2 (ja) 2022-01-19
KR102395861B1 (ko) 2022-05-06
TWI723599B (zh) 2021-04-01
US10916451B2 (en) 2021-02-09
CN110770888B (zh) 2020-12-11
KR20210135356A (ko) 2021-11-12
JP2020524899A (ja) 2020-08-20
TWI679605B (zh) 2019-12-11
TW202020794A (zh) 2020-06-01
US10361099B2 (en) 2019-07-23
US20180374719A1 (en) 2018-12-27
JP7114793B2 (ja) 2022-08-08
CN112542404A (zh) 2021-03-23
JP2022050467A (ja) 2022-03-30
TW201905824A (zh) 2019-02-01
US20190318940A1 (en) 2019-10-17
KR102324077B1 (ko) 2021-11-08
CN110770888A (zh) 2020-02-07
WO2018236547A1 (en) 2018-12-27

Similar Documents

Publication Publication Date Title
KR102324077B1 (ko) 전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들
KR102621965B1 (ko) 회전 조인트 인코더들을 갖는 웨이퍼 핸들링 로봇들
US9818633B2 (en) Equipment front end module for transferring wafers and method of transferring wafers
KR102507845B1 (ko) 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션
TWI623055B (zh) 適用於電子元件製造中處理基材的處理系統、設備及方法
TW201429653A (zh) 振動控制的基板傳送機械臂、系統及方法
US20210043484A1 (en) Robot embedded vision apparatus
US20170084880A1 (en) Large area dual substrate processing system
KR20160066824A (ko) 기판 이송 방법
KR102239515B1 (ko) 기판 처리 장치 및 기판 처리 방법
TWI838131B (zh) 對於旋轉晶圓之處理模組之處理站的自動校正

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant