KR20220120714A - 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들 - Google Patents

팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들 Download PDF

Info

Publication number
KR20220120714A
KR20220120714A KR1020227028568A KR20227028568A KR20220120714A KR 20220120714 A KR20220120714 A KR 20220120714A KR 1020227028568 A KR1020227028568 A KR 1020227028568A KR 20227028568 A KR20227028568 A KR 20227028568A KR 20220120714 A KR20220120714 A KR 20220120714A
Authority
KR
South Korea
Prior art keywords
factory interface
chamber
interface chamber
inert gas
electronic device
Prior art date
Application number
KR1020227028568A
Other languages
English (en)
Inventor
수산트 에스. 코쉬티
딘 씨. 루제크
아이안 마줌다르
존 씨. 멘크
헬더 티. 리
상그람 파틸
산제이 라자람
더글라스 바움가르텐
니르 메리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220120714A publication Critical patent/KR20220120714A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Abstract

팩토리 인터페이스의 환경 제어를 포함하는 전자 디바이스 프로세싱 시스템들이 설명된다. 하나의 전자 디바이스 프로세싱 시스템은, 팩토리 인터페이스 챔버를 갖는 팩토리 인터페이스, 팩토리 인터페이스에 커플링된 로드 락 장치, 팩토리 인터페이스에 커플링된 하나 또는 그 초과의 기판 캐리어들, 및 팩토리 인터페이스에 커플링된 환경 제어 시스템을 가지며, 환경 제어 시스템은, 팩토리 인터페이스 챔버 내의, 상대 습도, 온도, 산소의 양, 또는 비활성 가스의 양 중 하나를 모니터링하거나 또는 제어하도록 동작한다. 다른 양상에서, 팩토리 인터페이스 챔버 내의 캐리어 퍼지 챔버의 퍼징이 제공된다. 기판들을 프로세싱하기 위한 방법들이 설명되고, 다수의 다른 양상들이 설명된다.

Description

팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들{SUBSTRATE PROCESSING SYSTEMS, APPARATUS, AND METHODS WITH FACTORY INTERFACE ENVIRONMENTAL CONTROLS}
관련 출원
[0001] 본원은, 발명의 명칭이 "팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들(SUBSTRATE PROCESSING SYSTEMS, APPARATUS, AND METHODS WITH FACTORY INTERFACE ENVIRONMENTAL CONTROLS)"이고, 2013년 8월 12일자로 출원된 미국 가특허 출원 번호 제 61/865,046 호(대리인 도켓 번호 제 21149/USA/L 호)를 우선권으로 주장하며, 그 미국 가특허 출원은, 이로써, 모든 목적들에 대해 그 전체가 인용에 의해 본원에 포함된다.
[0002] 실시예들은 전자 디바이스 제조에 관련되고, 더 구체적으로는, EFEM(equipment front end module)들, 및 기판들을 프로세싱하기 위한 장치, 시스템들 및 방법들에 관련된다.
[0003] 전자 디바이스 제조 시스템들은, 이송 챔버를 갖는 메인프레임 하우징(housing) 주위에 배열된 다수의 프로세스 챔버들, 및 이송 챔버 내로 기판들을 전달하도록 구성된 하나 또는 그 초과의 로드 락 챔버들을 포함할 수 있다. 이러한 시스템들은, 예컨대, 이송 챔버에 하우징될 수 있는 이송 로봇을 채용할 수 있다. 이송 로봇은 SCARA(selectively compliant articulated robot arm) 로봇 등일 수 있고, 하나 또는 그 초과의 로드 락 챔버들과 다양한 챔버들 사이에서 기판들을 운송하도록 적응될 수 있다. 예컨대, 이송 로봇은, 기판들을, 프로세스 챔버로부터 프로세스 챔버로, 로드 락 챔버로부터 프로세스 챔버로, 그리그 그 반대로 운송할 수 있다.
[0004] 반도체 컴포넌트 제조에서의 기판들의 프로세싱은 일반적으로, 다수의 툴들에서 수행되며, 여기에서, 기판들은, 기판 캐리어들(예컨대, 전방 개방 통합 포드(Front Opening Unified Pod)들 또는 FOUP들)로, 툴들 사이를 이동한다. FOUP들은 EFEM(종종, "팩토리 인터페이스 또는 FI"라고 지칭됨)에 도킹될(docked) 수 있고, EFEM은 내부에 로드/언로드 로봇을 포함하고, 로드/언로드 로봇은, 툴의 하나 또는 그 초과의 로드 락들과 FOUP들 사이에서 기판들을 이송하고, 그에 따라, 프로세싱을 위한 기판들의 통과를 허용하도록 동작가능하다. 기존의 시스템들은 효율 및/또는 프로세스 품질 개선들로부터 이익을 얻을 수 있다.
[0005] 따라서, 기판들의 프로세싱에서 개선된 효율 및/또는 능력을 갖는 시스템들, 장치, 및 방법들이 요구된다.
[0006] 일 양상에서, 전자 디바이스 프로세싱 시스템이 제공된다. 전자 디바이스 프로세싱 시스템은, 팩토리 인터페이스 챔버를 포함하는 팩토리 인터페이스, 팩토리 인터페이스에 커플링된 로드 락 장치, 팩토리 인터페이스에 커플링된 하나 또는 그 초과의 기판 캐리어들, 및 팩토리 인터페이스에 커플링된 환경 제어 시스템을 포함하며, 환경 제어 시스템은, 팩토리 인터페이스 챔버 내의, 상대 습도, 온도, O2의 양, 또는 비활성 가스의 양 중 하나를 모니터링하거나 또는 제어하도록 동작한다.
[0007] 다른 양상에서, 전자 디바이스 프로세싱 시스템 내에서 기판들을 프로세싱하는 방법이 제공된다. 방법은, 팩토리 인터페이스 챔버를 포함하는 팩토리 인터페이스, 팩토리 인터페이스에 도킹된 하나 또는 그 초과의 기판 캐리어들, 팩토리 인터페이스에 커플링된, 하나 또는 그 초과의 로드 락 챔버들을 포함하는 로드 락 장치, 및 가능하게는, 접근 도어(access door)를 제공하는 단계, 및 환경 전제 조건(environmental precondition)들을 만족시키기 위해, 팩토리 인터페이스 챔버에서의 환경 조건들을 제어하는 단계를 포함한다.
[0008] 또 다른 방법 양상에서, 전자 디바이스 프로세싱 시스템 내에서 기판들을 프로세싱하는 방법이 제공된다. 방법은, 팩토리 인터페이스 챔버를 포함하는 팩토리 인터페이스, 팩토리 인터페이스에 도킹된 하나 또는 그 초과의 기판 캐리어들, 팩토리 인터페이스 챔버 내의 하나 또는 그 초과의 캐리어 퍼지 챔버들, 및 팩토리 인터페이스에 커플링된 하나 또는 그 초과의 로드 락 챔버들을 제공하는 단계, 및 팩토리 인터페이스 챔버 및 하나 또는 그 초과의 캐리어 퍼지 챔버들에서의 환경 조건들을 제어하는 단계를 포함한다.
[0009] 다수의 다른 양상들이, 본 발명의 이러한 그리고 다른 실시예들에 따라 제공된다. 본 발명의 실시예들의 다른 특징들 및 양상들은, 다음의 상세한 설명, 첨부된 청구항들, 및 첨부 도면들로부터 더 완전히 명백하게 될 것이다.
[00010] 아래에서 설명되는 도면들은 예시적인 목적들만을 위한 것이고, 반드시 실척대로 도시된 것은 아니다. 도면들은, 어떠한 방식으로도, 본 발명의 범위를 제한하는 것으로 의도되지 않는다.
[00011] 도 1은, 실시예들에 따른, 팩토리 인터페이스 환경 제어들을 포함하는 전자 디바이스 프로세싱 시스템의 개략적인 상면도를 예시한다.
[00012] 도 2는, 실시예들에 따른, 전자 디바이스 프로세싱 시스템 내에서 기판들을 프로세싱하는 방법을 도시하는 흐름도를 예시한다.
[00013] 도 3은, 실시예들에 따른, 비활성 가스 재순환(recirculation) 시스템을 포함하는 전자 디바이스 프로세싱 시스템의 개략적인 상면도를 예시한다.
[00014] 도 4는, 실시예들에 따른, 환경 제어들 및 비활성 가스 재순환을 포함하는 다른 전자 디바이스 프로세싱 시스템의 개략적인 상면도를 예시한다.
[00015] 도 5a는, 실시예들에 따른 캐리어 퍼지 어셈블리의 측단면도를 예시한다.
[00016] 도 5b는, 실시예들에 따른 캐리어 퍼지 어셈블리의 정면도를 예시한다.
[00017] 도 6은, 실시예들에 따른, 전자 디바이스 프로세싱 시스템 내에서 기판들을 프로세싱하는 방법을 도시하는 다른 흐름도를 예시한다.
[00018] 이제, 첨부 도면들에서 예시되는, 본 개시의 예시적인 실시예들이 상세히 참조될 것이다. 가능한 경우에, 수개의 도면들 전반에 걸쳐 동일한 또는 유사한 부분들을 지칭하기 위해, 도면들 전반에 걸쳐, 동일한 참조 번호들이 사용될 것이다. 본원에서 설명되는 다양한 실시예들의 특징들은, 구체적으로 다르게 기재되지 않는 한, 서로 조합될 수 있다.
[00019] 전자 디바이스 제조는, 매우 정밀한 프로세싱, 뿐만 아니라, 다양한 위치들 사이의 기판들의 신속한 운송을 요구할 수 있다. 특히, 기존의 시스템들은, 기판들을, FOUP들과 로드 락들 사이에서 이송할 수 있고, 그 후에, 프로세싱 챔버들 내로 이송할 수 있다. 그러나, 기존의 시스템들은, 비교적 더 높은 습도, 온도, 또는 다른 환경 인자들, 예컨대 너무 높은 산소(O2) 레벨이 관찰되는 경우에 문제들을 겪을 수 있다. 특히, 비교적 높은 습도 레벨들 또는 비교적 높은 O2 레벨들에 대한 노출은 기판 특성들에 대해 악영향을 미칠 수 있다.
[00020] 본 발명의 하나 또는 그 초과의 실시예들에 따르면, 개선된 기판 프로세싱을 제공하도록 적응된 전자 디바이스 프로세싱 시스템들이 제공된다. 본원에서 설명되는 시스템들 및 방법들은, 팩토리 인터페이스의 팩토리 인터페이스 챔버 내의 환경 조건들을 제어함으로써, 기판들의 프로세싱에서의 효율 및/또는 프로세싱 개선들을 제공할 수 있다. 팩토리 인터페이스는, 팩토리 인터페이스에 도킹된(예컨대, 팩토리 인터페이스의 전방(front) 표면에 도킹된) 하나 또는 그 초과의 기판 캐리어들로부터 기판들을 수용하고, 로드/언로드 로봇은, 팩토리 인터페이스의 다른 표면(예컨대, 팩토리 인터페이스의 후방 표면) 상에 커플링된 하나 또는 그 초과의 로드 락들에 기판들을 전달한다. 몇몇 실시예들에서, 하나 또는 그 초과의 환경 파라미터들(예컨대, 상대 습도, 온도, O2의 양, 또는 비활성 가스의 양)이 모니터링되고, 팩토리 인터페이스의 팩토리 인터페이스 챔버에서의 환경에 관한 특정한 전제-조건들이 만족되지 않는 한, 팩토리 인터페이스에 도킹된 임의의 FOUP, 또는 하나 또는 그 초과의 로드 락들이 개방되지 않을 수 있다.
[00021] 본 발명의 예시적인 방법 및 장치 실시예들의 추가적인 세부사항들이, 여기에서, 도 1 내지 도 6에 관하여 설명된다.
[00022] 도 1은, 본 발명의 하나 또는 그 초과의 실시예들에 따른 전자 디바이스 프로세싱 시스템(100)의 예시적인 실시예의 개략도이다. 전자 디바이스 프로세싱 시스템(100)은, 이송 챔버(102)를 정의하는 하우징 벽들을 갖는 메인프레임 하우징(101)을 포함할 수 있다. 이송 로봇(103)(점선 원으로 도시됨)은, 적어도 부분적으로, 이송 챔버(102) 내에 하우징될 수 있다. 이송 로봇(103)은, 이송 로봇(103)의 암들의 동작을 통해, 기판들을 목적지들에 배치하거나 또는 목적지들로부터 꺼내도록 구성 및 적응될 수 있다. 본원에서 사용되는 바와 같은 기판들은, 실리카-함유 웨이퍼들, 패터닝된 웨이퍼들 등과 같은, 전자 디바이스들 또는 회로 컴포넌트들을 제조하기 위해 사용되는 물건(article)들을 의미할 것이다.
[00023] 도시된 실시예에서, 이송 로봇(103)은, 예컨대, 미국 특허 공개 번호 제 2010/0178147 호에서 개시된 로봇과 같은, 이송 챔버(102)에 커플링되고 이송 챔버(102)로부터 접근 가능한 다양한 트윈 챔버들을 서비싱(service)하도록 적응된 임의의 적합한 타입의 오프-액시스 로봇(off-axis robot)일 수 있다. 다른 오프-액시스 로봇들이 사용될 수 있다. 오프-액시스 로봇은, 일반적으로 이송 챔버(102)의 중심에 센터링된(centered), 방사상으로, 로봇의 숄더(shoulder) 회전 축을 향하여 또는 로봇의 숄더 회전 축으로부터 떨어지게 연장시키는 것 이외에, 엔드 이펙터(end effector)를 연장시키도록 동작할 수 있는 임의의 로봇 구성이다.
[00024] 이송 로봇(103)의 다양한 암 컴포넌트들의 모션은, 제어기(125)로부터 지시되는 바와 같은, 이송 로봇(103)의 복수의 구동 모터들을 포함하는 구동 어셈블리(미도시)로의 적합한 커맨드(command)들에 의해 제어될 수 있다. 제어기(125)로부터의 신호들은 이송 로봇(103)의 다양한 컴포넌트들의 모션을 야기할 수 있다. 포지션 인코더(position encoder)들 등과 같은 다양한 센서들에 의해, 컴포넌트들 중 하나 또는 그 초과에 대해, 적합한 피드백 메커니즘들이 제공될 수 있다.
[00025] 이송 로봇(103)은, 이송 챔버(102)에서 대략 중심에 위치될 수 있는 숄더 축을 중심으로 회전가능한 암들을 포함할 수 있다. 이송 로봇(103)은, 이송 챔버(102)의 하부 부분을 형성하는 하우징 벽(예컨대, 플로어)에 부착되도록 적응된 베이스를 포함할 수 있다. 그러나, 몇몇 실시예들에서, 이송 로봇(103)은 천장에 부착될 수 있다. 로봇(103)은, 트윈 챔버들(예컨대, 나란히 있는(side-by-side) 챔버들)을 서비싱하도록 적응된, 듀얼 SCARA 로봇 또는 다른 타입의 듀얼 로봇일 수 있다. 다른 타입들의 프로세싱 챔버 배향(orientation)들, 뿐만 아니라, 이송 로봇들이 사용될 수 있다.
[00026] 이송 로봇(103)의 암 컴포넌트들의 회전은, 종래의 가변 릴럭턴스(reluctance) 또는 영구 자석 전기 모터와 같은 임의의 적합한 구동 모터에 의해 제공될 수 있다. 암들은 베이스에 관하여 X-Y 평면에서 회전되도록 적응될 수 있다. 기판들을 운반하도록 적응된 임의의 적합한 수의 암 컴포넌트들 및 엔드 이펙터들이 사용될 수 있다.
[00027] 부가적으로, 몇몇 실시예들에서, 이송 로봇(103)의 구동 어셈블리는 Z-축 모션 능력을 포함할 수 있다. 특히, 모터 하우징은, 모션 리스트릭터(motion restrictor)에 의해, 외측 케이싱에 관한 회전이 제약될 수 있다. 모션 리스트릭터는, 외측 케이싱에 관한 모터 하우징의 회전을 억제하지만, 수직 방향을 따르는, 모터 하우징 및 연결된 암들의 Z-축(수직) 모션을 허용하도록 기능하는, 2개 또는 그 초과의 선형 베어링들, 또는 다른 타입의 베어링 또는 슬라이드 메커니즘들일 수 있다. 수직 모션은 수직 모터에 의해 제공될 수 있다. 수직 모터의 회전은, 모터 하우징에 커플링되거나 또는 모터 하우징과 일체화된 리시버(receiver)에서 리드 스크류를 회전시키도록 동작할 수 있다. 이러한 회전은, 모터 하우징, 그리고 따라서, 암들, 하나 또는 그 초과의 부착된 엔드 이펙터들, 및 그 위에 지지되는 기판들을 수직으로 평행 이동(translate)시킬 수 있다. 몇몇 실시예들에서, 적합한 밀봉이 모터 하우징과 베이스 사이를 밀봉할 수 있고, 그에 의해, 수직 모션이 수용될 수 있고, 이송 챔버(102) 내에 진공이 보유될 수 있다.
[00028] 도시된 실시예에서의 이송 챔버(102)는, 형상이 대체로 정사각형 또는 약간 직사각형일 수 있고, 제 1 면(facet)(102A), 제 1 면(102A)과 대향하는 제 2 면(102B), 제 3 면(102C), 및 제 3 면(102C)과 대향하는 제 4 면(102D)을 포함할 수 있다. 이송 로봇(103)은 바람직하게, 챔버 세트들 내로 동시에 듀얼 기판들을 이송하고 그리고/또는 리트랙팅(retracting)하는 것에 능숙할 수 있다. 제 1 면(102A), 제 2 면(102B), 제 3 면(102C), 및 제 4 면(102D)은 대체로 평탄할 수 있고, 챔버 세트들 내로의 진입로(entryway)들은 각각의 면들을 따라 놓여 있을 수 있다. 그러나, 다른 적합한 형상의 메인프레임 하우징(101), 및 다른 적합한 수의 면들 및 프로세싱 챔버들이 가능하다.
[00029] 이송 로봇(103)에 대한 목적지들은 제 1 프로세싱 챔버 세트(108A, 108B)일 수 있고, 제 1 프로세싱 챔버 세트(108A, 108B)는 제 1 면(102A)에 커플링되고, 제 1 프로세싱 챔버 세트(108A, 108B)에 전달되는 기판들에 대해 프로세스를 수행하도록 구성될 수 있고 동작가능할 수 있다. 프로세스는, 임의의 적합한 프로세스, 예컨대, 플라즈마 기상 증착(PVD) 또는 화학 기상 증착(CVD), 에칭, 어닐링, 사전-세정, 금속 또는 금속 산화물 제거 등일 수 있다. 다른 프로세스들이, 제 1 프로세싱 챔버 세트(108A, 108B)에서 기판들에 대해 수행될 수 있다.
[00030] 이송 로봇(103)에 대한 목적지들은 또한, 일반적으로, 제 1 프로세스 챔버 세트(108A, 108B) 반대편에 있을 수 있는 제 2 프로세스 챔버 세트(108C, 108D)일 수 있다. 제 2 프로세스 챔버 세트(108C, 108D)는 제 2 면(102B)에 커플링될 수 있고, 기판들에 대해, 위에서 언급된 프로세스들 중 임의의 프로세스와 같은 임의의 적합한 프로세스를 수행하도록 구성될 수 있고 적응될 수 있다. 마찬가지로, 이송 로봇(103)에 대한 목적지들은 또한, 일반적으로, 제 3 면(102C)에 커플링된 로드 락 장치(112) 반대편에 있을 수 있는 제 3 프로세스 챔버 세트(108E, 108F)일 수 있다. 제 3 프로세스 챔버 세트(108E, 108F)는, 기판들에 대해, 위에서 언급된 프로세스들 중 임의의 프로세스와 같은 임의의 적합한 프로세스를 수행하도록 구성될 수 있고 적응될 수 있다.
[00031] 기판들은 팩토리 인터페이스(114)로부터 이송 챔버(102) 내로 수용될 수 있고, 또한, 팩토리 인터페이스(114)의 표면(예컨대, 후방 벽)에 커플링된 로드 락 장치(112)를 통해, 이송 챔버(102)에서 팩토리 인터페이스(114)로 빠져나갈 수 있다. 로드 락 장치(112)는 하나 또는 그 초과의 로드 락 챔버들(예컨대, 로드 락 챔버들(112A, 112B))을 포함할 수 있다. 로드 락 장치(112)에 포함된 로드 락 챔버들(112A, 112B)은 SWLL(single wafer load locks) 챔버들 또는 다중-웨이퍼(multi-wafer) 챔버들일 수 있다. 몇몇 실시예들에서, 로드 락 장치(112)는, 인입되는(incoming) 기판들이 팩토리 인터페이스(114)로부터 이송 챔버(102) 내로 통과되기 전에, 인입되는 기판들에 대해 탈기(degassing) 프로세스가 수행될 수 있도록, 기판을 섭씨 약 200 도 초과로 가열하기 위한 가열 플랫폼/장치를 포함할 수 있다.
[00032] 팩토리 인터페이스(114)는, 팩토리 인터페이스 챔버(114C)를 형성하는 (전방, 후방, 2개의 측면 벽들, 상단, 및 바닥을 포함하는) 측벽 표면들을 갖는 임의의 인클로저(enclosure)일 수 있다. 하나 또는 그 초과의 로드 포트들(115)이 팩토리 인터페이스(114)의 표면들(예컨대, 전방 표면들) 상에 제공될 수 있고, 하나 또는 그 초과의 로드 포트들(115)에서 하나 또는 그 초과의 기판 캐리어들(116)(예컨대, 전방 개방 통합 포드들 또는 FOUP들)을 수용하도록 구성될 수 있고 적응될 수 있다.
[00033] 팩토리 인터페이스(114)는, 팩토리 인터페이스(114)의 팩토리 인터페이스 챔버(114C) 내에 종래의 구조(construction)의 적합한 로드/언로드 로봇(117)(점선으로 도시됨)을 포함할 수 있다. 로드/언로드 로봇(117)은, 기판 캐리어들(116)의 도어들이 개방되면, 하나 또는 그 초과의 기판 캐리어들(116)로부터 기판들을 꺼내고, 팩토리 인터페이스 챔버(114C)를 통해, 그리고 로드 락 장치(112)에 제공될 수 있는 바와 같은 하나 또는 그 초과의 로드 락 챔버들(112A, 112B) 내로 기판들을 공급하도록 구성될 수 있고 동작할 수 있다. 이송 챔버(102)와 팩토리 인터페이스 챔버(114C) 사이의 기판들의 이송을 허용하는 임의의 적합한 구조의 로드 락 장치(112)가 사용될 수 있다.
[00034] 이송 챔버(102)는, 다양한 프로세스 챔버들(108A 내지 108F)에 대한 입구/출구에서 슬릿 밸브들(134)을 포함할 수 있다. 마찬가지로, 하나 또는 그 초과의 로드 락 장치(112)에서의 로드 락 챔버들(112A, 112B)은 내측 및 외측 로드 락 슬릿 밸브들(136, 138)을 포함할 수 있다. 슬릿 밸브들(134, 136, 138)은, 다양한 프로세스 챔버들(108A 내지 108F) 및 로드 락 챔버들(112A, 112B)에 기판들을 배치하거나, 또는 다양한 프로세스 챔버들(108A 내지 108F) 및 로드 락 챔버들(112A, 112B)로부터 기판들을 꺼내는 경우에, 개방 및 폐쇄되도록 적응된다. 슬릿 밸브들(134, 136, 138)은 L-모션 슬릿 밸브들과 같은 임의의 적합한 종래의 구조로 이루어질 수 있다.
[00035] 도시된 실시예에서, 팩토리 인터페이스 챔버(114C)에는, 환경적으로-제어되는 분위기(atmosphere)를 제공하는 환경 제어들이 제공될 수 있다. 특히, 환경 제어 시스템(118)이 팩토리 인터페이스(114)에 커플링되고, 팩토리 인터페이스 챔버(114C) 내의 환경 조건들을 모니터링하고 그리고/또는 제어하도록 동작한다. 몇몇 실시예들에서, 그리고 특정한 시간들에서, 팩토리 인터페이스 챔버(114C)는, 비활성 가스 공급부(118A)로부터의, 아르곤(Ar), 질소(N2), 또는 헬륨(He)과 같은 비활성 가스를 팩토리 인터페이스 챔버(114C)에 수용할 수 있다. 다른 실시예들에서, 또는 다른 시간들에서, 공기(air)(예컨대, 필터링된 공기)가 공기 공급부(118B)로부터 제공될 수 있다.
[00036] 더 상세히, 환경 제어 시스템(118)은, 팩토리 인터페이스 챔버(114C) 내의, 1) 상대 습도(RH), 2) 온도(T), 3) O2의 양, 또는 4) 비활성 가스의 양 중 적어도 하나를 제어할 수 있다. 가스 유량 또는 압력 또는 이들 양자 모두와 같은, 팩토리 인터페이스의 다른 환경 조건들이 모니터링될 수 있고 그리고/또는 제어될 수 있다.
[00037] 몇몇 실시예들에서, 환경 제어 시스템(118)은 제어기(125)를 포함한다. 제어기(125)는, 적합한 프로세서, 메모리, 및 다양한 센서들로부터 입력들을 수신하고 하나 또는 그 초과의 밸브들을 제어하기 위한 전자 컴포넌트들을 포함할 수 있다. 하나 또는 그 초과의 실시예들에서, 환경 제어 시스템(118)은, 상대 습도(RH)를 감지하도록 구성되고 적응된 상대 습도 센서(130)를 이용하여, 팩토리 인터페이스 챔버(114C)에서 RH를 감지함으로써, 상대 습도(RH)를 모니터링할 수 있다. 용량성-타입(capacitive-type) 센서와 같은 임의의 적합한 타입의 상대 습도 센서(130)가 사용될 수 있다. 몇몇 실시예들에서, 제어기(125)는 RH를 모니터링하고, 제어기(125)에 제공된 측정된 RH 값이, 미리 정의된 RH 임계치(threshold) 값을 초과하는 경우에, 팩토리 인터페이스(114)의 로드 포트들에 커플링된 하나 또는 그 초과의 기판 캐리어들(116)의 캐리어 도어들(116D)은 폐쇄된 상태로 유지된다. 측정된 RH 값이, 미리 정의된 RH 임계치 값 아래로 떨어지는 경우에, 기판 캐리어들(116)의 캐리어 도어들(116D)이 개방될 수 있다. RH는, 환경 제어 시스템(118)의 비활성 가스 공급부(118A)로부터 팩토리 인터페이스 챔버(114C) 내로 적합한 양의 비활성 가스를 유동시킴으로써, 낮게 될 수 있다. 본원에서 설명되는 바와 같이, 비활성 가스 공급부(118A)로부터의 비활성 가스는, 아르곤, N2, 헬륨, 또는 이들의 혼합물들일 수 있다. 건성 질소 가스(N2)의 공급이 상당히 효과적일 수 있다. 낮은 H2O 레벨들(예컨대, 5 ppm 미만)을 갖는 압축된 벌크(compressed bulk) 비활성 가스들이, 환경 제어 시스템(118)에서 비활성 가스 공급부(118A)로서 사용될 수 있다.
[00038] 다른 양상에서, 환경 제어 시스템(118)은 상대 습도 센서(130)를 이용하여 상대 습도 값을 측정하고, 측정된 상대 습도 값이, 미리-정의된 레퍼런스(reference) 상대 습도 값을 초과하는 경우에, 팩토리 인터페이스(114)에 커플링된 하나 또는 그 초과의 로드 락 장치(112)의 외측 로드 락 슬릿 밸브(138)는 폐쇄된 상태로 유지된다. 하나 또는 그 초과의 로드 락 장치(112)는, 상대 습도가, 미리-정의된 레퍼런스 상대 습도 값 아래로 떨어질 때까지, 폐쇄된 상태로 유지될 수 있다. 위에서 논의된 바와 같이, RH는, 비활성 가스 공급부(118A)로부터 팩토리 인터페이스 챔버(114C)로의 적합한 양의 비활성 가스의 유동을 개시하는, 제어기(125)로부터 환경 제어 시스템(118)으로의 제어 신호에 의해, 낮게 될 수 있다. 하나 또는 그 초과의 실시예들에서, 미리-정의된 레퍼런스 상대 습도 값은, 전자 디바이스 프로세싱 시스템(100)에서 수행되고 있는 특정한 프로세스에 대해 허용가능한 습기의 레벨에 따라, 1000 ppm의 습기 미만, 500 ppm의 습기 미만, 또는 심지어, 100 ppm의 습기 미만일 수 있다.
[00039] 몇몇 실시예들에서, 전자 디바이스 프로세싱 시스템(100)의 환경 제어 시스템(118)은, 팩토리 인터페이스 챔버(114C)에 커플링된 공기 공급부(118B)를 포함할 수 있다. 공기 공급부(118B)는, 적합한 도관들 및 하나 또는 그 초과의 밸브들에 의해, 팩토리 인터페이스 챔버(114C)에 커플링될 수 있다. 환경 제어 시스템(118)은, 팩토리 인터페이스 챔버(114C) 내의 산소(O2)의 레벨을 감지하도록 구성되고 적응된 산소 센서(132)를 포함할 수 있다. 일 실시예에서, 사람이 팩토리 인터페이스 챔버(114C)에 진입하려고 시도하고, 진입 요청을 개시하는 경우에, 환경 제어 시스템(118)의 제어기(125)는, 비활성 가스 환경의 적어도 일부가 배기되고(exhausted), 공기로 대체되도록, 공기 공급부(118B)로부터의 공기의 유동을 개시할 수 있다. 팩토리 인터페이스 챔버(114C) 내에서 검출된 산소의 레벨이, 적합한 미리-정의된 O2 레벨에 도달하는 경우에, 접근 도어(142)를 폐쇄된 상태로 유지시키는 도어 인터로크(interlock)(140)는, 접근 도어(142)가 (점선으로 도시된 바와 같이) 개방되게 허용하고, 따라서, 사람이 팩토리 인터페이스 챔버(114C)에 접근하게 허용하도록, 언래칭될(unlatched) 수 있다.
[00040] 몇몇 실시예들에서, 전자 디바이스 프로세싱 시스템(100)의 팩토리 인터페이스(114)는 냉각 스테이션(144)을 포함할 수 있다. 냉각 스테이션(144)은, 하나 또는 그 초과의 플랫폼들, 선반들, 또는 다른 지지 피처(feature)들을 포함할 수 있고, 그 위에, 로드 락 장치(112)에서 빠져나가는 하나 또는 그 초과의 기판들(145)이 놓일 수 있고, 기판 캐리어(116) 내로의 삽입 전에 냉각될 수 있다.
[00041] 하나 또는 그 초과의 실시예들에서, 팩토리 인터페이스 챔버(114C) 내의 온도를 감지하도록 구성되고 적응된 온도 센서(135)가 사용될 수 있다. 몇몇 실시예들에서, 온도 센서(135)는 기판(145) 근처에 배치될 수 있다. 몇몇 실시예들에서, 온도 센서(135)는, 기판(145)이 냉각된 정도를 결정하기 위해 사용될 수 있는 레이저 센서와 같은 지향성 센서(directional sensor)일 수 있다. 온도 센서(135)로부터의 이러한 입력은, 냉각 스테이션(144)으로부터의 이송이 언제 발생할 수 있는지를 결정하기 위해 사용될 수 있다.
[00042] 본원에서의 도시된 실시예들에서, 제어기(125)는, 적합한 프로세서, 메모리, 및 다양한 센서들(예컨대, 상대 습도 센서(130), 산소 센서(132), 및/또는 온도 센서(135))로부터 제어 입력들을 수신하고 폐루프 또는 다른 적합한 제어 체계(scheme)를 실행시키도록 적응된 주변 컴포넌트들을 갖는 임의의 적합한 제어기일 수 있다. 일 실시예에서, 제어 체계는, 팩토리 인터페이스 챔버(114C) 내로 도입되는 가스의 유량을 변화시킬 수 있다. 다른 실시예에서, 제어 체계는, 팩토리 인터페이스 챔버(114C) 내로 기판들(145)을 언제 이송할지를 결정할 수 있다.
[00043] 이제 도 2를 참조하면, 전자 디바이스 프로세싱 시스템(예컨대, 전자 디바이스 프로세싱 시스템(100)) 내에서 기판들을 프로세싱하는 하나의 방법이 설명될 것이다. 방법(200)은, 202에서, 팩토리 인터페이스 챔버(예컨대, 팩토리 인터페이스 챔버(114C))를 갖는 팩토리 인터페이스(예컨대, 팩토리 인터페이스(114)), 및 팩토리 인터페이스에 도킹된 하나 또는 그 초과의 기판 캐리어들(예컨대, 기판 캐리어들(116)), 및 팩토리 인터페이스에 커플링된 하나 또는 그 초과의 로드 락 챔버들(예컨대, 로드 락 챔버들(112A, 112B))을 제공하는 단계를 포함한다.
[00044] 방법(200)은, 204에서, 환경 전제 조건들을 만족시키기 위해, 환경 조건들을 제어하는 단계를 포함한다. 예컨대, 환경 전제 조건들을 만족시키기 위해, 환경 조건들을 제어하는 것은, (예컨대, 로드 락 챔버들(112A, 112B)의 외측 로드 락 슬릿 밸브들(138)을 개방시키는 것과 같은) 하나 또는 그 초과의 로드 락 챔버들, 또는 하나 또는 그 초과의 기판 캐리어 도어들(예컨대, 캐리어 도어들(116D)) 중 임의의 것을 개방시키기 전에, 발생할 수 있다.
[00045] 본 발명의 하나 또는 그 초과의 실시예들에 따르면, 외측 로드 락 슬릿 밸브들(138)과 캐리어 도어들(116D) 중 하나 또는 그 초과는, 특정한 환경 전제 조건들이 만족되는 경우에, 개방될 수 있다. 예컨대, 일 예에서, 환경 전제 조건들은, 팩토리 인터페이스 챔버(114C)에서의 측정된 상대 습도(RH) 레벨이, 미리 정의된 상대 습도 레벨 임계치 아래로(예컨대, 1000 ppm의 습기 미만으로, 500 ppm의 습기 미만으로, 100 ppm의 습기 미만으로, 또는 심지어 더 낮게) 떨어지는 경우에, 만족될 수 있다. 발생되는 프로세싱에 따라, 다른 적합한 임계치들이 사용될 수 있다.
[00046] 이전에-달성되지 않은(previously-failed) 환경 전제 조건들을 만족시키기 위해, 즉, 이전에-달성되지 않은 환경 전제 조건들 아래로 떨어지기 위해, 비활성 가스 공급부(118A)로부터 팩토리 인터페이스 챔버(114C) 내로 비활성 가스(예컨대, 건성 N2 가스 또는 다른 비활성 가스)가 유동될 수 있다. 비활성 가스 공급부(118A)는, 예컨대, 압력 하의 비활성 가스의 적합한 캐니스터(canister)일 수 있다. 팩토리 인터페이스 챔버(114C) 내로 제공되는 비활성 가스의 유량들은, 팩토리 인터페이스 챔버(114C) 내에 위치된 압력 센서(133), 및/또는 전달 라인 상의 적합한 유동 센서(미도시), 또는 이들 양자 모두에 의해, 모니터링될 수 있다. 제어기(125)에 의해 제공된 제어 신호들에 응답하여, 비활성 가스 공급부(118A)에 커플링된 밸브를 조정함으로써, 400 SLM 또는 그 초과의 유량들이 제공될 수 있다. 약 500 Pa 초과의 압력들이 팩토리 인터페이스 챔버(114C) 내에서 유지될 수 있다. 팩토리 인터페이스 챔버(114C) 내로의 비활성 가스(예컨대, N2 또는 다른 비활성 가스)의 유동은 상대 습도(RH) 레벨을 낮게 하도록 작용하고, 하나 또는 그 초과의 로드 락 챔버들(112A, 112B)의 외측 로드 락 슬릿 밸브들(138) 및/또는 캐리어 도어(116D)는, 상대 습도 임계치 값이 만족되는 경우에, 개방될 수 있다. 이는, 개방된 기판 캐리어들(116) 내의 기판들, 개방된 임의의 로드 락 챔버들(112A, 112B), 뿐만 아니라, 팩토리 인터페이스 챔버(114C)를 통과하는 임의의 기판들이, 적합하게 낮은 습도 환경에만 노출되는 것을 보장하는 것을 돕는다.
[00047] 다른 예에서, 환경 전제 조건들은, 예컨대, 산소 센서(132)에 의해 감지되는 바와 같은, 팩토리 인터페이스 챔버(114C)에서의 측정된 산소(O2) 레벨이, 미리 정의된 산소 임계치 레벨 아래로(예컨대, 50 ppm의 O2 미만으로, 10 ppm의 O2 미만으로, 5 ppm의 O2 미만으로, 또는 심지어 3 ppm의 O2 미만으로, 또는 심지어 더 낮게) 떨어지는 경우에, 만족될 수 있다. 발생되는 프로세싱에 따라, 다른 적합한 산소 레벨 임계치들이 사용될 수 있다. 팩토리 인터페이스 챔버(114C)에서의 미리 정의된 산소 임계치 레벨이 만족되지 않는 경우에, 제어기(125)는, 비활성 가스 공급부(118A)에 커플링된 밸브로의 제어 신호를 개시할 것이고, 제어기(125)에 의해 결정되는 바와 같이, 미리 정의된 산소 임계치 레벨이 만족될 때까지, 팩토리 인터페이스 챔버(114C) 내로 비활성 가스를 유동시킬 것이다. 미리 정의된 산소 임계치 레벨이 만족되는 경우에, 하나 또는 그 초과의 로드 락 챔버들(112A, 112B)의 외측 로드 락 슬릿 밸브들(138) 및/또는 캐리어 도어(116D)가 개방될 수 있다. 이는, 개방된 기판 캐리어들(116) 내의 기판들, 개방된 임의의 로드 락 챔버들(112A, 112B), 뿐만 아니라, 팩토리 인터페이스 챔버(114C)를 통과하는 임의의 기판들이, 비교적 낮은 산소 레벨들에 노출되는 것을 보장하는 것을 돕는다.
[00048] 다른 예에서, 환경 전제 조건들은, 예컨대, 온도 센서(135)에 의해 감지되는 바와 같은, 냉각 스테이션(144)에서의 기판들(145)의 온도와 같은, 팩토리 인터페이스 챔버(114C)에서의 측정된 온도 레벨이, 미리 정의된 온도 임계치 레벨 아래로(예컨대, 섭씨 100 도 미만으로, 또는 심지어 더 낮게) 떨어지는 경우에, 만족될 수 있다. 미리 정의된 온도 임계치 레벨이 만족되면, 냉각된 기판들(145)은 운송을 위해 기판 캐리어(116) 내로 로딩될 수 있다. 냉각 스테이션(144)은, 냉각 플랫폼들, 비활성 가스 유동, 또는 이들의 조합들을 포함할 수 있다.
[00049] 몇몇 실시예들에서, 팩토리 인터페이스(114)의 접근 도어(142)는, 특정한 환경 전제 조건들이 만족되는 경우에만, 개방될 수 있다. 예컨대, 환경 전제 조건들은, 안전한 것으로 결정된, 미리 결정된 산소 레벨 값을 초과하는, 팩토리 인터페이스 챔버(114C)에서의 산소 값에 도달하는 것을 포함할 수 있다. 산소 레벨 값은, 예컨대, 산소 센서(132)에 의해 감지될 수 있다. 도어 인터로크(140)(예컨대, 전기 기계적 로크)는, 제어기(125)가, 안전한 것으로 간주되는 미리 결정된 산소 레벨이 만족되었다고 결정하고, 도어 인터로크(140)를 개방시키기 위한 신호를 전송하지 않는 한, 접근 도어(142)가 개방되는 것을 방지할 수 있다. 달성되지 않은 경우에, 환경 전제 조건들은, 밸브로의 제어 신호를 통해, 공기 공급부(118B)로부터 팩토리 인터페이스 챔버(114C) 내로 공기를 유동시키고, 배기 도관(150)을 통해 팩토리 인터페이스 챔버(114C) 밖으로 비활성 가스를 유동시킴으로써, 만족될 수 있다. 공기 공급부(118B)는, 팬(fan) 또는 공기 펌프에 의해 제공되는 필터링된 공기의 공급부일 수 있다.
[00050] 도 3에서 도시된 바와 같이, 전자 디바이스 프로세싱 시스템(300)의 다른 실시예가 제공된다(메인프레임 하우징, 프로세싱 챔버들, 및 로드 락 챔버들은 명료성을 위해 도시되지 않음). 전자 디바이스 프로세싱 시스템(300)의 환경 제어 시스템(318)은, 이전에 언급된 컴포넌트들을 포함할 수 있지만, 또한, 비활성 가스 재순환을 포함할 수 있다. 특히, 팩토리 인터페이스(114)의 더 효율적인 환경 제어들을 제공하기 위해, 비활성 가스가 재활용될 수 있고 재사용될 수 있다. 예컨대, 도시된 실시예에서, 팩토리 인터페이스 챔버(114C)로부터의 비활성 가스는, 팩토리 인터페이스 챔버(114C)로부터 배기 도관(350)으로 배기될 수 있고, 습기-감소(moisture-reducing) 필터일 수 있고 또한 미립자들을 필터링할 수 있는 필터(352)를 통해 필터링될 수 있고, 그 후에, 펌프(354)에 의해, 비활성 가스 공급부(118A) 내로 펌핑되어 회귀될(pumped back) 수 있다. 필터(352)는, 흡수성 재료들의 다수의 층들을 포함할 수 있는 습기 흡수성(moisture absorbent) 필터일 수 있다. 그러나, 콘덴서(condenser)들 또는 다른 습기 제거기(moisture remover)들과 같은, 습기 함유량을 감소시키기 위한 다른 메커니즘들 또는 디바이스들이 사용될 수 있다. 몇몇 실시예들에서, 비활성 가스는 또한 냉각될 수 있다.
[00051] 몇몇 실시예들에서, 비활성 가스 소비(consumption)가, 예컨대, 비활성 가스 공급부(118A)로부터의 전달 라인에서의 유동 센서(미도시)의 사용에 의해, 모니터링될 수 있고, 측정된 유량은, 팩토리 인터페이스 챔버(114C) 내의 특정된 RH 값의 도달과 상관될 수 있다. 비활성 가스 소비의 양이, 미리-설정된 제한 외부에 있는 경우에, 팩토리 인터페이스 챔버(114C)에서의 누설이, 예컨대, 오퍼레이터에 대한 메시지, 시각적인 표시자, 알람 등에 의해, 플래깅될(flagged) 수 있다. 선택적으로, 팩토리 인터페이스 챔버(114C) 내의 압력이, 미리-설정된 제한 외부에(예컨대, 아래에) 있는 경우에, 팩토리 인터페이스 챔버(114C)에서의 누설이, 위에서와 같이, 플래깅될 수 있다.
[00052] 도 4는, 환경 제어 시스템(418)을 포함하는 전자 디바이스 프로세싱 시스템(400)의 다른 실시예를 예시한다. 본 실시예에서, 환경 제어 시스템(418)은, 하나 또는 그 초과의 캐리어 퍼지 챔버들(454)의 환경 제어와 커플링된, 팩토리 인터페이스 챔버(414C)의 환경 제어의 조합을 포함한다. 그 외에, 본 실시예는, 캐리어 퍼지 시스템(452)이 제공되는 것을 제외하고, 도 3의 실시예와 유사하다.
[00053] 팩토리 인터페이스 챔버(414C)의 환경 제어와 별도로 독립적인 사용이 가능할 수 있는 캐리어 퍼지 시스템(452)은, 가스 퍼지 시스템(457)을 포함한다. 가스 퍼지 시스템(457)은, 비활성 가스 공급부(예컨대, 비활성 가스 공급부(118A)), 및 비활성 가스 공급부에 커플링된 복수의 공급 도관들 및 밸브들을 포함한다. 가스 퍼지 시스템(457)의 복수의 공급 도관들 및 밸브들은, 제어기(425)로부터의 제어 신호들에 응답하여, 특정한 시간들에서, 비활성 가스를 캐리어 퍼지 챔버들(454)에 공급한다. 예컨대, 비활성 가스의 공급은, 기판 캐리어(116)로부터 팩토리 인터페이스 챔버(114C) 내로 기판들(545)을 이송하기 전에, 특정한 환경 전제 조건들을 만족시키기 위하여, 캐리어 퍼지 챔버(454) 및 기판 캐리어(116)의 환경(562)(도 5a)을 퍼징하기 위해, 기판 캐리어(116)의 캐리어 도어(116D)를 개방시킨 직후에, 캐리어 퍼지 챔버(454)에 제공될 수 있다.
[00054] 이제, 팩토리 인터페이스(414)의 캐리어 퍼지 시스템(452)의 세부사항들 및 컴포넌트들 및 동작이 도 4, 도 5a, 및 도 5b에 관하여 설명될 것이다. 캐리어 퍼지 시스템(452)은, 퍼지 능력을 포함하여, 각각의 기판 캐리어(116)에 대해, 캐리어 퍼지 하우징(556)을 포함한다. 그러한 퍼지 능력은 기판 캐리어들(116)의 일부 또는 모두에 대해 포함될 수 있다. 캐리어 퍼지 하우징(556)은 각각의 캐리어 퍼지 챔버(454)의 일부를 형성한다. 캐리어 퍼지 하우징(556)은 팩토리 인터페이스(114)의 내부 벽(558)(예컨대, 전방 벽)의 표면에 대하여 밀봉될 수 있고, 캐리어 퍼지 챔버(454)를 형성할 수 있다. 캐리어 퍼지 하우징(556)은, 캐리어 도어(116D)가 개방된 동안에, 내부 벽(558)의 표면에 대하여 밀봉된 상태로 유지된다. 개스킷(gasket) 또는 O-링과 같은 임의의 적합한 밀봉이 사용될 수 있다.
[00055] 캐리어 퍼지 시스템(452)은, 도어 오프너(565) 및 도어 리트랙션(retraction) 메커니즘(567)의 동작을 통해, 기판 캐리어(116)의 캐리어 도어(116D)를 개방시킬 시에, 캐리어 퍼지 챔버(454) 내에 기판 캐리어(116)의 환경(562)을 수용하도록 적응된다. 캐리어 도어(116D)가 개방되면, 바람직하지 않은 레벨들의 O2 또는 습기를 함유할 수 있는 환경(562)이 팩토리 인터페이스 챔버(114C)에 진입하지 않도록, 캐리어 퍼지 챔버(454)의 퍼징이 발생할 수 있다. 캐리어 퍼지 챔버(454)의 퍼징은, 특정한 미리 정의된 환경 조건들이 만족될 때까지, 계속된다. 퍼징은, 가스 퍼지 시스템(457)으로부터 제공되는 비활성 가스를 통해 제공될 수 있다. 캐리어 퍼지 챔버(454) 내로 비활성 가스를 공급하는 가스 퍼지 시스템(457)의 도관(557C)으로부터의 출구들에, 하나 또는 그 초과의 디퓨저(diffuser)들(559)이 포함될 수 있다.
[00056] 환경 조건들은, 예컨대, 미리 정의된 상대 습도 RH 임계치 레벨, 및/또는 미리 정의된 O2 임계치 레벨에 기초할 수 있다. 예컨대, 캐리어 퍼지 하우징(556)을 내부 벽(558)으로부터 떨어지게 리트랙팅하고, 캐리어 퍼지 하우징(556)을 하강시켜서, 로드/언로드 로봇(117)이 기판들(545)에 접근하고 기판들(545)을 제거하게 허용하기 전에, 미리 정의된 RH 임계치 레벨 미만(예컨대, 약 5 %의 습기 미만 - 약 50,000 ppm 미만)의 상대 습도가 추구될 수 있다. 산소 레벨이 환경 기준들인 경우에, 캐리어 퍼지 하우징(556)을 리트랙팅하고 하강시키기 전에, 미리 정의된 임계치 레벨 미만(예컨대, 약 500 ppm의 O2 미만)의 O2 임계치 레벨이 추구될 수 있다. 다른 미리 정의된 임계치 레벨들이 사용될 수 있다.
[00057] 이러한 임계치 레벨들 중 하나 또는 양자 모두를 달성하기 위해, 제어기(425)와 상호연결된, 챔버 상대 습도 센서(576) 및/또는 챔버 산소 센서(578)가 제공될 수 있다. 챔버 상대 습도 센서(576) 및/또는 챔버 산소 센서(578)는, 캐리어 퍼지 하우징(556) 상에 있을 수 있거나, 팩토리 인터페이스 챔버(114C) 내에서 챔버 배기 도관(580)에 있을 수 있거나, 또는 심지어, 팩토리 인터페이스(114) 외부에, 예컨대 챔버 배기 도관(580) 상에 있을 수 있다. 가스 퍼지 시스템(457)으로부터의 비활성 가스에 의한 퍼징은, 환경 전제 조건들이 만족될 때까지, 계속될 수 있다. 몇몇 실시예들에서, 환경 전제 조건들이 만족되는 것을 보장하기 위해, 이전에-수행된 실험들에 기초한, 특정한 미리-설정된 시간 또는 볼륨에 대한 퍼징이 사용될 수 있다.
[00058] 동작 시에, 캐리어 퍼지 하우징(556)은 도어 오프너(565)를 둘러싼다. 도어 오프너(565)는 캐리어 퍼지 하우징(556)의 내부 내에서 리트랙팅 가능하도록 적응된다. 도어 오프너(565)의 리트랙션은, 랙(rack) 및 피니언(pinion) 메커니즘(570) 및 선형 슬라이드(569)와 같은, 도어 리트랙션 메커니즘(567)에 의해 이루어질 수 있다. 랙 및 피니언 메커니즘(570)은, 랙(572), 피니언(574), 및 피니언(574)에 커플링된 구동 모터(575)를 포함할 수 있다. 제어기(425)로부터 구동 모터(575)로의 구동 신호들은, 캐리어 도어(116D)의 리트랙션, 및 캐리어 퍼지 챔버(454)에서의 환경과 환경(562)의 혼합을 야기한다. 통상적인 바와 같이, 캐리어 도어(116D)를 그래스핑(grasp)하고 개방시키기 위해, 도어 오프너(565) 상에서, 임의의 도어 언로크 및 그래스프 메커니즘(573)이 사용될 수 있다.
[00059] 캐리어 퍼지 하우징(556)에 의한, 내부 벽(558)에 대한 폐쇄(예컨대, 밀봉), 및 내부 벽(558)으로부터의 리트랙션은, 하우징 구동 시스템(581) 및 슬라이드 메커니즘(582)에 의해 제공될 수 있다. 슬라이드 메커니즘(582)은, 엘리베이터(585)에 부착된 지지 프레임(584)에 관하여, 내부 벽(558)을 향하는 그리고 내부 벽(558)으로부터 떨어지는 선형 모션을 허용한다. 하우징 구동 시스템(581)은, 내부 벽(558)을 향하는 그리고 내부 벽(558)으로부터 떨어지는 모션을 야기하기 위해, 적합한 모터 및 전달 메커니즘을 포함할 수 있다. 도시된 실시예에서, 캐리어 퍼지 하우징(556)에 커플링된 하우징 랙(586), 하우징 피니언(588), 및 하우징 구동 모터(589)를 포함하는 랙 및 피니언 메커니즘이 도시된다. 하우징 구동 모터(589)를 구동시키는 것은, 내부 벽(558) 및 엘리베이터(585)에 관하여, 수평으로 안쪽으로 또는 바깥쪽으로(in or out), 캐리어 퍼지 하우징(556)을 평행 이동시킨다.
[00060] 캐리어 퍼지 하우징(556)의 하강은 엘리베이터(585)에 의해 제공될 수 있다. 엘리베이터(585)는, 캐리어 퍼지 하우징(556)의 수직 모션을 제공하기 위한 임의의 적합한 메커니즘 구조를 포함할 수 있다. 예컨대, 도시된 바와 같이, 엘리베이터(585)는, 베어링 슬라이드(591), 레일(592), 및 마운팅 블록(mounting block)들(593)을 포함하는 선형 베어링 어셈블리(590)를 포함한다. 마운팅 블록들(593)은 내부 벽(558)에 레일(592)을 체결(fasten)시킬 수 있다. 베어링 슬라이드(591)는 수직 액추에이터(594)에 체결될 수 있다. 수직 액추에이터 레일(595)이 또한 제공될 수 있고, 내부 벽(558)에 체결될 수 있다. 수직 액추에이터(594)의 작동은, 지지 프레임(584) 및 커플링된 캐리어 퍼지 하우징(556)을 상승시키거나 또는 하강시키는, 수직 액추에이터 레일(595)에 관한 수직 모션을 야기한다. 수직 액추에이터(594)는, 공압식, 전기식 등과 같은 임의의 적합한 액추에이터 타입일 수 있다. 따라서, 도어 그래스프 및 언로크 메커니즘(573)의 동작이 캐리어 도어(116D)를 그래스핑하고 개방시키고, 랙 및 피니언 메커니즘(570)이 캐리어 도어(116D)를 리트랙팅하고, 캐리어 퍼지 시스템(452)이, 환경 전제 조건들을 만족시키기 위해, 캐리어 퍼지 챔버(454)를 퍼징하고, 하우징 구동 시스템(581)이 캐리어 퍼지 하우징(556)을 리트랙팅하고, 엘리베이터(585)가, 로드/언로드 로봇(117)이 기판 캐리어(116)에서의 기판들(545)에 접근할 수 있도록, 캐리어 도어(116D) 및 캐리어 퍼지 하우징(556)을 하강시키는 것이 명백해야 한다.
[00061] 다시 도 4를 참조하면, 환경 제어 시스템(418)은 이전에 언급된 컴포넌트들을 포함할 수 있고, 또한, 비활성 가스 재순환을 포함할 수 있다. 예컨대, 비활성 가스는, 팩토리 인터페이스 챔버(414C)로부터 배기 도관(450)으로 배기될 수 있고, 습기-감소 필터일 수 있지만, 또한 미립자들을 필터링할 수 있고, 위에서 논의된 타입일 수 있는 필터(352)를 통해 필터링될 수 있다. 본 실시예에서, 필터링된 비활성 가스는, 팩토리 인터페이스 챔버(414C) 내로 바로 재순환되어 회귀될 수 있다.
[00062] 예컨대, 도시된 실시예에서, 배기 순환 루트의 일부는 챔버 도어(442)를 통하는 것일 수 있다. 예컨대, 팩토리 인터페이스 챔버(414C)로부터의 배기는, 챔버 도어(442)에 형성된 채널(443)(예컨대, 덕트) 내로 진입할 수 있다. 몇몇 실시예들에서, 채널(443)은, 챔버 도어(442)의 바닥에 또는 그 근처에, 팩토리 인터페이스 챔버(414C)로부터의 입구를 가질 수 있고, 팩토리 인터페이스 챔버(414C)의 상부 부분 내에 있을 수 있는 필터(352) 위로 진행될 수 있다. 따라서, 채널(443)은 배기 도관(450)의 일부일 수 있다. 몇몇 실시예들에서, 채널(443)과 같은 내부 채널을 포함하는, 챔버 도어(442)와 유사한 도어가, 팩토리 인터페이스(414)의 다른 측 상에 제공될 수 있다.
[00063] 이제 도 6을 참조하면, 전자 디바이스 프로세싱 시스템(예컨대, 전자 디바이스 프로세싱 시스템(400)) 내에서 기판들을 프로세싱하는 다른 방법이 설명될 것이다. 방법(600)은, 602에서, 팩토리 인터페이스 챔버(예컨대, 팩토리 인터페이스 챔버(414C))를 갖는 팩토리 인터페이스(예컨대, 팩토리 인터페이스(414)), 팩토리 인터페이스에 도킹된 하나 또는 그 초과의 기판 캐리어들(예컨대, 기판 캐리어들(116)), 팩토리 인터페이스 챔버 내의 하나 또는 그 초과의 캐리어 퍼지 챔버들(예컨대, 캐리어 퍼지 챔버들(454)), 및 팩토리 인터페이스에 커플링된 하나 또는 그 초과의 로드 락 챔버들(예컨대, 로드 락 장치(112)의 로드 락 챔버들(112A, 112B))을 제공하는 단계를 포함한다.
[00064] 방법(600)은, 604에서, 팩토리 인터페이스(예컨대, 팩토리 인터페이스(414)) 내의 그리고 하나 또는 그 초과의 캐리어 퍼지 챔버들(예컨대, 캐리어 퍼지 챔버들(454)) 내의 환경 조건들을 제어하는 단계를 포함한다. 팩토리 인터페이스 내의 환경 조건들을 제어하는 것은, 하나 또는 그 초과의 로드 락 챔버들(예컨대, 로드 락 챔버들(112A, 112B)의 외측 로드 락 슬릿 밸브들(138)) 중 임의의 것, 또는 하나 또는 그 초과의 기판 캐리어 도어들(예컨대, 캐리어 도어들(116D)) 중 임의의 것의 개방을 허용하기 전에, 팩토리 인터페이스 챔버에서 환경 전제 조건들을 만족시키는 것을 포함할 수 있다. 하나 또는 그 초과의 캐리어 퍼지 챔버들(예컨대, 캐리어 퍼지 챔버들(454)) 내의 환경 조건들을 제어하는 것은, 위에서 논의된 바와 같이, 캐리어 퍼지 하우징(556)의 리트랙션 및 하강을 통해 개봉(unsealing)하기 전에, (예컨대, RH 임계치 레벨 또는 O2 임계치 레벨에 대한) 특정한 환경 전제 조건들을 만족시키는 것을 포함할 수 있다. 본 발명의 실시예들에 따른 그러한 환경 제어들을 제공하는 것은, 비교적 높은 O2 레벨들을 갖는 환경들 또는 비교적 습한 환경들과 같은, 유해할 수 있는 환경 조건들에 대한, 프로세싱 후에 로드 락 챔버들(112A, 112B)에서 빠져나가는 또는 기판 캐리어들(116)에서 빠져나가는 기판들(545)의 노출을 감소시킬 수 있다.
[00065] 전술한 설명은 본 발명의 단지 예시적인 실시예들을 개시한다. 본 발명의 범위 내에 속하는, 위에서 개시된 장치, 시스템들, 및 방법들의 변형들이, 당업자에게 쉽게 명백하게 될 것이다. 따라서, 본 발명이 예시적인 실시예들에 관련하여 개시되었지만, 다른 실시예들이, 다음의 청구항들에 의해 정의되는 바와 같은, 본 발명의 범위 내에 속할 수 있다는 것이 이해되어야 한다.

Claims (20)

  1. 전자 디바이스 프로세싱 시스템으로서,
    하나 또는 그 초과의 로드 포트들 및 팩토리 인터페이스 챔버를 포함하는 팩토리 인터페이스;
    상기 하나 또는 그 초과의 로드 포트들에서 상기 팩토리 인터페이스에 커플링된 하나 또는 그 초과의 기판 캐리어들 ― 상기 하나 또는 그 초과의 기판 캐리어들은 캐리어 도어를 포함함 ―;
    상기 팩토리 인터페이스 챔버 내의 습도 레벨을 검출하기 위한 제 1 센서;
    상기 팩토리 인터페이스 챔버 내의 산소 레벨을 검출하기 위한 제 2 센서; 및
    상기 팩토리 인터페이스에 커플링된 환경 제어 시스템
    을 포함하며, 상기 환경 제어 시스템은 프로세서 및 메모리를 포함하고, 상기 프로세서는:
    비활성 가스가 상기 팩토리 인터페이스 챔버 내에 제공되게 하고;
    상기 팩토리 인터페이스 챔버로부터 배기되는 상기 비활성 가스가 상기 팩토리 인터페이스 챔버 내로 다시 순환되게 하고;
    상기 캐리어 도어를 개방하기 전에 만족되어야 할 하나 또는 그 초과의 조건들을 식별하고 ― 상기 하나 또는 그 초과의 조건들은 상기 습도 레벨 및 상기 산소 레벨 중 하나 또는 그 초과와 관련됨 ―;
    상기 제 1 센서에 의한 검출에 기반하여 상기 팩토리 인터페이스 챔버 내의 상기 습도 레벨; 또는
    상기 제 2 센서에 의한 검출에 기반하여 상기 팩토리 인터페이스 챔버 내의 상기 산소 레벨
    중 적어도 하나를 제어하고; 그리고
    상기 식별된 하나 또는 그 초과의 조건들이 만족된다는 결정에 응답하여, 상기 팩토리 인터페이스 챔버와 상기 기판 캐리어들 사이에서 기판들이 통과할 수 있도록 상기 하나 또는 그 초과의 기판 캐리어들의 상기 캐리어 도어를 개방하는,
    전자 디바이스 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 팩토리 인터페이스 챔버 내로 유동되는 비활성 가스의 유량을 검출하기 위한 제 3 센서를 더 포함하고, 상기 프로세서는 상기 제 3 센서에 의한, 상기 팩토리 인터페이스 챔버 내로 제공되는 상기 비활성 가스의 유량의 검출에 기반하여 상기 팩토리 인터페이스 챔버에서의 상기 비활성 가스의 소비를 추가로 모니터링하는,
    전자 디바이스 프로세싱 시스템.
  3. 제 1 항에 있어서,
    배기 도관을 더 포함하고, 상기 비활성 가스는 상기 배기 도관을 거쳐 적어도 부분적으로 순환되는,
    전자 디바이스 프로세싱 시스템.
  4. 제 3 항에 있어서,
    상기 배기 도관에 직렬로 연결된 필터를 더 포함하는,
    전자 디바이스 프로세싱 시스템.
  5. 제 4 항에 있어서,
    상기 필터는 미립자들을 필터링하도록 구성되는,
    전자 디바이스 프로세싱 시스템.
  6. 제 4 항에 있어서,
    상기 필터는 습기-감소(moisture-reducing) 필터인,
    전자 디바이스 프로세싱 시스템.
  7. 제 4 항에 있어서,
    상기 필터는 습기-흡수성(moisture-absorbent) 필터인,
    전자 디바이스 프로세싱 시스템.
  8. 제 4 항에 있어서,
    상기 필터는 상기 팩토리 인터페이스 챔버 내에 있는,
    전자 디바이스 프로세싱 시스템.
  9. 제 3 항에 있어서,
    상기 팩토리 인터페이스 챔버 상의 챔버 도어; 및
    상기 챔버 도어 내의 채널
    을 더 포함하고, 상기 채널은 상기 팩토리 인터페이스 챔버로부터의 입구를 가지며, 상기 채널은 상기 배기 도관에 커플링되는,
    전자 디바이스 프로세싱 시스템.
  10. 제 9 항에 있어서,
    상기 챔버 도어는 바닥을 가지며, 상기 채널의 입구는 상기 챔버 도어의 상기 바닥에 있는,
    전자 디바이스 프로세싱 시스템.
  11. 제 10 항에 있어서,
    상기 배기 도관과 직렬로 연결된 필터를 더 포함하고, 상기 배기 도관은 상기 챔버 도어와 상기 필터 사이에 있고 그리고 상기 필터 위에서 진행하는(progress),
    전자 디바이스 프로세싱 시스템.
  12. 제 1 항에 있어서,
    상기 팩토리 인터페이스 내의 온도를 검출하기 위한 제 3 센서를 더 포함하는,
    전자 디바이스 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 프로세서는 상기 제 3 센서에 의한 검출에 기반하여 상기 팩토리 인터페이스 챔버 내의 온도를 제어하는,
    전자 디바이스 프로세싱 시스템.
  14. 제 1 항에 있어서,
    상기 하나 또는 그 초과의 조건들은 수행될 기판 프로세스의 타입과 연관되는,
    전자 디바이스 프로세싱 시스템.
  15. 방법으로서,
    비활성 가스가 팩토리 인터페이스의 팩토리 인터페이스 챔버 내로 제공되게 하는 단계;
    상기 팩토리 인터페이스 챔버로부터 배기되는 상기 비활성 가스가 상기 팩토리 인터페이스 챔버 내로 다시 순환되게 하는 단계;
    상기 팩토리 인터테이스에 커플링된 하나 또는 그 초과의 기판 캐리어들의 캐리어 도어를 개방하기 전에 만족되어야 할 하나 또는 그 초과의 조건들을 식별하는 단계 ― 상기 하나 또는 그 초과의 조건들은 상기 팩토리 인터페이스 챔버 내의 습도 레벨 및 상기 팩토리 인터페이스 챔버 내의 상기 산소 레벨 중 하나 또는 그 초과와 관련됨 ―;
    습도 센서에 의한 검출에 기반하여 상기 팩토리 인터페이스 챔버 내의 상기 습도 레벨; 또는
    산소 센서에 의한 검출에 기반하여 상기 팩토리 인터페이스 챔버 내의 상기 산소 레벨
    중 적어도 하나를 제어하는 단계; 및
    상기 식별된 하나 또는 그 초과의 조건들이 만족된다는 결정에 응답하여, 상기 하나 또는 그 초과의 기판 캐리어들의 상기 캐리어 도어로 하여금 상기 팩토리 인터페이스 챔버와 상기 기판 캐리어들 사이에서 기판들을 통과시킬 수 있게 하는 단계
    를 포함하는,
    방법.
  16. 제 15 항에 있어서,
    유량 센서에 의한, 상기 팩토리 인터페이스 챔버 내로 제공되는 상기 비활성 가스의 유량의 검출에 기반하여 상기 팩토리 인터페이스 챔버에서의 상기 비활성 가스의 소비를 모니터링하는 단계를 더 포함하는,
    방법.
  17. 제 15 항에 있어서,
    온도 센서에 의한 검출에 기반하여 상기 팩토리 인터페이스 챔버 내의 온도를 제어하는 단계를 더 포함하는,
    방법.
  18. 제 15 항에 있어서,
    상기 하나 또는 그 초과의 조건들은 수행될 기판 프로세스의 타입과 연관되는,
    방법.
  19. 제 16 항에 있어서,
    상기 팩토리 인터페이스는 배기 도관에 커플링되고, 상기 비활성 가스는 상기 배기 도관을 거쳐 적어도 부분적으로 순환되는,
    방법.
  20. 환경 제어 시스템으로서,
    메모리; 및
    상기 메모리에 커플링된 프로세서
    를 포함하고, 상기 프로세서는:
    비활성 가스가 팩토리 인터페이스의 팩토리 인터페이스 챔버 내로 제공되게 하고;
    상기 팩토리 인터페이스 챔버로부터 배기되는 상기 비활성 가스가 상기 팩토리 인터페이스 챔버 내로 다시 순환되게 하고;
    상기 팩토리 인터테이스에 커플링된 하나 또는 그 초과의 기판 캐리어들의 캐리어 도어를 개방하기 전에 만족되어야 할 하나 또는 그 초과의 조건들을 식별하고 ― 상기 하나 또는 그 초과의 조건들은 상기 팩토리 인터페이스 챔버 내의 습도 레벨 및 상기 팩토리 인터페이스 챔버 내의 산소 레벨 중 하나 또는 그 초과와 관련됨 ―;
    습도 센서에 의한 검출에 기반하여 상기 팩토리 인터페이스 챔버 내의 상기 습도 레벨; 또는
    산소 센서에 의한 검출에 기반하여 상기 팩토리 인터페이스 챔버 내의 상기 산소 레벨
    중 적어도 하나를 제어하고; 그리고
    상기 식별된 하나 또는 그 초과의 조건들이 만족된다는 결정에 응답하여, 상기 팩토리 인터페이스 챔버와 상기 기판 캐리어들 사이에서 기판들이 통과할 수 있게 하도록 상기 하나 또는 그 초과의 기판 캐리어들의 상기 캐리어 도어를 개방하는,
    환경 제어 시스템.
KR1020227028568A 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들 KR20220120714A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361865046P 2013-08-12 2013-08-12
US61/865,046 2013-08-12
PCT/US2014/050561 WO2015023591A1 (en) 2013-08-12 2014-08-11 Substrate processing systems, apparatus, and methods with factory interface environmental controls
KR1020217027565A KR102435429B1 (ko) 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217027565A Division KR102435429B1 (ko) 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들

Publications (1)

Publication Number Publication Date
KR20220120714A true KR20220120714A (ko) 2022-08-30

Family

ID=52449296

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020227028568A KR20220120714A (ko) 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
KR1020197024815A KR102297447B1 (ko) 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
KR1020167006455A KR20160043027A (ko) 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
KR1020187024379A KR102234464B1 (ko) 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
KR1020217027565A KR102435429B1 (ko) 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020197024815A KR102297447B1 (ko) 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
KR1020167006455A KR20160043027A (ko) 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
KR1020187024379A KR102234464B1 (ko) 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
KR1020217027565A KR102435429B1 (ko) 2013-08-12 2014-08-11 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들

Country Status (6)

Country Link
US (4) US10192765B2 (ko)
JP (5) JP6526660B6 (ko)
KR (5) KR20220120714A (ko)
CN (6) CN117174611A (ko)
TW (5) TW202245114A (ko)
WO (1) WO2015023591A1 (ko)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220120714A (ko) 2013-08-12 2022-08-30 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
WO2016003630A1 (en) * 2014-07-02 2016-01-07 Applied Materials, Inc Temperature control apparatus including groove-routed optical fiber heating, substrate temperature control systems, electronic device processing systems, and processing methods
KR20210080633A (ko) * 2014-11-25 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 및 퍼지 챔버 환경 제어들을 이용하는 기판 프로세싱 시스템들, 장치, 및 방법들
JP6458595B2 (ja) * 2015-03-27 2019-01-30 東京エレクトロン株式会社 成膜装置及び成膜方法並びに記憶媒体
CN107636243A (zh) 2015-05-22 2018-01-26 应用材料公司 包括磁性门密封件的基板载体门组件、基板载体和方法
TWI746204B (zh) 2015-08-04 2021-11-11 日商昕芙旎雅股份有限公司 門開閉系統及具備門開閉系統之載入埠
TWI727562B (zh) * 2015-08-04 2021-05-11 日商昕芙旎雅股份有限公司 裝載埠
KR20180059914A (ko) * 2015-10-05 2018-06-05 브룩스 씨씨에스 게엠베하 반도체 시스템의 습도 제어
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
JP6903883B2 (ja) * 2016-09-09 2021-07-14 Tdk株式会社 容器内清浄化装置
JP6842156B2 (ja) * 2016-10-25 2021-03-17 株式会社システック 防爆恒温槽
US10159169B2 (en) 2016-10-27 2018-12-18 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
US10541165B2 (en) 2016-11-10 2020-01-21 Applied Materials, Inc. Systems, apparatus, and methods for an improved load port backplane
US10262884B2 (en) 2016-11-10 2019-04-16 Applied Materials, Inc. Systems, apparatus, and methods for an improved load port
US10453726B2 (en) * 2016-11-10 2019-10-22 Applied Materials, Inc. Electronic device manufacturing load port apparatus, systems, and methods
US10453727B2 (en) 2016-11-10 2019-10-22 Applied Materials, Inc. Electronic device manufacturing load port apparatus, systems, and methods
US10741432B2 (en) 2017-02-06 2020-08-11 Applied Materials, Inc. Systems, apparatus, and methods for a load port door opener
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10446428B2 (en) 2017-03-14 2019-10-15 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
US10796935B2 (en) 2017-03-17 2020-10-06 Applied Materials, Inc. Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in loadlocks
US11133208B2 (en) * 2017-05-31 2021-09-28 Tdk Corporation EFEM and method of introducing dry air thereinto
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US11171028B2 (en) 2017-06-23 2021-11-09 Applied Materials, Inc. Indexable side storage pod apparatus, heated side storage pod apparatus, systems, and methods
US10763134B2 (en) * 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
JP7125589B2 (ja) 2018-03-15 2022-08-25 シンフォニアテクノロジー株式会社 Efemシステム及びefemシステムにおけるガス供給方法
US10403514B1 (en) * 2018-04-12 2019-09-03 Asm Ip Holding B.V. Substrate transporting system, storage medium and substrate transporting method
US20190362989A1 (en) * 2018-05-25 2019-11-28 Applied Materials, Inc. Substrate manufacturing apparatus and methods with factory interface chamber heating
JP6876020B2 (ja) * 2018-07-27 2021-05-26 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法並びにプログラム
US10529602B1 (en) * 2018-11-13 2020-01-07 Applied Materials, Inc. Method and apparatus for substrate fabrication
US11244844B2 (en) * 2018-10-26 2022-02-08 Applied Materials, Inc. High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods
US11189511B2 (en) * 2018-10-26 2021-11-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating EFEMs
US11373891B2 (en) 2018-10-26 2022-06-28 Applied Materials, Inc. Front-ducted equipment front end modules, side storage pods, and methods of operating the same
JP7221110B2 (ja) * 2019-03-28 2023-02-13 株式会社Screenホールディングス 基板処理装置
TWI737996B (zh) * 2019-05-16 2021-09-01 華景電通股份有限公司 晶圓載具監控系統及其監控方法
JP7379042B2 (ja) 2019-09-20 2023-11-14 東京エレクトロン株式会社 真空搬送装置および真空搬送装置の制御方法
CN111090295A (zh) * 2019-12-31 2020-05-01 北京海岚科技有限公司 Efem中环境参数的控制方法及控制系统
US11810805B2 (en) * 2020-07-09 2023-11-07 Applied Materials, Inc. Prevention of contamination of substrates during gas purging
US20220199436A1 (en) * 2020-12-23 2022-06-23 Applied Materials, Inc. Semiconductor processing tool platform configuration with reduced footprint
JP7154325B2 (ja) * 2021-01-20 2022-10-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11854851B2 (en) * 2021-03-05 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interface tool
WO2022201831A1 (ja) * 2021-03-25 2022-09-29 株式会社Screenホールディングス 処理液キャビネットの排気制御方法および基板処理装置
KR102592612B1 (ko) 2021-08-24 2023-10-24 (주)옵토레인 분자 진단 카트리지
JP7430677B2 (ja) * 2021-09-21 2024-02-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
WO2024081135A1 (en) * 2022-10-10 2024-04-18 Lam Research Corporation Purging toxic and corrosive material from substrate processing chambers

Family Cites Families (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3688540A (en) * 1969-07-29 1972-09-05 Superior Tube Co Tube rolling mill employing a tapered mandrel and a cluster of rolls that each have specially designed tube contacting grooves
JPS62222625A (ja) * 1986-03-25 1987-09-30 Shimizu Constr Co Ltd 半導体製造装置
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
JPH05326421A (ja) * 1992-05-20 1993-12-10 Fujitsu Ltd 成膜方法
JPH0634479A (ja) 1992-07-16 1994-02-08 Toshiba Ceramics Co Ltd 半導体用熱処理炉のガスリーク検知方法および装置
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
JP2626516B2 (ja) * 1993-11-15 1997-07-02 日本電気株式会社 分子線結晶成長装置
JPH07226382A (ja) * 1994-02-10 1995-08-22 Tokyo Electron Ltd 熱処理装置
JP2806919B2 (ja) 1996-12-25 1998-09-30 日本電気ファクトリエンジニアリング株式会社 恒温槽
JPH10270535A (ja) 1997-03-25 1998-10-09 Nikon Corp 移動ステージ装置、及び該ステージ装置を用いた回路デバイス製造方法
JP3839555B2 (ja) * 1997-06-05 2006-11-01 高砂熱学工業株式会社 局所密閉型清浄装置
JP3425592B2 (ja) 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
JPH11312640A (ja) 1998-02-25 1999-11-09 Canon Inc 処理装置および該処理装置を用いたデバイス製造方法
JP2000058619A (ja) * 1998-08-07 2000-02-25 Kokusai Electric Co Ltd 基板処理装置及び基板処理方法
JP2000150613A (ja) 1998-11-17 2000-05-30 Tokyo Electron Ltd 被処理体の搬送装置
JP2000296309A (ja) 1999-04-12 2000-10-24 Daikin Ind Ltd 半導体製造システム
US6877219B1 (en) * 1999-10-26 2005-04-12 Air Liquide America, L.P. Apparatus for placing components on printed circuit boards
AU2001273666A1 (en) 2000-07-07 2002-01-21 Applied Materials, Inc. Automatic door opener
KR100745867B1 (ko) * 2000-08-23 2007-08-02 동경 엘렉트론 주식회사 수직열처리장치 및 피처리체를 운송하는 방법
US6690993B2 (en) 2000-10-12 2004-02-10 R. Foulke Development Company, Llc Reticle storage system
JP3939101B2 (ja) 2000-12-04 2007-07-04 株式会社荏原製作所 基板搬送方法および基板搬送容器
JP2002350925A (ja) * 2001-05-30 2002-12-04 Fuji Photo Film Co Ltd カメラの絞り切換え装置
US6585470B2 (en) 2001-06-19 2003-07-01 Brooks Automation, Inc. System for transporting substrates
US20030031538A1 (en) 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
CN100435269C (zh) 2001-07-15 2008-11-19 应用材料有限公司 处理系统
US6819517B2 (en) * 2001-07-31 2004-11-16 Seagate Technology Llc Disc drive servo track writer gas leak detector and method
JP3880343B2 (ja) * 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
US6672864B2 (en) * 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US6828234B2 (en) * 2002-03-26 2004-12-07 Applied Materials, Inc. RTP process chamber pressure control
JP4218821B2 (ja) 2002-06-11 2009-02-04 株式会社日立国際電気 基板処理装置
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6955197B2 (en) 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
US7258520B2 (en) 2002-08-31 2007-08-21 Applied Materials, Inc. Methods and apparatus for using substrate carrier movement to actuate substrate carrier door opening/closing
US20040081546A1 (en) 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US20040069409A1 (en) * 2002-10-11 2004-04-15 Hippo Wu Front opening unified pod door opener with dust-proof device
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
KR20040064326A (ko) 2003-01-10 2004-07-19 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치
JP2004235516A (ja) 2003-01-31 2004-08-19 Trecenti Technologies Inc ウエハ収納治具のパージ方法、ロードポートおよび半導体装置の製造方法
KR100505061B1 (ko) * 2003-02-12 2005-08-01 삼성전자주식회사 기판 이송 모듈
TWI228750B (en) 2003-02-25 2005-03-01 Samsung Electronics Co Ltd Apparatus and method for processing wafers
KR100562500B1 (ko) 2003-02-25 2006-03-21 삼성전자주식회사 기판 이송 시스템 및 기판 이송 방법
JP4468021B2 (ja) 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
JP3902583B2 (ja) 2003-09-25 2007-04-11 Tdk株式会社 可搬式密閉容器内部のパージシステムおよびパージ方法
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
KR100583726B1 (ko) * 2003-11-12 2006-05-25 삼성전자주식회사 기판 처리 장치 및 기판 처리 방법
JP4451221B2 (ja) 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
US7611319B2 (en) 2004-06-16 2009-11-03 Applied Materials, Inc. Methods and apparatus for identifying small lot size substrate carriers
EP1780785A4 (en) * 2004-06-21 2009-04-01 Right Mfg Co Ltd LOADING PORT
US9010384B2 (en) * 2004-06-21 2015-04-21 Right Mfg. Co. Ltd. Load port
KR100583730B1 (ko) 2004-06-29 2006-05-26 삼성전자주식회사 기판 이송 시스템 및 상기 시스템의 프레임 내 압력을조절하는 방법
JP2006019726A (ja) 2004-06-29 2006-01-19 Samsung Electronics Co Ltd ウェーハ移送システム及びシステム内の圧力調整方法
KR20070058445A (ko) * 2004-07-02 2007-06-08 스트라스바흐, 인코포레이티드 웨이퍼 처리 방법 및 시스템
FR2874744B1 (fr) 2004-08-30 2006-11-24 Cit Alcatel Interface sous vide entre une boite de mini-environnement et un equipement
US20080236487A1 (en) * 2004-09-15 2008-10-02 Hitachi Kokusai Electric Inc., Semiconductor Manufacturing Apparatus And Semiconductor Device Manufacturing Method
US20060240680A1 (en) * 2005-04-25 2006-10-26 Applied Materials, Inc. Substrate processing platform allowing processing in different ambients
JP4541232B2 (ja) 2005-06-16 2010-09-08 東京エレクトロン株式会社 処理システム及び処理方法
US20070116545A1 (en) 2005-11-21 2007-05-24 Applied Materials, Inc. Apparatus and methods for a substrate carrier having an inflatable seal
US20070140822A1 (en) 2005-12-16 2007-06-21 Applied Materials, Inc. Methods and apparatus for opening and closing substrate carriers
KR20080087880A (ko) 2006-01-11 2008-10-01 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 세정 방법 및 장치
KR100765850B1 (ko) 2006-04-18 2007-10-29 뉴영엠테크 주식회사 반도체 제조장치의 질소가스충전용 후프오프너
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
KR101217516B1 (ko) * 2006-07-11 2013-01-02 주성엔지니어링(주) 클러스터 툴
JP4832276B2 (ja) 2006-12-25 2011-12-07 株式会社アルバック 基板吸着システムおよび半導体製造装置
JP4973267B2 (ja) * 2007-03-23 2012-07-11 東京エレクトロン株式会社 基板搬送装置、基板搬送モジュール、基板搬送方法及び記憶媒体
US20080276867A1 (en) * 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
KR101613836B1 (ko) 2007-05-17 2016-04-21 브룩스 오토메이션 인코퍼레이티드 측면 개방형 기판 캐리어 및 로드 포트
TWI475627B (zh) * 2007-05-17 2015-03-01 Brooks Automation Inc 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
JP4309935B2 (ja) 2007-07-31 2009-08-05 Tdk株式会社 密閉容器の蓋開閉システム及び当該システムを用いた基板処理方法
US8443484B2 (en) 2007-08-14 2013-05-21 Hitachi Kokusai Electric Inc. Substrate processing apparatus
JP4359640B2 (ja) * 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
JP2009088437A (ja) 2007-10-03 2009-04-23 Tokyo Electron Ltd 被処理体の導入ポート機構及び処理システム
WO2009055507A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
WO2009055612A1 (en) 2007-10-27 2009-04-30 Applied Materials, Inc. Sealed substrate carriers and systems and methods for transporting substrates
JP2009117554A (ja) * 2007-11-05 2009-05-28 Hitachi Kokusai Electric Inc 基板処理装置
US20090179366A1 (en) 2008-01-16 2009-07-16 Sokudo Co., Ltd. Apparatus for supporting a substrate during semiconductor processing operations
CN101911253B (zh) * 2008-01-31 2012-08-22 应用材料公司 闭环mocvd沉积控制
US9091491B2 (en) * 2008-02-22 2015-07-28 Applied Materials, Inc. Cooling plates and semiconductor apparatus thereof
JP4577663B2 (ja) 2008-03-04 2010-11-10 Tdk株式会社 パージ制御装置及びそれを備えるロードボート装置
US8827695B2 (en) 2008-06-23 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer's ambiance control
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR20100060513A (ko) 2008-11-27 2010-06-07 세메스 주식회사 압력 리크 모니터링 유니트를 갖는 디스플레이 제조 장치
KR20100062392A (ko) 2008-12-02 2010-06-10 삼성전자주식회사 반도체 제조설비 및 그의 제조방법
US8784033B2 (en) 2009-01-11 2014-07-22 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates
CN102414786B (zh) * 2009-04-28 2016-08-24 应用材料公司 在原位清洁后利用nh3净化对mocvd腔室进行去污染处理
US8591809B2 (en) 2010-03-15 2013-11-26 Samsung Electronics Co., Ltd. Substrate transfer container, gas purge monitoring tool, and semiconductor manufacturing equipment with the same
US8564237B2 (en) * 2010-06-17 2013-10-22 General Electric Company Seal leakage and seal oil contamination detection in generator
JP5768337B2 (ja) 2010-07-07 2015-08-26 シンフォニアテクノロジー株式会社 ロードポート
KR20120013588A (ko) 2010-08-05 2012-02-15 한국과학기술연구원 이산화탄소 회수장치
JP2012069542A (ja) 2010-09-21 2012-04-05 Hitachi High-Technologies Corp 真空処理システム
JP2012094822A (ja) 2010-09-30 2012-05-17 Shibaura Mechatronics Corp 密閉型容器及び半導体製造装置
EP2444993A1 (en) 2010-10-21 2012-04-25 Applied Materials, Inc. Load lock chamber, substrate processing system and method for venting
JP5617708B2 (ja) 2011-03-16 2014-11-05 東京エレクトロン株式会社 蓋体開閉装置
JP2012204645A (ja) 2011-03-25 2012-10-22 Tokyo Electron Ltd 蓋体開閉装置
WO2012133441A1 (ja) * 2011-03-28 2012-10-04 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
JP5729148B2 (ja) 2011-06-07 2015-06-03 東京エレクトロン株式会社 基板搬送容器の開閉装置、蓋体の開閉装置及び半導体製造装置
KR20120136881A (ko) 2011-06-10 2012-12-20 동우 화인켐 주식회사 결정성 실리콘 웨이퍼의 텍스쳐 에칭액 조성물 및 텍스쳐 에칭방법
JP5925474B2 (ja) 2011-12-06 2016-05-25 株式会社日立ハイテクマニファクチャ&サービス ウエハ処理装置
JP5527624B2 (ja) 2012-01-05 2014-06-18 株式会社ダイフク 保管棚用の不活性ガス注入装置
CN202888140U (zh) 2012-07-05 2013-04-17 圣凰科技有限公司 具有监控排气端气体特性功能的晶片载具气体填充装置
JP2014038888A (ja) 2012-08-10 2014-02-27 Hitachi High-Tech Control Systems Corp ミニエンバイロメント装置及びその内部雰囲気置換方法
JP5993252B2 (ja) 2012-09-06 2016-09-14 東京エレクトロン株式会社 蓋体開閉装置及びこれを用いた熱処理装置、並びに蓋体開閉方法
TWI534929B (zh) * 2012-10-23 2016-05-21 日立國際電氣股份有限公司 基板處理設備、清除設備、製造半導體裝置的方法及記錄媒體
JP6099945B2 (ja) 2012-11-22 2017-03-22 東京エレクトロン株式会社 蓋開閉機構、遮蔽機構及び容器の内部パージ方法
US20140262028A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10115616B2 (en) 2013-07-18 2018-10-30 Applied Materials, Inc. Carrier adapter insert apparatus and carrier adapter insert detection methods
KR20220120714A (ko) 2013-08-12 2022-08-30 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
US9214340B2 (en) 2014-02-05 2015-12-15 Applied Materials, Inc. Apparatus and method of forming an indium gallium zinc oxide layer
KR20210080633A (ko) 2014-11-25 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 및 퍼지 챔버 환경 제어들을 이용하는 기판 프로세싱 시스템들, 장치, 및 방법들
US10159169B2 (en) 2016-10-27 2018-12-18 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
US10763134B2 (en) 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
US20190362989A1 (en) 2018-05-25 2019-11-28 Applied Materials, Inc. Substrate manufacturing apparatus and methods with factory interface chamber heating

Also Published As

Publication number Publication date
TW201836040A (zh) 2018-10-01
KR20210111344A (ko) 2021-09-10
US11282724B2 (en) 2022-03-22
TWI784380B (zh) 2022-11-21
KR20160043027A (ko) 2016-04-20
CN117174610A (zh) 2023-12-05
TW202129804A (zh) 2021-08-01
CN110600399A (zh) 2019-12-20
WO2015023591A1 (en) 2015-02-19
TWI768244B (zh) 2022-06-21
JP2022017382A (ja) 2022-01-25
TW202015153A (zh) 2020-04-16
US20150045961A1 (en) 2015-02-12
TWI712096B (zh) 2020-12-01
US20190362997A1 (en) 2019-11-28
CN111508871A (zh) 2020-08-07
CN105453246A (zh) 2016-03-30
TW201519355A (zh) 2015-05-16
CN110600399B (zh) 2023-06-27
US11450539B2 (en) 2022-09-20
CN117174611A (zh) 2023-12-05
JP6526660B6 (ja) 2019-06-26
JP2020014008A (ja) 2020-01-23
KR20190101507A (ko) 2019-08-30
JP6968131B2 (ja) 2021-11-17
JP7453951B2 (ja) 2024-03-21
US10192765B2 (en) 2019-01-29
CN109671643B (zh) 2023-11-28
TWI632629B (zh) 2018-08-11
KR102234464B1 (ko) 2021-03-30
US20180366355A1 (en) 2018-12-20
JP2019016798A (ja) 2019-01-31
KR20180098421A (ko) 2018-09-03
TW202245114A (zh) 2022-11-16
JP2016527732A (ja) 2016-09-08
KR102297447B1 (ko) 2021-09-01
JP6526660B2 (ja) 2019-06-05
CN109671643A (zh) 2019-04-23
JP2024026171A (ja) 2024-02-28
KR102435429B1 (ko) 2022-08-22
JP6765398B2 (ja) 2020-10-07
US20220392789A1 (en) 2022-12-08

Similar Documents

Publication Publication Date Title
US20220392789A1 (en) Substrate processing systems, apparatus, and methods with factory interface environmental controls
US20210216054A1 (en) Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
KR102430903B1 (ko) 팩토리 인터페이스 챔버 필터 퍼지를 이용한 기판 프로세싱 장치 및 방법들

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]