JP3425592B2 - 処理装置 - Google Patents

処理装置

Info

Publication number
JP3425592B2
JP3425592B2 JP23022197A JP23022197A JP3425592B2 JP 3425592 B2 JP3425592 B2 JP 3425592B2 JP 23022197 A JP23022197 A JP 23022197A JP 23022197 A JP23022197 A JP 23022197A JP 3425592 B2 JP3425592 B2 JP 3425592B2
Authority
JP
Japan
Prior art keywords
clean room
pressure
gas
load lock
blower fan
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP23022197A
Other languages
English (en)
Other versions
JPH1163604A (ja
Inventor
義明 佐々木
輝雄 浅川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP23022197A priority Critical patent/JP3425592B2/ja
Priority to US09/131,280 priority patent/US6224679B1/en
Priority to KR10-1998-0032522A priority patent/KR100453090B1/ko
Priority to TW087113194A priority patent/TW386243B/zh
Publication of JPH1163604A publication Critical patent/JPH1163604A/ja
Application granted granted Critical
Publication of JP3425592B2 publication Critical patent/JP3425592B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、処理装置に関す
る。
【0002】
【従来の技術】半導体製造工程におけるウエハの処理は
現在の6インチあるいは8インチの半導体ウエハ(以
下、「単にウエハ」と称す。)から一気に300mmの
ウエハに移行する傾向にある。これに伴って半導体製造
装置は300mmウエハに対応したのものが開発されつ
つある。300mmウエハの時代は、ウエハが単に大口
径化、重量化するばかりではなく、ウエハに形成される
集積回路の線幅がサブクォータミクロン以下の超微細構
造になるため、各半導体製造工場では、クリーンルーム
の超清浄化技術やウエハの自動搬送化技術が益々重要に
なる。
【0003】ところで、8インチのウエハまでは各工程
間でウエハを搬送する時にはウエハを立てた状態でキャ
リアを搬送し、各工程の半導体製造装置に対する搬出入
を行う時にはウエハを水平にするのが一般的であった。
キャリアの装置内への搬出入はオペレータを介して行っ
たり、AGVを介して行ったりしている。しかし、30
0mmウエハの場合にはウエハを立てたまま搬送すると
自重や搬送時の振動等でウエハの下端部が損傷する虞が
あるため、ウエハを水平にした状態でキャリアを搬送
し、各工程の半導体製造装置に対する搬出入を行う時に
はそのままの水平状態で行うようになって来ている。そ
して、キャリアの装置内への搬出入は、ウエハの重量及
びパーティクル対策からオペレータを介して行うことが
難しくなるため、これらの作業の自動化が促進される。
【0004】また、8インチウエハまではキャリアを載
置したロードロック室を所定の真空度にした後、搬送室
を経由して所定の処理室へウエハを1枚ずつ移載するよ
うにしていた。ところが、300mmウエハになるとキ
ャリア容量が大きくなるため、ロードロック室を真空状
態にするまでに多大な時間が必要になると共に、真空引
きによりプラスチック製のキャリアから有機化合物ガス
等が不純物ガスとして放出されて装置内が汚染される虞
がある。そのため、300mm対応の処理装置の場合に
は、キャリア収納室とロードロック室の間に清浄室を配
置し、キャリア収納室内に載置されたキャリアからロー
ドロック内に配置された搬送装置を介してその内部へウ
エハを一旦取り出した後、ロードロック室を介して各処
理室に対してウエハを1枚ずつ搬送するようになる。従
って、キャリアの形態も300mmウエハに対応したも
のが要求される。現在のところ、キャリアとしては、例
えば大きく分けてオープン方式のキャリアと、キャリア
をポッド内に収納して蓋をする密閉方式のポッド(例え
ば、ユニファイド・ポッド)が考えられている。
【0005】さて、上記処理装置は、例えば図4に示す
ように、ウエハを13枚または25枚収納したポッドP
を載置するトレイ1と、後退したトレイ1上にポッドP
を載置した状態で収納するキャリア収納室2と、このキ
ャリア収納室2内のポッドPに対してウエハを纏めて搬
出入するウエハ搬送装置(図示せず)が配設されたロー
ドロック室3とを備えている。そして、キャリア収納室
2とロードロック室3の間には清浄室5が介在し、ウエ
ハの通過雰囲気を清浄な状態にしてある。また、ロード
ロック室3にはゲートバルブを介して搬送室4が接続さ
れ、搬送室4を介してロードロック3内のウエハを1枚
ずつウエハの処理室(図示せず)へ移載するようにして
ある。そして、この処理装置はクリーンルームR内でフ
ロントパネル6を介して共用領域R1側から区画された
施設領域R2内に設置されている。
【0006】また、上記クリーンルームRの天井にはU
LPAフィルタやHEPAフィルタ等の高性能フィルタ
Fが配設され、高性能フィルタFによって清浄化された
空気がクリーンルームR内を下降流で流れている。そし
て、清浄室5内に配設された吸引ファン(図示せず)に
より施設領域R2の空気を清浄室5内に導入し、吸引フ
ァンの下流側に配設された上述の高性能フィルタと同様
の高性能フィルタ(図示せず)によって空気を清浄化し
た後、この空気をその内部において矢印で示すように下
降流で流し、その底面側から外部へ排気している。ま
た、清浄室5内には図4に示すオープナ7が配設され、
オープナ7によってポッドPの蓋を開き、ロードロック
室3のウエハ搬送装置によってポッドP内のウエハWを
一括して清浄室5を経由してロードロック室3内へ移載
し、ロードロック室3内で全てのウエハWを水平状態で
保持するようにしてある。ウエハ搬送装置で保持された
ウエハWを搬送室4を介して処理室側へ移載するように
してある。尚、ロードロック室3及び搬送室4のウエハ
Wの搬出入口にはそれぞれ図示しないゲートバルブが配
設されている。
【0007】
【発明が解決しようとする課題】しかしながら、従来の
処理装置の場合には、施設領域R2内の空気を清浄室5
内に導入するようにしているため、種々の課題があっ
た。例えば、施設領域R2には共用領域R1とは異なり
種々の設備が設置されており、これらの設備からパーテ
ィクルが発生したり、それらの設備の塗装部から炭化水
素からなる有機溶媒等の有機系ガスが不純物ガスとして
発生し、これらの不純物によって施設領域R2の空気が
汚染されるため、施設領域R2の空気は共用領域R1の
空気と比較して清浄度が低下している。施設領域R2の
空気をそのまま清浄室5内に導入すると、パーティクル
は清浄室5内の高性能フィルタで除去できても不純物ガ
スは除去できず、不純物ガスがウエハW表面に付着し、
その後のウエハWの処理を阻害し、歩留を低下させる等
の問題があった。特に、ウエハWの加工が超微細化する
と、パーティクルや不純物ガスの影響が顕著になる。ま
た、場合によっては空気を導入すると空気中の酸素によ
ってウエハW表面に酸化被膜を作り、あるいは空気中の
水分がその後清浄室5を介してロードロック室3に流れ
込んでその壁面に付着し、ロードロック室3の真空排気
時間が長くなる等の悪影響を与える虞があった。
【0008】本発明は、上記課題を解決するためになさ
れたもので、被処理体の処理装置内での粒子及び不純物
ガスの付着を防止して歩留の低下を防止することができ
る処理装置を提供することを目的としている。
【0009】
【課題を解決するための手段】本発明の請求項1に記載
の処理装置は隔壁によって共用領域から区画された
領域内に設置され、上記共用領域から被処理体搬送容
器によって搬送される被処理体を処理する処理装置にお
いて、上記共用領域から搬送される搬送容器が接続さ
れ、上記被処理体を上記搬送容器へまたは上記搬送容器
から搬送するための開口を有する清浄室と、上記清浄室
に隣接し、ゲートバルブを介して上記清浄室と連通し、
真空状態を形成し得るロードロック室と、上記搬送容器
と上記ロードロック室との間で上記被処理体を搬送する
ための搬送装置と、上記清浄室内に清浄な不活性気体を
導入する導入路と、上記清浄室内の圧力を正圧に維持す
るための圧力調整手段と、上記清浄室の上方位置に配置
され、上記清浄室内の気体を下方に流すための送風ファ
ンと、上記気体を上記清浄室の下方位置から上記送風フ
ァンの上流位置に循環させる循環ダクトと、上記清浄室
の上方位置において上記送風ファンの下流位置に配置さ
れ、上記気体から粒子を除去するための高性能フィルタ
と、上記清浄室の上方位置において上記送風ファンの下
流位置に配置され、上記気体から不純物ガスを除去する
ためのケミカルフィルタとを備え、上記導入路は、上記
清浄室内の送風ファンと2つのフィルタとの間に接続さ
れていることを特徴とするものである。
【0010】また、本発明の請求項2に記載の処理装置
は、請求項1に記載の発明において、上記圧力制御手段
は、上記導入路を流れるガス流量を調整するバルブと、
上記清浄室の圧力と大気圧との差圧を検出するための差
圧計とを備え、上記差圧に基づいて上記清浄室の圧力が
正圧となるように上記バルブの開度を制御することを特
徴とするものである。
【0011】また、本発明の請求項3に記載の処理装置
は、隔壁によって共用領域から区画された施設領域内に
設置され、上記共用領域から被処理体搬送容器によって
搬送される被処理体を処理する処理装置において、上記
共用領域から搬送される搬送容器が接続され、上記被処
理体を上記搬送容器へまたは上記搬送容器から搬送する
ための開口を有する清浄室と、上記清浄室に隣接し、ゲ
ートバルブを介して上記清浄室と連通し、真空状態を形
成し得るロードロック室と、上記搬送容器と上記ロード
ロック室との間で上記被処理体を搬送するための搬送装
置と、上記清浄室内に上記共用領域の清浄な空気を導入
する導入路と、上記清浄室内の圧力を正圧に維持するた
めの圧力調整手段と、上記清浄室の上方位置に配置さ
れ、上記清浄室内の気体を下方に流すための送風ファン
と、上記気体を上記清浄室の下方位置から上記送風ファ
ンの上流位置に循環させる循環ダクトと、上記清浄室の
上方位置において上記送風ファンの下流位置に配置さ
れ、上記気体から粒子を除去するための高性能フィルタ
と、上記清浄室の上方位置において上記送風ファンの下
流位置に配置され、上記気体から不純物ガスを除去する
ためのケミカルフィルタとを備え、上記導入路は、上記
清浄室内の送風ファンと2つのフィルタとの間に接続さ
れていることを特徴とするものである。
【0012】また、本発明の請求項4に記載の処理装置
は、請求項3に記載の発明において、上記圧力制御手段
は、上記共用領域から上記導入路に清浄空気を吸引する
ための吸引ファンと、上記導入路に吸引された清浄空気
の流量を調整するバルブと、上記清浄室の圧力と大気圧
との差圧を検出するための差圧計とを備え、上記差圧に
基づいて上記清浄室の圧力が正圧となるように上記バル
ブの開度を制御することを特徴とするものである。
【0013】
【発明の実施の形態】以下、図1、図2に示す実施形態
に基づいて本発明を説明する。本実施形態の処理装置1
0は、図1に示すように、ウエハを収納したポッドPを
載置するトレイ11と、このトレイ11が後退してその
上に載置されたポッドPを収納するキャリア収納室12
と、このキャリア収納室12内のポッドPに対してウエ
ハを纏めて搬出入するウエハ搬送装置13Aが配設され
たロードロック室13とを備えている。そして、キャリ
ア収納室12とロードロック室13の間には清浄室14
が介在している。また、ロードロック室13には搬送室
15が連通可能に接続され、搬送室15を経由してロー
ドロック室13内のウエハを1枚ずつウエハの処理室
(図示せず)側へ移載するようにしてある。この搬送室
15には複数の処理室が連通可能に接続され、例えばこ
の搬送室内の搬送装置を介してロードロック室13内の
ウエハWを一枚ずつ各処理室へ搬送するようにしてあ
る。尚、図1において13Bはウエハ搬送装置13Aの
駆動機構である。
【0014】上記ポッドPは、図2に示すように、本体
P1と、この本体P1の開口部を閉塞する蓋P2とを有
し、300mmのウエハを13枚または25枚収納す
る、PEEK(ポリエーテルエーテルケトン)等の合成
樹脂によって密閉可能な構造になっている。そして、ウ
エハ搬送時にはポッドP内に例えば窒素ガスを封入し、
内部をクリーンな環境にして外部から遮断すると共に、
ウエハの自然酸化を極力防止するようにしてある。ま
た、ポッドPの上面には被把持部P3が取り付けられ、
クリーンルームRの天井に配設されたレールに従って移
動するキャリア搬送装置によって被把持部P3を把持し
て各処理装置間でポッドPを搬送するようにしてある。
尚、図1において、Fは高性能フィルタである。
【0015】また、本実施形態の処理装置10の前面に
はフロントパネル16が配置され、このフロントパネル
16によってクリーンルームR内を共用領域R1と施設
領域R2内に区画している。フロントパネル16にはポ
ッドPをカセット収納室12に対して搬出入する搬出入
口16Aが形成され、この搬出入口16Aにおいて図1
で一点鎖線で示すようにトレイ11が進退動するように
してある。このトレイ11は上下複数段あり、昇降機構
17によって各トレイ11が搬出入口16Aで停止する
ようにしてある。そして、フロントパネル16には開閉
ドア16Bが配設され、この開閉ドア16Bは駆動機構
16Cによって矢印方向に移動して搬出入口16Aを開
閉するようにしてある。尚、共用領域R1は、オペレー
タが処理装置10の操作を行ったり、AGV等によりポ
ッドPを搬送する領域として形成されている。
【0016】上記清浄室14のカセット収納室12側の
壁面にはウエハを搬出入するウエハ搬出入口(図示せ
ず)が形成され、この搬出入口にポッドPの蓋P2が嵌
合するようにしてある。そして、このウエハ搬出入口の
下方にはオープナ18が配設され、このオープナ18に
よって図2に示すようにポッドPの蓋P2を開閉するよ
うにしてある。このオープナ18と対向する壁面には駆
動機構19Aによって駆動するゲートバルブ19が取り
付けられ、ウエハを搬出入する時にゲートバルブ19が
開き、ウエハを搬入した後にはゲートバルブ19でロー
ドロック室13を密閉するようにしてある。従って、オ
ープナ18によりポッドPの蓋P2を開放した後、ウエ
ハ搬送装置13Aが駆動してポッドPから13枚または
25枚のウエハを纏めてロードロック室13内に搬入
し、全ウエハを水平に保持する。そして、この状態で搬
送室15の搬送装置が駆動してウエハ搬送装置13Aか
らウエハを1枚ずつ取り出し、搬送室15を経由して各
種の処理室へ搬送し、各処理室内で例えばエッチング処
理、成膜処理等を施すようにしてある。
【0017】また、上記清浄室14の上部には例えば送
風ファン20、高性能フィルタ21及びケミカルフィル
タ22が上方から下方へ順次配設されている。高性能フ
ィルタ21は例えばULPAフィルタやHEPAフィル
タ等から構成されている。ケミカルフィルタ22は例え
ば粒子状や繊維状の活性炭等の吸着剤によって構成さ
れ、不純物ガスを化学吸着するものである。そして、清
浄室14の下部には例えば多数の孔が分散して形成され
た床面23が水平に配設され、送風ファン20によって
送風された下降流が床面23を通過し、この気流が循環
ダクト24を経由して清浄室14の送風ファン20上方
の上部空間14Bへ還流し、気流が清浄室14内で矢印
で示すように循環するようにしてある。また、清浄室1
4には例えば窒素、アルゴン等の不活性ガスを供給する
気体供給源(図示せず)が導入配管25を介して接続さ
れている。導入配管25の清浄室14側の端部は例えば
送風ファン20と高性能フィルタ21間に挿入され、送
風ファン20と高性能フィルタ21の間で不活性ガスを
全面に分散し、不活性ガスが高性能フィルタ21及びケ
ミカルフィルタ22を経由して清浄室14内で気流が乱
れることなく整然とした下降流で流れ、上述の経路で不
活性ガスを清浄室14内で略層流状態で循環させるよう
にしてある。しかも、この時の清浄室14内の不活性ガ
スの圧力が外部の大気圧より予め設定された所定値だけ
高い正圧になるようにしてある。
【0018】つまり、上記導入配管24には圧力調節バ
ルブ26が取り付けられ、また、上記清浄室14には室
内の気体の圧力と大気圧との差を検出する差圧計27が
取り付けられている。この差圧計27は清浄室14内の
不活性ガスの圧力を常に検出して大気圧との差を検出
し、清浄室14内の不活性ガスの圧力を常に大気圧より
高い正圧を保持するようにしてある。従って、仮に清浄
室14内の不活性ガスの圧力が大気圧に近づくか、それ
より低くなるようなことがあったらその検出値に基づい
て圧力調節バルブ25の開度を大きくして不活性ガスの
流入量を増加して清浄室14内の圧力を正圧に保つよう
にしてある。清浄室14内の不活性ガスの圧力を正圧に
することで、施設領域R2の空気が清浄室14内へ流れ
込まないようにしてある。
【0019】次に、本発明の気体の制御方法を処理装置
の動作と共に説明する。例えば、処理装置10を用いて
ウエハに所定の処理を施す場合にはまず、フロントパネ
ル16の開閉ドア16Bを開放して搬出入口16Aから
トレイ11を引き出した後、トレイ11上にポッドPを
載置する。次いで、トレイ11がキャリア収納室12内
へ後退すると共に駆動機構16Cが駆動して開閉ドア1
6Bで搬出入口16Aを閉じる。次いで、清浄室14内
のオープナ18が図2に示すように駆動してポッドPの
蓋P2を開放すると共にロードロック室13のゲートバ
ルブ19が駆動機構19Aを介して駆動して搬出入口を
開放する。これによりポッドPと清浄室14とロードロ
ック室13とが連通して一体化した空間になるが、清浄
室14内には不活性ガス例えば窒素ガスがその供給源か
ら供給されているため、ポッドP、清浄室14及びロー
ドロック室13が極めて清浄な窒素ガスの雰囲気にな
る。しかも、この時、清浄室14のみ正圧空間であるた
め、ポッドP、清浄室14及びロードロック室13が一
体化するとその空間の圧力が低下するが、圧力が低下し
て差圧が所定値より小さくなると差圧計27が作動し、
その差圧計27の検出信号に基づく指令信号によって圧
力調節バルブ26を開くか、その開度を大きくして窒素
ガスを空間内に補充し、一体化空間の圧力を所定の正圧
に保持する。
【0020】上述の正圧状態下でロードロック室13内
のウエハ搬送装置13Aが駆動機構13Bを介して駆動
し、ポッドP内のウエハを一括してロードロック室13
内へ搬入する。この際、ウエハが清浄室14を通過する
が、一体化空間内の窒素ガスは常時循環し、仮に、オー
プナ18やウエハ搬送装置13Aの可動部等からパーテ
ィクル等が発生したり、駆動機構の潤滑油等に起因した
有機系の不純物ガスが混入することがあっても、不活性
ガスが清浄室14内を循環する間にこれらの不純物は高
性能フィルタ21及びケミカルフィルタ22によって除
去されるため、これらの不純物がウエハ表面に付着し、
ウエハ処理の歩留を低下させる虞がない。また、ウエハ
搬送装置13Aで13枚または25枚のウエハを僅かな
隙間を介して水平に支持しているため、不活性ガスの下
降流がウエハ間に殆ど流入することがなく、全てのウエ
ハをポッドP内と同様に清浄なまま清浄室14を通過さ
せることができる。しかも、この空間は正圧状態になっ
ているため、清浄室14が完全な密閉構造になっていな
くてもクリーン度が低下した施設領域R2の空気が流れ
込む虞がなく、常に清浄な環境を保持し、ウエハを不純
物で汚染する虞はない。
【0021】このようにしてウエハをロードロック室1
3内に搬入すると、清浄室14ではオープナ18が駆動
してポッドPを蓋P2で密閉すると共にロードロック室
13ではゲートバルブ19が駆動機構19Aを介してロ
ードロック室13の搬出入口を閉じ、清浄室14とロー
ドロック室13間を遮断する。次いで、ロードロック室
13を真空引きし、その後、搬送室15のゲートバルブ
15Aが開くと共に処理室側の搬送室15のゲートバル
ブも開き、ロードロック室13と搬送室15とが連通す
る。そして、搬送室15の搬送装置が駆動してウエハ搬
送装置13Aで保持したウエハを一枚ずつ所定の処理室
へ搬送し、ウエハに対して所定の処理を施す。各処理室
内における所定の処理が終了すると、逆の経路を辿って
処理室からロードロック室13内のウエハ搬送装置13
Aの元の位置へウエハを戻す。全てのウエハについて所
定の処理が終了すると、上述した場合と逆の経路を辿っ
てロードロック室13からポッドP内へ全ウエハを戻
す。そして、ポッドPを次の工程へ移送する。
【0022】以上説明したように本実施形態によれば、
処理装置10は、共用領域R1から搬送されるポッドP
が接続され、ウエハをポッドPへまたはポッドPから搬
送するための開口を有する清浄室14と、この清浄室1
4に隣接し、ゲートバルブ19を介して清浄室14と連
通し、真空状態を形成し得るロードロック室13と、ポ
ッドPとロードロック室13との間でウエハを搬送する
ためのウエハ搬送装置13Aと、清浄室14内へ清浄な
不活性ガスを導入する導入配管25と、この導入配管2
5内の不活性ガスの流量を調節する圧力調節バルブ26
と、この圧力調節バルブ26で圧力調整された不活性ガ
スを循環ダクト24を介して清浄室14内で循環させる
送風ファン20と、この送風ファン20を介して循環す
るガスを清浄化する高性能フィルタ21及びケミカルフ
ィルタ22と、清浄室14内の圧力と大気圧との差を検
出する差圧計27とを備え、差圧計27の検出値に基づ
いて調節バルブ26の開度を調節し、清浄室14内の圧
力を正圧に保持しながら不活性ガスを清浄室14内で高
性能フィルタ21及びケミカルフィルタ22を通して循
環させて不活性ガス中のパーティクル及び不純物ガスを
除去するようにしたため、ウエハ搬送装置13Aを用い
てポッドPからロードロック室13へウエハを搬送する
際に、ウエハが清浄室14を通過してもウエハがパーテ
ィクルや不純物ガス等で汚染される虞がなく、今後ウエ
ハ処理が超微細化することがあってもウエハ処理の歩留
の低下を防止することができる。また、不活性ガス供給
源から不活性ガスを供給するため、不活性ガス中に水分
が含まれていないため、また、外部から混入する虞もな
いため、ロードロック室13の内壁面に水分が付着する
ことがなく、その真空排気時間が長くなることもなく、
水分起因した悪影響を防止することができる。
【0023】図3は本発明の他の実施形態を示す図であ
る。上記実施形態では清浄室14内に不活性ガスを導入
する場合について説明したが、本実施形態の処理装置1
0Aの場合には不活性ガスに代えて共用領域R1の清浄
な空気を清浄室14内へ導入する以外は上記実施形態に
準じて構成されている。尚、本実施形態においても上記
実施形態と同一または相当部分には同一符号を附し、本
実施形態の特徴のみ説明する。
【0024】即ち、フロントパネル16には空気導入口
16Dが形成され、この空気導入口16Dに導入配管2
5の一端が接続されている。この導入配管25には吸引
ファン28が取り付けられ、この吸引ファン28によっ
て共用領域R1の清浄な空気を吸引して清浄室14内へ
圧送し、清浄室14内の空気圧力を正圧に保持するよう
にしてある。そして、導入配管25の他端は上記実施形
態と同様に清浄室14の送風ファン20と高性能フィル
タ21間に挿入されている。また、上記実施形態と同様
に、導入配管25には圧力調節バルブ26が取り付けら
れ、清浄室14には差圧計27が取り付けられている。
清浄室14内に共用領域R1内の空気を導入するのは、
この共用領域R1の空気が施設領域R2の空気のように
炭化水素ガス等の有機系の不純物ガスによって汚染され
ている可能性が低いためである。従って、清浄室14内
に共用領域R1の空気を導入しても、清浄室14におい
てウエハが不純物ガスによって汚染される虞がない。但
し、ウエハが多少ではあるが自然酸化膜が形成される虞
がある。更に、共用領域R1の空気に不純物ガスが混入
するような場合には、共用領域R1の天井の高性能フィ
ルタFの下側にケミカルフィルタF1を取り付けても良
い。従って、僅かの自然酸化膜がその後の処理に支障を
来す場合には上記実施形態の処理装置10を適用すれば
良い。
【0025】従って、本実施形態の処理装置10A内の
気体の制御方法の場合には、クリーンルームR内の共用
領域R1から清浄な空気を清浄室14内に導入し、清浄
室14内の空気の圧力を正圧に保持しながら導入空気を
フィルタを通して循環させるため、仮に、空気中にパー
ティクルや不純物ガスが何等かの原因で混入したとして
も、これらの不純物を高性能フィルタ21及びケミカル
フィルタ22によって確実に除去することができ、ウエ
ハ処理の歩留を低下させる虞はない。その他は上記実施
形態と同様の作用効果を期することができる。
【0026】尚、本発明は上記各実施形態に何等制限さ
れるものではなく、要は清浄な気体を清浄室14内へ導
入し、その気体の圧力を正圧に保持しながら導入気体を
フィルタを通して循環させる技術であれば本願発明に包
含される。また、被処理体はウエハに限らず、ガラス基
板等であっても良い。
【0027】
【発明の効果】本発明の請求項1〜請求項4に記載の発
明によれば、被処理体の処理装置内での粒子及び不純物
ガスの付着を防止して歩留の低下を防止することができ
る処理装置を提供することができる。
【図面の簡単な説明】
【図1】本発明の処理装置の一実施形態のウエハの搬出
入部を示す断面図である。
【図2】図1に示すオープナでポッドの蓋を開放する状
態を示す斜視図である。
【図3】本発明の処理装置の他の実施形態のウエハの搬
出入部を示す図1相当図である。
【図4】従来の処理装置の一例を示す図1相当図である
【符号の説明】
10 処理装置 10A 処理装置 12 キャリア収納室 13 ロードロック室 13A ウエハ搬送装置 14 清浄室 16 フロントパネル(隔壁) 20 送風ファン(送風手段) 21 高性能フィルタ(フィルタ) 22 ケミカルフィルタ(フィルタ) 24 循環ダクト(循環路) 25 導入配管(導入路) 26 圧力調節バルブ 27 差圧計 R クリーンルーム R1 共用領域 R2 施設領域 W ウエハ(被処理体)
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平7−297257(JP,A) 特開 平7−106406(JP,A) 特開 平4−147609(JP,A) 特開 平8−88155(JP,A) 実開 昭61−111518(JP,U) (58)調査した分野(Int.Cl.7,DB名) F24F 7/04 - 7/06

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】 隔壁によって共用領域から区画された
    領域内に設置され、上記共用領域から被処理体搬送容
    器によって搬送される被処理体を処理する処理装置にお
    いて、上記共用領域から搬送される搬送容器が接続さ
    れ、上記被処理体を上記搬送容器へまたは上記搬送容器
    から搬送するための開口を有する清浄室と、上記清浄室
    に隣接し、ゲートバルブを介して上記清浄室と連通し、
    真空状態を形成し得るロードロック室と、上記搬送容器
    と上記ロードロック室との間で上記被処理体を搬送する
    ための搬送装置と、上記清浄室内に清浄な不活性気体を
    導入する導入路と、上記清浄室内の圧力を正圧に維持す
    るための圧力調整手段と、上記清浄室の上方位置に配置
    され、上記清浄室内の気体を下方に流すための送風ファ
    ンと、上記気体を上記清浄室の下方位置から上記送風フ
    ァンの上流位置に循環させる循環ダクトと、上記清浄室
    の上方位置において上記送風ファンの下流位置に配置さ
    れ、上記気体から粒子を除去するための高性能フィルタ
    と、上記清浄室の上方位置において上記送風ファンの下
    流位置に配置され、上記気体から不純物ガスを除去する
    ためのケミカルフィルタとを備え、上記導入路は、上記
    清浄室内の送風ファンと2つのフィルタとの間に接続さ
    れていることを特徴とする処理装置
  2. 【請求項2】 上記圧力制御手段は、上記導入路を流れ
    るガス流量を調整するバルブと、上記清浄室の圧力と大
    気圧との差圧を検出するための差圧計とを備え、上記差
    圧に基づいて上記清浄室の圧力が正圧となるように上記
    バルブの開度を制御することを特徴とする請求項1に記
    載の処理装置
  3. 【請求項3】 隔壁によって共用領域から区画された
    領域内に設置され、上記共用領域から被処理体搬送容
    器によって搬送される被処理体を処理する処理装置にお
    いて、上記共用領域から搬送される搬送容器が接続さ
    れ、上記被処理体を上記搬送容器へまたは上記搬送容器
    から搬送するための開口を有する清浄室と、上記清浄室
    に隣接し、ゲートバルブを介して上記清浄室と連通し、
    真空状態を形成し得るロードロック室と、上記搬送容器
    と上記ロードロック室との間で上記被処理体を搬送する
    ための搬送装置と、上記清浄室内に上記共用領域の清浄
    な空気を導入する導入路と、上記清浄室内の圧力を正圧
    維持するための圧力調整手段 と、上記清浄室の上方位
    置に配置され、上記清浄室内の気体を下方に流すための
    送風ファンと、上記気体を上記清浄室の下方位置から上
    記送風ファンの上流位置に循環させる循環ダクトと、上
    記清浄室の上方位置において上記送風ファンの下流位置
    に配置され、上記気体から粒子を除去するための高性能
    フィルタと、上記清浄室の上方位置において上記送風フ
    ァンの下流位置に配置され、上記気体から不純物ガスを
    除去するためのケミカルフィルタとを備え、上記導入路
    は、上記清浄室内の送風ファンと2つのフィルタとの間
    に接続されていることを特徴とする処理装置。
  4. 【請求項4】 上記圧力制御手段は、上記共用領域から
    上記導入路に清浄空気を吸引するための吸引ファンと、
    上記導入路に吸引された清浄空気の流量を調整するバル
    ブと、上記清浄室の圧力と大気圧との差圧を検出するた
    めの差圧計とを備え、上記差圧に基づいて上記清浄室の
    圧力が正圧となるように上記バルブの開度を制御する
    とを特徴とする請求項3に記載の処理装置。
JP23022197A 1997-08-12 1997-08-12 処理装置 Expired - Fee Related JP3425592B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP23022197A JP3425592B2 (ja) 1997-08-12 1997-08-12 処理装置
US09/131,280 US6224679B1 (en) 1997-08-12 1998-08-10 Controlling gas in a multichamber processing system
KR10-1998-0032522A KR100453090B1 (ko) 1997-08-12 1998-08-11 처리장치및처리장치내의기체의제어방법
TW087113194A TW386243B (en) 1997-08-12 1998-08-11 Processing system and method of controlling gas in processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP23022197A JP3425592B2 (ja) 1997-08-12 1997-08-12 処理装置

Publications (2)

Publication Number Publication Date
JPH1163604A JPH1163604A (ja) 1999-03-05
JP3425592B2 true JP3425592B2 (ja) 2003-07-14

Family

ID=16904460

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23022197A Expired - Fee Related JP3425592B2 (ja) 1997-08-12 1997-08-12 処理装置

Country Status (4)

Country Link
US (1) US6224679B1 (ja)
JP (1) JP3425592B2 (ja)
KR (1) KR100453090B1 (ja)
TW (1) TW386243B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8469650B2 (en) 2004-06-29 2013-06-25 Samsung Electronics Co., Ltd. Method of controlling pressure in a wafer transfer system
US10403514B1 (en) 2018-04-12 2019-09-03 Asm Ip Holding B.V. Substrate transporting system, storage medium and substrate transporting method

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11204411A (ja) * 1998-01-19 1999-07-30 Nikon Corp 塗布現像露光装置
KR100307628B1 (ko) * 1999-04-03 2001-10-29 윤종용 반도체 제조설비의 청정방법 및 이를 적용한 반도체 제조 설비
JP4260298B2 (ja) * 1999-07-27 2009-04-30 株式会社ルネサステクノロジ 半導体部品の製造方法
JP4731650B2 (ja) * 1999-12-21 2011-07-27 東京エレクトロン株式会社 半導体製造機器の換気方法及び換気設備
JP4246343B2 (ja) * 2000-01-06 2009-04-02 株式会社荏原製作所 ガス雰囲気形成装置及びガス雰囲気形成方法
JP3998386B2 (ja) * 2000-01-26 2007-10-24 三菱電機株式会社 液晶表示装置の製造装置および液晶表示装置の製造方法
JP2001284433A (ja) * 2000-01-28 2001-10-12 Sony Corp 基板移載装置及び基板移載方法
KR100627016B1 (ko) * 2000-07-03 2006-09-22 삼성전자주식회사 반도체 제조를 위한 크린룸
JP4560182B2 (ja) * 2000-07-06 2010-10-13 キヤノン株式会社 減圧処理装置、半導体製造装置およびデバイス製造方法
KR100741186B1 (ko) * 2000-08-23 2007-07-19 동경 엘렉트론 주식회사 피처리체의 처리시스템
JP2002252261A (ja) * 2001-02-23 2002-09-06 Nec Corp 半導体検査装置及び半導体露光装置
JP3960820B2 (ja) * 2001-03-01 2007-08-15 エーエスエムエル ネザーランズ ビー.ブイ. マスク引継ぎ方法およびデバイス製造方法
US6543981B1 (en) * 2001-03-30 2003-04-08 Lam Research Corp. Apparatus and method for creating an ultra-clean mini-environment through localized air flow augmentation
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
JP3880343B2 (ja) * 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
US6497734B1 (en) 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
TWI319123B (en) * 2002-02-22 2010-01-01 Asml Holding Nv System and method for using a two part cover for protecting a reticle
EP1506570A1 (en) * 2002-05-21 2005-02-16 ASM America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
JP4344593B2 (ja) * 2002-12-02 2009-10-14 ローツェ株式会社 ミニエンバイロメント装置、薄板状物製造システム及び清浄容器の雰囲気置換方法
KR100483428B1 (ko) * 2003-01-24 2005-04-14 삼성전자주식회사 기판 가공 장치
KR100505061B1 (ko) * 2003-02-12 2005-08-01 삼성전자주식회사 기판 이송 모듈
TWI228750B (en) * 2003-02-25 2005-03-01 Samsung Electronics Co Ltd Apparatus and method for processing wafers
KR100562500B1 (ko) * 2003-02-25 2006-03-21 삼성전자주식회사 기판 이송 시스템 및 기판 이송 방법
WO2005124853A1 (ja) * 2004-06-21 2005-12-29 Right Mfg,Co.,Ltd. ロードポート
US9010384B2 (en) 2004-06-21 2015-04-21 Right Mfg. Co. Ltd. Load port
JP4744175B2 (ja) * 2005-03-31 2011-08-10 東京エレクトロン株式会社 基板処理装置
US8353986B2 (en) 2005-03-31 2013-01-15 Tokyo Electron Limited Substrate processing apparatus
KR100702844B1 (ko) * 2005-11-14 2007-04-03 삼성전자주식회사 로드락 챔버 및 그를 이용한 반도체 제조설비
JP4584821B2 (ja) * 2005-12-14 2010-11-24 東京エレクトロン株式会社 真空処理装置及び帯状気流形成装置
JP2008032335A (ja) * 2006-07-31 2008-02-14 Hitachi High-Technologies Corp ミニエンバイロメント装置、検査装置、製造装置、及び空間の清浄化方法
JP4959457B2 (ja) * 2007-07-26 2012-06-20 東京エレクトロン株式会社 基板搬送モジュール及び基板処理システム
JP4359640B2 (ja) * 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
JP2009127981A (ja) * 2007-11-27 2009-06-11 Semiconductor Energy Lab Co Ltd クリーンルーム、成膜方法、および半導体装置の作製方法
JP4251580B1 (ja) 2008-01-08 2009-04-08 Tdk株式会社 被収容物搬送システム
JP2009266962A (ja) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
JP5794497B2 (ja) * 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 連結システム
US8891080B2 (en) 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
JP5806811B2 (ja) * 2010-10-01 2015-11-10 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
JP5085716B2 (ja) * 2010-11-02 2012-11-28 株式会社東芝 サーバ室管理用の空調システム、およびこれを利用したサーバ管理用システム、空調制御方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6024980B2 (ja) * 2012-10-31 2016-11-16 Tdk株式会社 ロードポートユニット及びefemシステム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6005588B2 (ja) * 2013-05-31 2016-10-12 東京エレクトロン株式会社 液処理装置
JP6198043B2 (ja) * 2013-06-06 2017-09-20 Tdk株式会社 ロードポートユニット及びefemシステム
WO2015005192A1 (ja) * 2013-07-09 2015-01-15 株式会社日立国際電気 基板処理装置、ガスパージ方法、半導体装置の製造方法、及び異常処理プログラムが格納された記録媒体
WO2015023591A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc Substrate processing systems, apparatus, and methods with factory interface environmental controls
TWI678751B (zh) * 2013-12-13 2019-12-01 日商昕芙旎雅股份有限公司 設備前端模組(efem)
JP6349750B2 (ja) * 2014-01-31 2018-07-04 シンフォニアテクノロジー株式会社 Efem
JP6291878B2 (ja) 2014-01-31 2018-03-14 シンフォニアテクノロジー株式会社 ロードポート及びefem
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6822953B2 (ja) 2014-11-25 2021-01-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板キャリア及びパージチャンバの環境制御を伴う基板処理のシステム、装置、及び方法
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6536090B2 (ja) * 2015-03-06 2019-07-03 シンフォニアテクノロジー株式会社 搬送装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP2016178133A (ja) * 2015-03-19 2016-10-06 シンフォニアテクノロジー株式会社 ドア開閉装置、搬送装置、ソータ装置、収納容器のドッキング方法
JP6564642B2 (ja) 2015-07-23 2019-08-21 東京エレクトロン株式会社 基板搬送室、基板処理システム、及び基板搬送室内のガス置換方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
EP3499555B1 (en) * 2016-08-08 2022-09-28 Shin-Etsu Handotai Co., Ltd. Load port and wafer transfer method
WO2018051825A1 (ja) 2016-09-13 2018-03-22 株式会社Screenホールディングス 基板処理装置
JP7023065B2 (ja) * 2016-09-13 2022-02-21 株式会社Screenホールディングス 基板処理装置
JP2018053299A (ja) * 2016-09-28 2018-04-05 株式会社日立国際電気 基板処理装置、及び断熱配管構造
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6885132B2 (ja) * 2017-03-22 2021-06-09 Tdk株式会社 Efem及びefemのガス置換方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102139249B1 (ko) * 2018-04-03 2020-07-29 우범제 이에프이엠
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11194259B2 (en) * 2018-08-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Equipment module with enhanced protection from airborne contaminants, and method of operation
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
JP6973340B2 (ja) * 2018-09-20 2021-11-24 信越半導体株式会社 給排気制御装置、ウェーハ処理装置、及びウェーハ処理方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP6777869B2 (ja) * 2019-03-11 2020-10-28 シンフォニアテクノロジー株式会社 Efem装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113492139B (zh) * 2021-07-01 2022-07-08 山东天岳先进科技股份有限公司 一种提高籽晶清洁度的处理方法及装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02128421A (ja) 1988-11-08 1990-05-16 Tokyo Electron Ltd プラズマ処理装置
US5626820A (en) * 1988-12-12 1997-05-06 Kinkead; Devon A. Clean room air filtering
JP2807150B2 (ja) 1992-08-31 1998-10-08 松下電器産業株式会社 環境制御装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
TW273574B (ja) * 1993-12-10 1996-04-01 Tokyo Electron Co Ltd
JP3486462B2 (ja) 1994-06-07 2004-01-13 東京エレクトロン株式会社 減圧・常圧処理装置
JPH08148551A (ja) 1994-11-25 1996-06-07 U M S:Kk 基板清浄化方法および基板収納装置
US5609459A (en) 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
JP2867946B2 (ja) * 1996-03-13 1999-03-10 日本電気株式会社 気相成長装置
EP0827186A3 (en) * 1996-08-29 1999-12-15 Tokyo Electron Limited Substrate treatment system
JP3654612B2 (ja) * 1996-09-24 2005-06-02 株式会社ルネサステクノロジ クリーンルーム
US6053058A (en) * 1996-09-30 2000-04-25 Dainippon Screen Mfg. Co., Ltd. Atmosphere concentration monitoring for substrate processing apparatus and life determination for atmosphere processing unit of substrate processing apparatus
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
SG77254A1 (en) * 1997-01-31 2000-12-19 Takasago Thermal Engineering Air cleaning apparatus air filter and method for manufacturing the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8469650B2 (en) 2004-06-29 2013-06-25 Samsung Electronics Co., Ltd. Method of controlling pressure in a wafer transfer system
US10403514B1 (en) 2018-04-12 2019-09-03 Asm Ip Holding B.V. Substrate transporting system, storage medium and substrate transporting method

Also Published As

Publication number Publication date
US6224679B1 (en) 2001-05-01
KR19990023508A (ko) 1999-03-25
TW386243B (en) 2000-04-01
JPH1163604A (ja) 1999-03-05
KR100453090B1 (ko) 2005-01-13

Similar Documents

Publication Publication Date Title
JP3425592B2 (ja) 処理装置
US7065898B2 (en) Module for transferring a substrate
JP4251580B1 (ja) 被収容物搬送システム
US11328938B2 (en) Substrate processing apparatus and methods with factory interface chamber filter purge
TWI712096B (zh) 具有工廠界面環境控制之基板處理系統、設備與方法
US9272315B2 (en) Mechanisms for controlling gas flow in enclosure
JP4354675B2 (ja) 薄板状電子部品クリーン移載装置および薄板状電子製品製造システム
KR102474585B1 (ko) 박판형상 기판 유지 핑거 및 이 핑거를 구비하는 반송 로봇
JP2004200669A (ja) ミニエンバイロメント装置、薄板状物製造システム及び清浄容器の雰囲気置換方法
CN112912999A (zh) 高流速、气体净化的侧储存舱装置、组件和方法
JP4584821B2 (ja) 真空処理装置及び帯状気流形成装置
KR102652177B1 (ko) 기판 처리 장치
TW202023932A (zh) 側面儲存盒、設備前端模組、及用於操作efem的方法
JP2022162002A (ja) Efem、及び、efemにおけるガス置換方法
US20070130738A1 (en) Vacuum processing apparatus and zonal airflow generating unit
JPH06232064A (ja) 熱処理装置
JP3697275B2 (ja) 局所クリーン化におけるインターフェイスボックス及びそのクリーンルーム
JP3098547B2 (ja) キャリアストッカ
KR102226506B1 (ko) 반송실 내의 웨이퍼 용기의 습도저감장치 및 이를 구비한 반도체 공정장치
JP4414869B2 (ja) 真空処理装置
JPH042147A (ja) 半導体基板の保管装置
CN112930592A (zh) 基板处理装置、开闭基板收容容器的盖的方法
JP3341978B2 (ja) 洗浄システム
WO2022239538A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP2515003B2 (ja) クリ―ンチュ―ブ装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees