KR20150119901A - 인젝터 대 기판 갭 제어를 위한 장치 및 방법들 - Google Patents

인젝터 대 기판 갭 제어를 위한 장치 및 방법들 Download PDF

Info

Publication number
KR20150119901A
KR20150119901A KR1020157024875A KR20157024875A KR20150119901A KR 20150119901 A KR20150119901 A KR 20150119901A KR 1020157024875 A KR1020157024875 A KR 1020157024875A KR 20157024875 A KR20157024875 A KR 20157024875A KR 20150119901 A KR20150119901 A KR 20150119901A
Authority
KR
South Korea
Prior art keywords
assembly
susceptor
susceptor assembly
wafer
processing chamber
Prior art date
Application number
KR1020157024875A
Other languages
English (en)
Other versions
KR102269469B1 (ko
Inventor
조셉 유도브스키
케빈 그리핀
카우샬 강가케드카르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150119901A publication Critical patent/KR20150119901A/ko
Application granted granted Critical
Publication of KR102269469B1 publication Critical patent/KR102269469B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process

Abstract

반도체 웨이퍼를 프로세싱하기 위한 장치 및 방법들이 설명되며, 이 장치 및 방법들에서, 웨이퍼 표면과 가스 분배 조립체 사이의 갭은, 균일하고 공지되어 있는 두께로 유지된다. 웨이퍼는 서셉터 조립체 내에 포지셔닝되고, 서셉터 조립체는 액츄에이터들을 사용하여 가스 분배 조립체를 향해 들어 올려진다. 웨이퍼 아래 및/또는 위에 유체 베어링을 생성함으로써, 가스 분배 조립체를 향해 웨이퍼가 들어 올려질 수 있다.

Description

인젝터 대 기판 갭 제어를 위한 장치 및 방법들{APPARATUS AND METHODS FOR INJECTOR TO SUBSTRATE GAP CONTROL}
[0001] 본 발명의 실시예들은 일반적으로, 기판을 프로세싱하는 장치 및 방법들에 관한 것이다. 특히, 본 발명의 실시예들은, 프로세싱 동안 인젝터 조립체와 기판들 사이의 갭을 제어하는 장치 및 방법들에 관한 것이다.
[0002] 공간 기반 원자 층 증착(ALD)을 위해, 화학적 인젝터와 생산품 기판(product substrate) 사이의 갭은, 반응성 전구체들의 적절한 분리를 위해 0.1 내지 2 mm로 유지되어야 한다. 보다 큰 기판 크기들 및 보다 큰 배치 로드들을 채택하기 위해 프로세스 챔버들의 크기가 증대됨에 따라, 희망 갭은 제어하기가 더 어려워진다.
[0003] 따라서, 공간적 원자 층 증착 동안 엄격하게 제어되는 갭을 유지할 수 있는 방법들 및 장치가 기술분야에 필요하다.
[0004] 본 발명의 실시예들은, 가스 분배 조립체, 서셉터 조립체, 및 적어도 하나의 액츄에이터를 포함하는 프로세싱 챔버들에 관한 것이다. 서셉터 조립체는 가스 분배 조립체 아래에 포지셔닝되며, 최상부 표면, 바닥 표면, 내측 직경 영역 및 외측 직경 영역을 포함한다. 가스 분배 조립체를 향해 서셉터를 밀기(push) 위해, 적어도 하나의 액츄에이터가 서셉터 아래에 포지셔닝된다.
[0005] 일부 실시예들에서, 서셉터 조립체의 최상부 표면은, 웨이퍼의 엣지를 지지하기 위한 적어도 하나의 리세스를 포함한다. 하나 또는 그 초과의 실시예들에서, 서셉터 조립체의 최상부 표면 내의 리세스는, 리세스 내에 지지되는 웨이퍼가 서셉터 조립체의 최상부 표면과 실질적으로 동일 평면인 최상부 표면을 갖도록 하는 크기로 만들어진다(sized).
[0006] 하나 또는 그 초과의 실시예들에서, 적어도 하나의 액츄에이터들 중 각각의 액츄에이터는, 액츄에이터의 최상부 상에, 서셉터 조립체의 바닥 표면과 접촉하는 베어링을 포함한다. 일부 실시예들에서, 베어링은 서셉터 조립체의 바닥 표면과 물리적 접촉을 형성하는 기계식 타입 베어링이다. 일부 실시예들에서, 베어링은, 단지 유체만이 서셉터 조립체의 바닥 표면과 접촉을 형성하는 비-접촉식(non-contact) 유체 타입 베어링이다.
[0007] 일부 실시예들에서, 가스 분배 조립체는 액츄에이터 상의 베어링에 대향하는(opposed) 기준 패드(reference pad)를 더 포함한다. 하나 또는 그 초과의 실시예들은, 서셉터 조립체와 가스 분배 조립체 사이의 접촉 압력을 측정하기 위한 센서를 더 포함한다. 일부 실시예들은, 센서 및 액츄에이터들과 통신하는 피드백 회로를 더 포함한다.
[0008] 일부 실시예들에서, 서셉터 조립체는, 서셉터 조립체의 외측 주변부 주위에 엣지 링을 더 포함하고, 액츄에이터들 및 베어링들은 엣지 링과 접촉하도록 포지셔닝된다. 하나 또는 그 초과의 실시예들에서, 서셉터 조립체는, 서셉터 조립체의 내측 주변부 주위의 지지 링을 더 포함한다. 일부 실시예들은, 내측 직경 영역 가까이에서 지지 링과 접촉하도록 포지셔닝되는, 적어도 하나의 액츄에이터 및 베어링을 더 포함한다.
[0009] 일부 실시예들에서, 서셉터 조립체의 외측 직경 영역 주위에 포지셔닝되는, 적어도 3개의 액츄에이터들 및 베어링들이 존재한다.
[0010] 하나 또는 그 초과의 실시예들은, 서셉터 조립체 아래의 가열 조립체를 더 포함한다. 일부 실시예들에서, 가열 조립체는 서셉터 조립체의 바닥 표면을 향해 복사 에너지를 지향시키는 복수의 램프들을 포함한다.
[0011] 본 발명의 부가적인 실시예들은, 가스 분배 조립체 및 가스 분배 조립체 아래의 서셉터 조립체를 포함하는 프로세싱 챔버들에 관한 것이다. 서셉터 조립체는, 최상부 표면, 바닥 표면, 내측 직경 영역, 및 외측 직경 영역을 포함한다. 최상부 표면은, 웨이퍼의 엣지를 지지하기 위한 적어도 하나의 리세스, 및 리세스의 바닥 부분에 가스의 유동을 제공하도록 리세스와 유체 소통하는 적어도 하나의 채널을 포함하며, 그에 따라 리세스 내에 웨이퍼가 있을 때, 가스의 유동은 가스 분배 조립체를 향해 웨이퍼를 밀도록 유체 베어링을 생성한다.
[0012] 일부 실시예들에서, 가스 분배 조립체는 가스의 유동을 방사상으로 지향시키는 채널을 더 포함하며, 그에 따라 리세스 내에 웨이퍼가 존재할 때, 웨이퍼 아래의 유체 베어링에 부가하여, 가스의 방사상 유동은 웨이퍼 위에 유체 베어링을 생성한다.
[0013] 본 발명의 추가 실시예들은, 프로세싱 챔버에서 웨이퍼를 프로세싱하는 방법들에 관한 것이다. 서셉터 조립체의 최상부 표면 내의 리세스 내에 웨이퍼가 포지셔닝되며, 웨이퍼는 최상부 표면 및 바닥 표면을 갖는다. 서셉터 조립체를 가스 분배 조립체를 향해 들어올려, 서셉터 조립체의 최상부 표면과 가스 분배 조립체 사이의 갭을 설정하기 위해, 서셉터 조립체 아래에 포지셔닝되는 적어도 하나의 액츄에이터를 사용하여, 상부로 지향되는 힘(upwardly directed force)이 서셉터 조립체에 제공된다. 웨이퍼 및 서셉터 조립체는, 서셉터 조립체의 최상부 표면을 향해 가스들의 유동들을 지향시키는 복수의 실질적으로 평행한 가스 채널들을 포함하는 가스 분배 조립체 아래를 통과한다.
[0014] 일부 실시예들은, 서셉터 조립체와 가스 분배 조립체 사이의 접촉 압력을 측정하기 위해 센서를 사용하는 단계를 더 포함하며, 접촉 압력은 갭 거리(gap distance)와 상관된다.
[0015] 일부 실시예들에서, 상부로 지향되는 힘은 서셉터 조립체의 외측 직경 영역에서 서셉터 조립체에 인가된다. 하나 또는 그 초과의 실시예들에서, 상부로 지향되는 힘은, 서셉터 조립체의 외측 주변 영역에 포지셔닝되는 엣지 링에 인가된다.
[0016] 일부 실시예들에서, 상부로 지향되는 힘은, 서셉터 조립체의 내측 직경 영역 및 외측 직경 영역에서 서셉터 조립체에 인가된다. 하나 또는 그 초과의 실시예들에서, 상부로 지향되는 힘은, 서셉터 조립체의 외측 주변 영역에 포지셔닝되는 엣지 링 및 서셉터 조립체의 내측 주변 영역에 포지셔닝되는 지지 링에 인가된다.
[0017] 본 발명의 부가적인 실시예들은, 프로세싱 챔버에서 웨이퍼를 프로세싱하는 방법들에 관한 것이다. 서셉터 조립체의 최상부 표면 내의 리세스 내에 웨이퍼가 포지셔닝되며, 웨이퍼는 최상부 표면 및 바닥 표면을 갖는다. 가스 분배 조립체를 향해 웨이퍼를 들어올려, 가스 분배 조립체와 웨이퍼의 최상부 표면 사이의 갭을 설정하기 위해, 웨이퍼에 상부로 지향되는 힘을 제공하는 유체 베어링을 생성하기 위해, 웨이퍼 아래의 리세스를 통하여 유체가 유동된다.
[0018] 일부 실시예들은, 갭의 크기를 제어하기 위해 웨이퍼의 위와 아래에 유체 베어링을 생성하도록, 웨이퍼의 최상부 표면에 걸쳐서 유체를 유동시키는 단계를 더 포함한다.
[0019] 본 발명의 상기 열거된 특징들이 획득되고 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 본 발명의 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들은 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0020] 도 1은 본 발명의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 횡단면도를 도시한다;
[0021] 도 2는 본 발명의 하나 또는 그 초과의 실시예들에 따른, 가스 분배 조립체 및 서셉터 조립체의 사시도를 도시한다;
[0022] 도 3은 본 발명의 하나 또는 그 초과의 실시예들에 따른, 가스 분배 조립체 및 서셉터 조립체의 사시도를 도시한다;
[0023] 도 4는 본 발명의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 횡단면도를 도시한다;
[0024] 도 5는 본 발명의 하나 또는 그 초과의 실시예들에 따른, 복수의 파이-형(pie-shaped) 서셉터 세그먼트들을 갖는 서셉터 조립체의 사시도를 도시한다;
[0025] 도 6은 하나 또는 그 초과의 실시예들에 따른, 가스 분배 조립체 및 프로세싱 서셉터 조립체의 부분적인 횡단면도를 도시한다; 그리고
[0026] 도 7은 하나 또는 그 초과의 실시예들에 따른, 가스 분배 조립체 및 프로세싱 서셉터 조립체의 부분적인 횡단면도를 도시한다.
[0027] 이해를 용이하게 하기 위해, 도면들에 공통적인 동일한 엘리먼트들을 지시하기 위해, 가능한 경우, 동일한 참조 번호들이 사용되었다. 일 실시예의 특징들 및 엘리먼트들은 추가 설명 없이도 다른 실시예들에 유리하게 포함될 수 있는 것으로 생각된다.
[0028] 본 발명의 실시예들은 기판과 인젝터 조립체 사이의 갭을 제어하기 위한 장치 및 방법들에 관한 것이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "웨이퍼", "기판" 등의 용어들은 교환가능하게 사용된다. 일부 실시예들에서, 웨이퍼는 강성의 개별적인(discrete) 기판이다.
[0029] 일부 실시예들에서, 회전하는 서셉터는, 서셉터의 외측 직경에 배치되는 수직한 액츄에이터들을 갖는 강성 본체(rigid body)인 것으로 생각된다. 액츄에이터들은 베어링에 대해 압력을 인가하여, 인젝터들을 향해 서셉터를 위쪽으로(above) 민다(push). 각각의 인젝터는 대향하는 베어링(opposing bearing)을 갖는 기준 패드들(reference pads)을 갖는다. 액츄에이터들에 압력이 인가될 때, 갭 거리와 상관되는 미리결정된 힘에 도달될 때까지, 인젝터 패드들에 대해 갭이 닫힌다(close).
[0030] 하나 또는 그 초과의 실시예들에서, 서셉터를 국한시키는(confining) 베어링들은, 회전하는 서셉터 표면과의 물리적 접촉을 형성하는 기계식 타입이다. 일부 실시예들에서, 베어링들은, 유체만이 서셉터와의 접촉을 형성하는 비-접촉식 유체 타입이다.
[0031] 일부 실시예들에서, 서셉터는 강성 본체가 아니며, 그에 따라 서셉터의 내측 직경 및 외측 직경에 베어링들을 갖는 액츄에이터들이 배치된다. 인젝터 조립체는 내측 직경 및 외측 직경 둘 모두에, 대향하는 베어링을 갖는 기준 패드들을 갖는다. 액츄에이터들에 압력이 인가될 때, 갭 거리와 상관되는 미리결정된 힘에 도달될 때까지, 인젝터 패드들에 대해 갭이 닫힌다(close).
[0032] 하나 또는 그 초과의 실시예들에서, 지지 구조가 서셉터 조립체를 유지하면서, 유체가 서셉터와 지지 구조 사이에 주입되어, 서셉터의 표면에 걸쳐서 유체 베어링을 형성하며, 이는 서셉터와 인젝터 조립체 사이의 갭을 위쪽으로(above) 제어한다. 서셉터 위의 인젝터들은, 갭 거리와 상관되는 미리결정된 힘에 도달될 때까지, 대향하는 베어링을 갖는 기준 패드를 이용하여 고정된다.
[0033] 일부 실시예들에서, 서셉터 위의 인젝터들의 조립체는 고정되지 않으며, 서셉터를 대면하는 인젝터의 내부 방사상 채널들을 따라 주입되는 유체를 갖는다. 이는, 갭 거리와 상관되는 미리결정된 힘에 도달될 때까지, 압력이 인가되는 유체 베어링을 형성한다.
[0034] 사용되는 가스 분배 조립체의 특정 타입은, 사용중인 특정 프로세스에 따라 달라질 수 있다. 본 발명의 실시예들은, 서셉터와 가스 분배 조립체 사이의 갭이 제어되는, 임의의 타입의 프로세싱 시스템과 사용될 수 있다. 다양한 타입들의 가스 분배 조립체들(예를 들면, 샤워헤드들)이 이용될 수 있지만, 본 발명의 실시예들은 복수의 실질적으로 평행한 가스 채널들을 갖는 공간적 ALD 가스 분배 조립체들에 대해 특히 유용할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 평행한"이라는 용어는, 가스 채널들의 세장형 축이, 동일한 전체적(general) 방향으로 연장하는 것을 의미한다. 가스 채널들의 평행성(parallelism)에는 약간의 불완전함들(imperfections)이 존재할 수 있다. 복수의 실질적으로 평행한 가스 채널들은, 적어도 하나의 제 1 반응 가스(A) 채널, 적어도 하나의 제 2 반응 가스(B) 채널, 적어도 하나의 퍼지 가스(P) 채널, 및/또는 적어도 하나의 진공(V) 채널을 포함할 수 있다. 제 1 반응 가스(A) 채널(들), 제 2 반응 가스(B) 채널(들), 및 퍼지 가스(P) 채널(들)로부터 유동하는 가스들은 웨이퍼의 최상부 표면을 향해 지향된다. 가스 유동의 일부는, 퍼지 가스(P) 채널(들)을 통해 프로세싱 영역의 외부로 및 웨이퍼의 표면에 걸쳐서 수평하게 이동한다. 가스 분배 조립체의 일단부로부터 타단부로 이동하는 기판은 결국, 프로세스 가스들 중 각각의 프로세스 가스에 노출될 것이며, 그에 따라 기판 표면 상에 층을 형성한다.
[0035] 도 1은, 인젝터(20)로서 또한 지칭되는 가스 분배 조립체, 및 서셉터 조립체(30)를 포함하는 프로세싱 챔버(100)의 실시예를 도시한다. 이 실시예에서, 서셉터 조립체(30)는 강성 본체이다. 일부 실시예들의 강성 본체는 0.05 mm 이하(no larger than)의 처짐 허용오차(droop tolerance)를 갖는다. 베어링을 갖는 액츄에이터들(32)이, 예를 들면, 서셉터 조립체(30)의 외측 직경 영역(31)의 3개의 위치들에 배치된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "외측 직경" 및 "내측 직경"이라는 용어들은, 각각 외측 주변 엣지 및 내측 엣지에 가까운 영역들을 나타낸다. 외측 직경은, 서셉터 조립체(30)의 최외측 엣지의 특정 포지션에 대해서가 아니라, 서셉터 조립체(30)의 외측 엣지에 가까운 영역이다. 이는, 도 1에서 액츄에이터들(32)의 배치로부터 볼 수 있다. 액츄에이터들(32) 및 베어링들(33)의 개수는, 하나로부터, 이용가능한 물리적 공간 내에 맞춰질(fit) 임의의 개수까지 달라질 수 있다. 일부 실시예들은, 외측 직경 영역(31) 내에 포지셔닝되는, 2, 3, 4 또는 5 세트들의, 액츄에이터들(32) 및 베어링들(33)을 갖는다.
[0036] 액츄에이터들(32)로부터 서셉터 조립체(30)에 압력이 일단 인가되면, 서셉터 조립체(30)는 인젝터들(20)을 향해 위쪽으로 밀린다. 인젝터들(20)은 제 포지션에 고정되어 있으며, 대향하는 베어링을 갖는 기준 패드들(22)을 갖는다. 액츄에이터들(32)들에 의해 압력이 인가됨에 따라, 갭 거리와 상관되는 미리결정된 힘에 도달될 때까지, 갭(10)이 닫힌다(close). 일부 실시예들에서, 갭 거리는, 약 0.1 mm 내지 약 2.0 mm의 범위, 또는 약 0.2 mm 내지 약 1.8 mm의 범위, 또는 약 0.3 mm 내지 약 1.7 mm의 범위, 또는 약 0.4 mm 내지 약 1.6 mm의 범위, 또는 약 0.5 mm 내지 약 1.5 mm의 범위, 또는 약 0.6 mm 내지 약 1.4 mm의 범위, 또는 약 0.7 mm 내지 약 1.3 mm의 범위, 또는 약 0.8 mm 내지 약 1.2 mm의 범위, 또는 약 0.9 mm 내지 약 1.1 mm의 범위, 또는 약 1 mm이다.
[0037] 서셉터 조립체(30)는 가스 분배 조립체(20) 아래에 포지셔닝된다. 서셉터 조립체(30)는 최상부 표면(35) 및, 선택적으로 최상부 표면(35) 내의 적어도 하나의 리세스(34)를 포함한다. 리세스(34)는 프로세싱 중인 웨이퍼들(15)의 형상 및 크기에 따른 임의의 적합한 형상 및 크기일 수 있다. 도시된 실시예에서, 리세스(34)는 리세스(34)의 외측 주변 엣지 둘레에 2개의 단차(step) 영역들을 갖는다. 이러한 단차들은 웨이퍼(15)의 외측 주변 엣지를 지지하는 크기로 만들어질 수 있다. 단차들에 의해 지지되는 웨이퍼(15)의 외측 주변 엣지의 양은, 예를 들면, 웨이퍼의 두께 및 웨이퍼의 후면측 상에 이미 존재하는 피쳐들의 존재에 따라 달라질 수 있다.
[0038] 일부 실시예들에서, 도 1에 도시된 바와 같이, 서셉터 조립체(30)의 최상부 표면(35) 내의 리세스(34)는, 리세스(34) 내에 지지되는 웨이퍼(15)가 서셉터(37)의 최상부 표면(35)과 실질적으로 동일 평면인 최상부 표면(16)을 갖도록 하는 크기로 만들어진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면인" 이라는 용어는, 웨이퍼의 최상부 표면과 서셉터 조립체의 최상부 표면이 ±0.2 mm 이내에서 동일 평면임을 의미한다. 일부 실시예들에서, 최상부 표면들은 ± 0.15 mm, ± 0.10 mm 또는 ± 0.05 mm 이내에서 동일 평면이다.
[0039] 도 1의 서셉터 조립체(30)는 지지 포스트(40)를 포함하고, 지지 포스트는 서셉터 조립체(30)를 들어 올리고, 내리고, 회전시킬 수 있다. 서셉터 조립체는, 지지 포스트(40)의 중심 내에 전기적 컴포넌트들, 또는 가스 라인들, 또는 히터를 포함할 수 있다. 지지 포스트(40)는, 서셉터 조립체(30)와 인젝터들(20) 사이의 갭을 증가 또는 감소시켜서, 서셉터 조립체(30)를 개략적인 포지션(rough position)으로 이동시키는 주요 수단일 수 있다. 액츄에이터들(32)은 그 후, 희망 갭을 생성하기 위해, 서셉터 조립체의 포지션을 미세-조정(micro-adjustments)할 수 있다.
[0040] 가스 분배 조립체(20)는 또한 하나 또는 그 초과의 센서들(24)을 포함할 수 있다. 센서들(24)은, 서셉터 조립체(30)를 위로 미는 베어링들(33) 및 액츄에이터들(32)에 대응하는 포지션에 위치된다. 센서들(24)은, 예를 들면, 서셉터 조립체(30)로부터 인젝터들의 전면(front surface)에 인가되는 압력을 측정하도록 구성될 수 있다. 센서들은 피드백 회로(미도시)에 연결될 수 있으며, 피드백 회로는, 압력이 미리결정된 조건을 충족시켰음이 결정되면, 가스 분배 조립체(20)에 대한 서셉터 조립체(30)의 손상 또는 파쇄(crushing)를 방지하기 위해, 액츄에이터들(32)의 움직임을 정지시킬 수 있다.
[0041] 도 1에 도시된 프로세싱 챔버(100)는, 서셉터 조립체(30)가 복수의 웨이퍼들(15)을 유지할 수 있는 캐러셀-타입 챔버이다. 가스 분배 조립체(20)는 복수의 개별적인(separate) 인젝터 유닛들(21)을 포함하고, 각각의 인젝터 유닛(21)은, 웨이퍼가 인젝터 유닛 아래에서 이동될 때, 웨이퍼 상에 필름을 증착시킬 수 있다. 도 2는 캐러셀-타입 프로세싱 챔버의 사시도를 도시한다. 2개의 파이-형 인젝터 유닛들(21)은, 서셉터 조립체(30)의 위에서 서셉터 조립체(30)의 대략 맞은편 측들 상에 포지셔닝된 상태로 도시되어 있다. 인젝터 유닛들(21)의 이러한 개수는 단지 예시적인 목적들을 위해 도시되어 있다. 더 많거나 더 적은 인젝터 유닛들(21)이 포함될 수 있는 것으로 이해될 것이다. 일부 실시예들에서, 서셉터 조립체의 형상을 따르는 형상을 형성하도록, 충분한 개수의 파이-형 인젝터 유닛들(21)의 조립체가 존재한다. 일부 실시예들에서, 개별적인 파이-형 인젝터 유닛들(21) 중 각각의 파이-형 인젝터 유닛은, 어떠한 다른 인젝터 유닛들(21)에도 영향을 미치지 않으면서, 독립적으로 이동, 제거 및/또는 교체될 수 있다. 예를 들면, 웨이퍼들(15)을 로딩/언로딩하기 위해, 하나의 세그먼트가 상승되어, 로봇이 서셉터 조립체(30)와 가스 분배 조립체(20) 사이의 영역에 접근하도록 허용할 수 있다.
[0042] 액츄에이터들(32) 및 베어링들(33)은, 사용중인 특정 서셉터 조립체(30)에 따라, 서셉터 조립체, 또는 서셉터 링과 접촉할 수 있다. 도 2를 참조하면, 베어링들(33)들을 갖는 액츄에이터들(32)은, 도 2에 도시된 바와 같이, 웨이퍼들(15)을 유지하는 서셉터(37)와 접촉을 형성하고 서셉터(37)를 직접적으로 위로 민다(push on).
[0043] 일부 실시예들에서, 도 3에 도시된 바와 같이, 서셉터 조립체(30)는 서셉터(37)를 둘러싸는 엣지 링(36)을 포함한다. 엣지 링(36)은, 석영을 포함하지만 그에 제한되지는 않는 임의의 적합한 물질로 제조될 수 있다. 도시된 바와 같이, 베어링들(33)은 서셉터(37) 대신에 엣지 링(36)을 직접적으로 위로 밀 수 있다. 엣지 링(36)은 서셉터(37)를 유지하는 지지 구조로서의 역할을 하며, 그에 따라 베어링(33)과 서셉터(37) 사이에 열 배리어(thermal barrier)를 생성한다.
[0044] 베어링(33)은 임의의 적합한 베어링일 수 있다. 일부 실시예들에서, 서셉터(37)와 접촉하는 베어링들(33)은 기계식이다. 이러한 타입의 베어링들(33)은 서셉터(37) 또는 엣지 링(36) 표면과 물리적 접촉을 형성한다. 이러한 실시예들에서, 베어링들(33)은 서셉터 조립체(30)의 회전과 함께 회전될 수 있거나, 적합하게 낮은 마찰 접촉을 형성할 수 있으며, 그에 따라 갭(10), 및 서셉터 조립체(30)에 인가된 압력은 프로세싱 전체에 걸쳐서 유지될 수 있다.
[0045] 일부 실시예들에서, 베어링들(33)은 비-접촉식 유체 타입 베어링들이다. 이러한 실시예들에서, 단지 유체(예를 들면, 불활성 가스)만이 서셉터 조립체(30)의 회전하는 표면과 접촉을 형성한다. 이는, 서셉터 조립체(30)의 바닥 표면(38)과 거의 마찰이 없는 베어링 인터페이스(nearly frictionless bearing interface)를 제공하며, 서셉터 조립체(30)가, 프로세싱 동안 갭(10)의 크기를 변화시키지 않으면서 회전할 수 있게 한다.
[0046] 도 4는, 서셉터 조립체(30)가 강성 본체가 아닌, 본 발명의 다른 실시예를 도시한다. 일부 실시예들에서, 서셉터 조립체(30)는 약 0.1 mm 이하, 또는 약 0.05 mm 이하, 또는 약 0.025 mm 이하, 또는 약 0.01 mm 이하의 처짐 허용오차를 갖는다. 여기서, 서셉터(37)의 내측 직경 영역(39) 및 외측 직경 영역(31)에 배치되는 베어링들(33)들을 갖는 액츄에이터들(32)이 존재한다. 베어링들(33)을 갖는 액츄에이터들(32)은, 서셉터 조립체의 내측 및 외측 주변부 둘레의 임의의 적합한 개수의 위치들(places)에 포지셔닝될 수 있다. 일부 실시예들에서, 액츄에이터들(32) 및 베어링들(33)은, 외측 직경 영역(31)과 내측 직경 영역(39) 둘 모두에서 3개의 위치들에 배치된다. 외측 직경 영역(31)과 내측 직경 영역(39) 둘 모두에서의 액츄에이터들(32) 및 베어링들(33)은 서셉터 조립체(30)에 압력을 인가하며, 이러한 압력은 서셉터(37)를 인젝터들(20)을 향해 위쪽으로 민다. 인젝터들(20)은, 도 4에 도시된 바와 같이, 제 포지션에 고정되고, 기준 패드들(22)을 가지며, 기준 패드들은, 외측 직경 영역(31)과 내측 직경 영역(39) 둘 모두와 정렬되는, 대향하는 베어링을 갖는다. 기준 패드들(22) 가까이에 포지셔닝되는 센서들(24)이 또한 존재할 수 있다. 액츄에이터들(32) 및 베어링들(33)에 압력이 인가될 때, 희망 갭 거리와 상관되는 미리결정된 힘에 도달될 때까지, 갭(10)이 닫힌다.
[0047] 서셉터 조립체(30)는, 도 5에 도시된 바와 같이, 서셉터(37)의 내측 주변부에 포지셔닝되는 내측 지지부(60)를 포함할 수 있다. 베어링(33)은 내측 지지부(60) 구조를 위로 민다. 내측 지지부(60)는 석영과 같은 단열 물질로 제조될 수 있고, 서셉터(37)를 유지하며, 그에 따라 서셉터(37)로부터 외측 직경 영역(31) 및 내측 직경 영역(39)의 베어링들(33)까지 열 차단부(thermal break)를 생성한다. 서셉터들(37) 아래의 지지 구조의 영역은 IR 복사선(IR radiation)에 투명할 수 있어서, 서셉터 조립체(30) 아래에 포지셔닝되는 히터 소스(미도시)로부터의 입사 복사선이 서셉터(37)에 전도될(transmit) 수 있다. 또한, 베어링들(33)은, 서셉터 표면과 물리적 접촉을 형성하는 기계식일 수 있거나, 비-접촉식 유체 타입들일 수 있다.
[0048] 도 5에 도시된 실시예에서, 서셉터 조립체(30)는 복수의(이 경우, 6개가 있음) 개별적인 파이-형 서셉터 세그먼트들을 포함한다. 이러한 세그먼트들 중 각각의 세그먼트는, 다른 세그먼트들에 영향을 미치지 않으면서, 서셉터 상에서 독립적으로 레벨링되고, 이동되고, 제거되고 그리고/또는 교체될 수 있다. 예를 들면, 하나의 세그먼트는, 웨이퍼가 로딩/언로딩될 수 있도록 내려질 수 있다.
[0049] 도 6은 본 발명의 다른 실시예를 도시하며, 이 실시예에서 지지 구조(60)는, 지지 구조(60)와 서셉터(37) 사이에 유체(예를 들면, 가스)가 주입되는 동안 서셉터(37)를 유지한다. 가스는 지지 구조(60)의 바닥 표면 내의 유입구(71)를 통해 서셉터 조립체(30)에 들어가지만, 가스는 다른 경로를 통해 들어갈 수 있다. 가스는 도관(70)을 통해 리세스(34)로 유동하며, 리세스에서 가스는 웨이퍼(15)의 후면측(17)을 가로질러서 도관(70)을 통해 배출구(72)까지 리세스(34)의 외부로 유동한다. 웨이퍼(15)의 후면측(17)을 가로질러 유동하는 가스의 압력은, 웨이퍼를 인젝터들(20)을 향해 강제로 민다(force). 이러한 가스 유동은 웨이퍼(15)의 하면(under surface)에 걸쳐서 유체 베어링을 형성하며, 이는 서셉터(37)와 인젝터 조립체(20) 사이의 갭(10)을 위쪽으로 제어하도록 사용될 수 있다. 서셉터(37) 위의 인젝터들(20)은, 갭 거리와 상관되는 미리결정된 힘에 도달될 때까지, 대향하는 베어링을 갖는 기준 패드들(22)을 이용하여 고정될 수 있다.
[0050] 도관(70)이 서셉터(37)의 배면(back surface)(38)에서 시작되고 끝나는 것으로 도시되어 있지만, 유입구(71) 또는 배출구(72) 중 어느 하나는 다른 포지션들에 위치될 수 있다. 예를 들면, 유입구는 서셉터 조립체(30)의 지지 포스트(40)를 통하여 지향될 수 있다.
[0051] 도관(70)을 통한 가스 유동은 다수의 방법들에 의해 제어될 수 있다. 도관(70)의 유입구(71)로 들어가는 가스의 압력은 제어될 수 있다. 배출구(72)에서의 도관(70)의 직경은, 유입구(71) 직경과 상이할 수 있으며, 고정된 직경일 수 있거나 아이리스 타입 개구(iris type aperture)를 사용하는 동적인 직경일 수 있다.
[0052] 도 7은, 가스 분배 조립체(20)가 고정된 포지션에 있지 않은 본 발명의 다른 실시예를 도시한다. 인젝터 조립체(20)는, 웨이퍼(15)의 최상부 표면(16)에 걸쳐서 방사상으로 가스를 주입하기 위한 가스 채널(26)을 갖는다. 방사상으로 주입되는 가스는, 갭(10)과 상관되는 미리결정된 힘에 도달될 때까지, 큰 표면 유체 베어링을 형성한다. 일부 실시예들에서, 최상부 표면(16)을 가로질러서 방사상으로(26) 그리고 서셉터(37) 내의 도관(70)을 통해 바닥 표면(17)을 가로질러서 가스들을 유동시킴으로써, 웨이퍼의 위와 웨이퍼의 아래에 유체 베어링이 생성된다. 희망 갭(10)을 생성하기 위해 적절한 양의 압력을 제공하도록, 결합된 유체 베어링들이 미세-조정될(fine-tuned) 수 있다.
[0053] 일부 실시예들에서, 도 7에 도시된 바와 같이, 프로세싱 챔버(100)는 가열 조립체(80)를 포함한다. 가열 조립체(80)는, 서셉터 조립체(30)의 아래 및/또는 서셉터 조립체(30)의, 가스 분배 조립체(20) 반대편 측(opposite side) 상을 포함하지만 이에 제한되지는 않는, 프로세싱 챔버 내의 임의의 적합한 위치에 포지셔닝될 수 있다. 가열 조립체(80)는, 웨이퍼(15)의 온도를 프로세스에 유용한 온도들로 상승시키기 위해, 프로세싱 챔버에 충분한 열을 제공한다. 적합한 가열 조립체들(80)은, 서셉터 조립체(30)의 바닥 표면(38)을 향해 복사 에너지를 지향시키는 복사 히터들(예를 들면, 복수의 램프들) 및 저항성 히터들을 포함하지만, 이에 제한되지는 않는다.
[0054] 본 발명의 실시예들은, 웨이퍼들이 중심 축으로부터 오프셋되고 중심 축을 중심으로 회전되는 캐러셀-타입 프로세싱 챔버들에서 특히 유용할 수 있다. 이는 도 2 및 도 3에서 볼 수 있다. 서셉터 조립체의 회전과 연관되는 원심력은, 웨이퍼가 중심 축으로부터 미끄러지게 할 수 있다. 가스 분배 조립체의 가스 채널들은, 동시에 제어되거나(예를 들면, 모든 출력 채널들 - 반응 가스들 및 퍼지 채널들이 함께 제어됨), 그룹들로 제어되거나(예를 들면, 모든 제 1 반응 가스 채널들이 함께 제어됨) 또는 독립적으로 제어될 수 있다(예를 들면, 가장 좌측의 채널이 인접하는 채널과 별도로 제어되는 등). 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "출력 채널들", "가스 채널들", "가스 인젝터들", 등의 용어는, 프로세싱 챔버 내로 가스가 통과하여 주입되는, 슬롯, 채널, 또는 노즐 타입 개구를 의미하도록 교환가능하게 사용된다. 일부 실시예들에서, 제 1 반응 가스 채널, 제 2 반응 가스 채널, 및 적어도 하나의 퍼지 가스 채널은 독립적으로 제어된다. 독립적인 제어는, 서셉터 조립체의 리세스 내에 포지셔닝되는 웨이퍼의 최상부 표면 상에 양압(positive pressure)을 제공하는데 유용할 수 있다. 일부 실시예들에서, 각각의 개별적인 제 1 반응 가스 인젝터, 제 2 반응 가스 인젝터, 퍼지 가스 인젝터 및 펌프 채널은 개별적으로 그리고 독립적으로 제어될 수 있다.
[0055] 본 발명의 일부 실시예들은 웨이퍼를 프로세싱하는 방법들에 관한 것이다. 웨이퍼는 서셉터 조립체의 최상부 표면 내의 리세스 내에 포지셔닝된다. 가스 분배 조립체와 접촉하도록 서셉터 조립체를 이동시키기 위해 복수의 액츄에이터들을 이용하여, 서셉터 조립체의 바닥 표면에 상부로 지향되는 힘이 인가된다.
[0056] 일부 실시예들에서, 가스 분배 조립체 내의 센서가 서셉터 조립체와 가스 분배 조립체 사이의 압력 또는 압축력을 측정한다. 센서는, 액츄에이터들과 또한 접촉할 수 있는 피드백 회로와 통신할 수 있다. 피드백 회로는 적절한 크기의 갭을 생성하기 위해, 더 큰 상향 압력(upward pressure)을 인가하거나, 더 작은 상향 압력을 인가하거나, 현재의 상향 압력을 유지하도록 하는 명령들을 액츄에이터에 제공할 수 있다.
[0057] 일부 실시예들에서, 웨이퍼가 서셉터 조립체의 리세스 내에 있는 동안, 액츄에이터들 대신에, 가스 스트림이 웨이퍼의 바닥 표면에 걸쳐서 유동된다. 가스 스트림은 웨이퍼 아래에 유체 베어링을 생성할 수 있어서, 웨이퍼를 가스 분배 조립체를 향하여 놓이도록(rest against) 상부로 강제로 민다.
[0058] 하나 또는 그 초과의 실시예들에서, 웨이퍼의 아래의 유체 베어링 이외에도, 웨이퍼의 위에 유체 베어링이 생성된다. 웨이퍼의 바닥 표면 및 최상부 표면에 인가되는 압력은, 유체 베어링들의 크기 또는 강도를 변화시킴으로써 조절될 수 있다. 압력들의 조정은, 웨이퍼를 가스 분배 조립체를 향하여 놓이도록 밀어서, 적절한 갭을 생성할 수 있다. 일부 실시예들에서, 웨이퍼 위에 생성되는 유체 베어링은, 방사상 방향으로, 표면을 가로질러 가스를 유동시킴으로써 생성된다. 표면을 가로질러 유동하는 가스는, 가스 분배 조립체를 통하여 또는 보조 라인을 통하여 유동될 수 있다.
[0059] 본 발명의 실시예들에 대해 사용하기 위한 기판들은 임의의 적합한 기판일 수 있다. 상세한 실시예들에서, 기판은 강성의 개별적인(discrete), 대체로 평면 기판이다. 본 명세서 및 첨부된 청구항들에서 사용된 바와 같이, "개별적인" 이라는 용어는, 기판에 대해 나타낼 때, 기판이 고정된 치수를 가짐을 의미한다. 특정 실시예들의 기판은, 200 mm 또는 300 mm 직경의 실리콘 웨이퍼와 같은 반도체 웨이퍼이다.
[0060] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응 가스", "반응성 전구체", "제 1 전구체", "제 2 전구체" 등의 용어들은, 기판 표면 또는 기판 표면 상의 층과 반응할 수 있는, 가스들 및 가스 종을 나타낸다.
[0061] 일부 실시예들에서, 하나 또는 그 초과의 층들은 플라즈마 강화 원자 층 증착(PEALD) 프로세스 동안 형성될 수 있다. 일부 프로세스들에서, 플라즈마의 사용은, 표면 반응들이 유리해지고 적당해지는(likely) 여기된 상태로 종을 고무시키기에(promote) 충분한 에너지를 제공한다. 프로세스에 플라즈마를 도입하는 것은 연속적이거나 펄스형일 수 있다. 일부 실시예들에서, 전구체들(또는 반응 가스들) 및 플라즈마의 연속적인 펄스들은 층을 프로세싱하는데 사용된다. 일부 실시예들에서, 시약들은 국소적으로(즉, 프로세싱 영역 내에서) 또는 원격으로(즉, 프로세싱 영역 외부에서) 이온화될 수 있다. 일부 실시예들에서, 원격 이온화는 증착 챔버의 상류에서 발생할 수 있어서, 이온들 또는 다른 에너제틱한(energetic) 또는 발광성 종이 증착 필름과 직접 접촉하지 않는다. 일부 PEALD 프로세스들에서, 플라즈마는 이를테면, 원격 플라즈마 생성기 시스템에 의해서, 프로세싱 챔버로부터 외부에서 생성된다. 플라즈마는 당업자들에게 공지된 임의의 적합한 플라즈마 생성 프로세스 또는 기술에 의해 생성될 수 있다. 예를 들면, 플라즈마는 마이크로파(MW) 주파수 생성기 또는 무선 주파수(RF) 생성기 중 하나 또는 그 초과에 의해 생성될 수 있다. 플라즈마의 주파수는 사용중인 특정 반응성 종에 따라 조절될 수 있다. 적합한 주파수들은, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz, 및 100 MHz를 포함하지만 이에 제한되지는 않는다. 플라즈마즈들은 본원에서 개시된 증착 프로세스들 동안 사용될 수 있지만, 플라즈마들이 요구되지 않을 수 있음에 주목해야 한다. 뿐만 아니라, 다른 실시예들은 플라즈마가 없는 매우 온화한(mild) 조건들 하에서의 증착 프로세스들에 관계된다.
[0062] 하나 또는 그 초과의 실시예들에 따르면, 기판은 층을 형성하기 이전에 그리고/또는 층을 형성한 이후에 프로세싱을 받는다. 이러한 프로세싱은 동일한 챔버 내에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 일부 실시예들에서, 기판은 제 1 챔버로부터 추가의 프로세싱을 위해 별도의 제 2 챔버로 이동된다. 기판은 제 1 챔버로부터 별도의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 제 1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동된 후, 원하는 별도의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 통신하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템", 등으로 지칭될 수 있다.
[0063] 일반적으로, 클러스터 툴은, 기판 중심-찾기(center-finding) 및 배향(orientation), 탈가스, 어닐링, 증착 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈형 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은 적어도 제 1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 프로세싱 챔버들과 로드 록 챔버들 사이에서 기판들을 왕복시킬(shuttle) 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 전형적으로 진공 조건에서 유지되며, 하나의 챔버로부터 다른 챔버로 그리고/또는 클러스터 툴의 전방 단부에 포지셔닝된 로드 록 챔버로 기판들을 왕복시키기 위한 중간 스테이지를 제공한다. 본 발명에 대해 적응될 수 있는 2개의 주지된 클러스터 툴들은 Centura® 및 Endura®이며, 이 둘은 모두 캘리포니아 산타클라라에 소재한 Applied Materials, Inc.로부터 입수가능하다. 그러한 하나의 단계적-진공 기판 프로세싱 장치에 대한 세부사항들은, 1993년 2월 16일자로 허여된, 발명의 명칭이 "Staged-Vacuum Wafer Processing Apparatus and Method"인 Tepman 등의 U.S. 특허 제5,186,718호에 개시되어 있다. 그러나, 챔버들의 정확한 배열 및 조합은 본원에서 설명된 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학적 세정, RTP와 같은 열 처리, 플라즈마 질화, 탈가스, 배향, 히드록실화(hydroxylation) 및 다른 기판 프로세스들을 포함하지만, 이에 제한되지는 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기중의 불순물들에 의한 기판의 표면 오염은, 후속 필름을 증착하기 이전에 산화 없이 방지될 수 있다.
[0064] 하나 또는 그 초과의 실시예들에 따르면, 기판은 연속적으로 진공 또는 "로드 록" 조건들 하에 있으며, 하나의 챔버로부터 다음 챔버로 이동될 때 주변 공기에 노출되지 않는다. 이송 챔버들은 그에 따라, 진공 하에 있으며, 진공 압력 하에서 "펌핑 다운(pumped down)"된다. 불활성 가스들은 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 불활성 가스는 기판의 표면 상에 실리콘 층을 형성한 후에 반응물질들의 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는 증착 챔버의 출구에서 주입되어서, 반응물질들이 증착 챔버로부터 이송 챔버 및/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지한다. 따라서, 불활성 가스의 유동은 챔버의 출구에 커튼(curtain)을 형성한다.
[0065] 기판은 단일 기판 증착 챔버들에서 프로세싱될 수 있으며, 단일 기판 증착 챔버들에서, 단일 기판은 로딩되고, 프로세싱되며, 다른 기판이 프로세싱되기 전에 언로딩된다. 기판은 또한, 컨베이어 시스템과 같이 연속적인 방식으로 프로세싱될 수 있으며, 이러한 방식에서, 복수의 기판들이 챔버의 제 1 부분내로 개별적으로 로딩되고, 챔버를 통하여 이동하며, 챔버의 제 2 부분으로부터 언로딩된다. 챔버 및 관련 컨베이어 시스템의 형상은 일직선 경로 또는 곡선형 경로를 형성할 수 있다. 부가적으로, 프로세싱 챔버는 캐러셀일 수 있으며, 이러한 캐러셀에서, 복수의 기판들은 중심 축을 중심으로 이동되고, 캐러셀 경로 전체에 걸쳐서 증착, 에칭, 어닐링, 세정, 등의 프로세스들에 노출된다.
[0066] 프로세싱 동안, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 기판 표면에 가열 또는 냉각된 가스들을 유동시키는 것을 포함하지만 이에 제한되지는 않는 임의의 적합한 수단에 의해 이루어질 수 있다. 일부 실시예들에서, 기판 지지부는 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 히터/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 이용중인 가스들(반응 가스들 또는 불활성 가스들)은 기판 온도를 국소적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 히터/냉각기는 기판 온도를 대류성으로 변화시키도록 기판 표면에 인접하여 챔버 내에 포지셔닝된다.
[0067] 기판은 또한, 프로세싱 동안 정지되어 있거나 회전될 수 있다. 회전하는 기판은 연속적으로 또는 개별적인 단계들로 회전될 수 있다. 예를 들면, 기판은 전체 프로세스에 걸쳐서 회전될 수 있거나, 기판은 상이한 반응 가스 또는 퍼지 가스에 대한 노출 사이에서 적은 양만큼 회전될 수 있다. 프로세싱 동안 (연속적으로 또는 단계들로) 기판을 회전시키는 것은, 예를 들면 가스 유동 기하형상들의 국소적인 가변성(variability)의 효과를 최소화함으로써, 보다 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0068] 본원의 발명은 특정 실시예들을 참조로 하여 설명되었지만, 이러한 실시예들은 본 발명의 적용예들 및 원리들을 단지 예시하는 것임이 이해되어야 한다. 본 발명의 사상 및 범위로부터 벗어나지 않고 본 발명의 방법 및 장치에 대해 다양한 수정예들 및 변형예들이 이루어질 수 있음이 당업자들에게 자명할 것이다. 따라서, 본 발명은 첨부된 청구항들 및 그 등가물들의 범주 내의 수정예들 및 변형예들을 포함하는 것으로 의도된다.

Claims (15)

  1. 프로세싱 챔버로서:
    가스 분배 조립체;
    상기 가스 분배 조립체 아래의 서셉터 조립체 ― 상기 서셉터 조립체는 최상부 표면, 바닥 표면, 내측 직경 영역, 및 외측 직경 영역을 포함함 ―; 및
    상기 가스 분배 조립체를 향해 상기 서셉터를 밀기(push) 위해, 상기 서셉터 아래에 포지셔닝되는 적어도 하나의 액츄에이터;를 포함하는
    프로세싱 챔버.
  2. 제 1 항에 있어서,
    적어도 하나의 액츄에이터들 중 각각의 액츄에이터는, 상기 액츄에이터의 최상부 상에, 상기 서셉터 조립체의 바닥 표면과 접촉하는 베어링을 포함하는
    프로세싱 챔버.
  3. 제 2 항에 있어서,
    상기 베어링은, 상기 서셉터 조립체의 바닥 표면과 물리적 접촉을 형성하는 기계식 타입 베어링, 또는 단지 유체만이 상기 서셉터 조립체의 상기 바닥 표면과 접촉을 형성하는 비-접촉식(non-contact) 유체 타입 베어링 중 하나 또는 그 초과의 베어링인
    프로세싱 챔버.
  4. 제 3 항에 있어서,
    상기 가스 분배 조립체는 상기 액츄에이터 상의 상기 베어링에 대향하는(opposed) 기준 패드(reference pad)를 더 포함하는
    프로세싱 챔버.
  5. 제 4 항에 있어서,
    상기 서셉터 조립체와 상기 가스 분배 조립체 사이의 접촉 압력을 측정하기 위한 센서, 및 상기 센서 및 상기 액츄에이터들과 통신하는 선택적 피드백 회로를 더 포함하는
    프로세싱 챔버.
  6. 제 2 항에 있어서,
    상기 서셉터 조립체는 상기 서셉터 조립체의 외측 주변부 주위에 엣지 링을 더 포함하고, 상기 액츄에이터들 및 베어링들은 상기 엣지 링과 접촉하도록 포지셔닝되는
    프로세싱 챔버.
  7. 제 6 항에 있어서,
    상기 서셉터 조립체는 상기 서셉터 조립체의 내측 주변부 주위의 지지 링 및, 선택적으로, 상기 내측 직경 영역 가까이에서 상기 지지 링과 접촉하도록 포지셔닝되는, 적어도 하나의 액츄에이터 및 베어링을 더 포함하는
    프로세싱 챔버.
  8. 프로세싱 챔버로서:
    가스 분배 조립체; 및
    상기 가스 분배 조립체 아래의 서셉터 조립체를 포함하며,
    상기 서셉터 조립체는 최상부 표면, 바닥 표면, 내측 직경 영역 및 외측 직경 영역을 포함하고, 상기 최상부 표면은, 웨이퍼의 엣지를 지지하기 위한 적어도 하나의 리세스, 및 상기 리세스의 바닥 부분에 가스의 유동을 제공하도록 상기 리세스와 유체 소통하는 적어도 하나의 채널을 포함하며, 그에 따라, 상기 리세스 내에 웨이퍼가 있을 때, 상기 가스의 유동은 상기 가스 분배 조립체를 향해 상기 웨이퍼를 밀도록 유체 베어링을 생성하는
    프로세싱 챔버.
  9. 제 8 항에 있어서,
    상기 가스 분배 조립체는 가스의 유동을 방사상으로 지향시키는 채널을 더 포함하며, 그에 따라 상기 리세스 내에 웨이퍼가 존재할 때, 상기 웨이퍼 아래의 유체 베어링에 부가하여, 상기 가스의 방사상 유동은 상기 웨이퍼 위에 유체 베어링을 생성하는
    프로세싱 챔버.
  10. 프로세싱 챔버에서 웨이퍼를 프로세싱하는 방법으로서:
    서셉터 조립체의 최상부 표면 내의 리세스 내에 웨이퍼를 포지셔닝하는 단계 ― 상기 웨이퍼는 최상부 표면 및 바닥 표면을 가짐 ―;
    상기 서셉터 조립체를 가스 분배 조립체를 향해 들어올려, 상기 서셉터 조립체의 상기 최상부 표면과 상기 가스 분배 조립체 사이의 갭을 설정하기 위해, 상기 서셉터 조립체 아래에 포지셔닝되는 적어도 하나의 액츄에이터를 사용하여, 상기 서셉터 조립체에 상부로 지향되는 힘(upwardly directed force)을 제공하는 단계; 및
    상기 서셉터 조립체의 상기 최상부 표면을 향해 가스들의 유동들을 지향시키는 복수의 실질적으로 평행한 가스 채널들을 포함하는 상기 가스 분배 조립체 아래에서 상기 웨이퍼 및 서셉터 조립체를 통과시키는 단계를 포함하는
    프로세싱 챔버에서 웨이퍼를 프로세싱하는 방법.
  11. 제 10 항에 있어서,
    상기 서셉터 조립체와 상기 가스 분배 조립체 사이의 접촉 압력을 측정하기 위해 센서를 사용하는 단계를 더 포함하며, 상기 접촉 압력은 갭 거리(gap distance)와 상관되는
    프로세싱 챔버에서 웨이퍼를 프로세싱하는 방법.
  12. 제 10 항에 있어서,
    상기 상부로 지향되는 힘은 상기 서셉터 조립체의 외측 직경 영역에서 상기 서셉터 조립체에 인가되는
    프로세싱 챔버에서 웨이퍼를 프로세싱하는 방법.
  13. 제 12 항에 있어서,
    상기 상부로 지향되는 힘은, 상기 서셉터 조립체의 외측 주변 영역에 포지셔닝되는 엣지 링에 인가되는
    프로세싱 챔버에서 웨이퍼를 프로세싱하는 방법.
  14. 제 10 항에 있어서,
    상기 상부로 지향되는 힘은, 상기 서셉터 조립체의 내측 직경 영역 및 외측 직경 영역에서 상기 서셉터 조립체에 인가되는
    프로세싱 챔버에서 웨이퍼를 프로세싱하는 방법.
  15. 제 14 항에 있어서,
    상기 상부로 지향되는 힘은, 상기 서셉터 조립체의 외측 주변 영역에 포지셔닝되는 엣지 링 및 상기 서셉터 조립체의 내측 주변 영역에 포지셔닝되는 지지 링에 인가되는
    프로세싱 챔버에서 웨이퍼를 프로세싱하는 방법.
KR1020157024875A 2013-02-21 2014-02-20 인젝터 대 기판 갭 제어를 위한 장치 및 방법들 KR102269469B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361767777P 2013-02-21 2013-02-21
US61/767,777 2013-02-21
PCT/US2014/017399 WO2014130673A1 (en) 2013-02-21 2014-02-20 Apparatus and methods for injector to substrate gap control

Publications (2)

Publication Number Publication Date
KR20150119901A true KR20150119901A (ko) 2015-10-26
KR102269469B1 KR102269469B1 (ko) 2021-06-24

Family

ID=51391807

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157024875A KR102269469B1 (ko) 2013-02-21 2014-02-20 인젝터 대 기판 갭 제어를 위한 장치 및 방법들

Country Status (6)

Country Link
US (1) US9617640B2 (ko)
JP (1) JP2016510946A (ko)
KR (1) KR102269469B1 (ko)
CN (2) CN105074049A (ko)
TW (1) TW201437423A (ko)
WO (1) WO2014130673A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200010607A (ko) * 2017-06-23 2020-01-30 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
TW201629264A (zh) 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
WO2016196105A1 (en) 2015-06-05 2016-12-08 Applied Materials, Inc. Susceptor position and rotation apparatus and methods of use
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106803477B (zh) * 2015-11-25 2020-01-03 无锡华瑛微电子技术有限公司 半导体处理装置及其方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180073143A1 (en) * 2016-09-12 2018-03-15 Toshiba Memory Corporation Plasma processing apparatus and plasma processing method
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100039832A (ko) * 2007-06-06 2010-04-16 아익스트론 아게 화학 증착 반응기의 기판 표면 온도에 대한 온도 제어를 위한 장치
KR20100127471A (ko) * 2009-05-26 2010-12-06 주식회사 테스 기판처리장치

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6009827A (en) * 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
WO1998023389A1 (en) * 1996-11-26 1998-06-04 Genus, Inc. Nitrogen-bearing cvd films from nf3, as a nitrogen source
US6612590B2 (en) * 2001-01-12 2003-09-02 Tokyo Electron Limited Apparatus and methods for manipulating semiconductor wafers
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
DE112010000737T5 (de) * 2009-02-11 2013-01-17 Applied Materials, Inc. Nichtkontakt-Bearbeitung von Substraten
US20100248397A1 (en) 2009-03-26 2010-09-30 Tokyo Electron Limited High temperature susceptor having improved processing uniformity
CN102414801A (zh) * 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
US20120321788A1 (en) * 2011-06-16 2012-12-20 Pinecone Material Inc. Rotation system for thin film formation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100039832A (ko) * 2007-06-06 2010-04-16 아익스트론 아게 화학 증착 반응기의 기판 표면 온도에 대한 온도 제어를 위한 장치
KR20100127471A (ko) * 2009-05-26 2010-12-06 주식회사 테스 기판처리장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200010607A (ko) * 2017-06-23 2020-01-30 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들
KR20210135356A (ko) * 2017-06-23 2021-11-12 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들에서의 직접 컴포넌트 접촉을 통한 갭 교정의 시스템들 및 방법들

Also Published As

Publication number Publication date
US20150345022A1 (en) 2015-12-03
US9617640B2 (en) 2017-04-11
JP2016510946A (ja) 2016-04-11
CN105074049A (zh) 2015-11-18
WO2014130673A1 (en) 2014-08-28
KR102269469B1 (ko) 2021-06-24
TW201437423A (zh) 2014-10-01
CN107365976B (zh) 2020-11-20
CN107365976A (zh) 2017-11-21

Similar Documents

Publication Publication Date Title
KR102269469B1 (ko) 인젝터 대 기판 갭 제어를 위한 장치 및 방법들
KR102469123B1 (ko) 서셉터 조립체를 위한 스프링-로딩형 핀들 및 이를 이용하는 프로세싱 방법들
KR101680751B1 (ko) 비-접촉 기판 프로세싱
KR102649605B1 (ko) 프로세싱 챔버를 위한 고온 가열기
US20210384063A1 (en) Apparatus and Methods for Wafer Chucking on a Susceptor for ALD
JP2012023073A (ja) 基板処理装置および基板の製造方法
US10351956B2 (en) Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
TWI722978B (zh) 用於原子層沉積之加熱燈
KR102376372B1 (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
KR102374532B1 (ko) 다중-웨이퍼 캐러셀 ald에서 통합된 2-축 리프트-회전 모터 중심 페디스털
KR102604028B1 (ko) 웨이퍼 포켓 이탈 검출
US20150376790A1 (en) Apparatus And Methods For Differential Pressure Chucking Of Substrates

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant