KR102604028B1 - 웨이퍼 포켓 이탈 검출 - Google Patents

웨이퍼 포켓 이탈 검출 Download PDF

Info

Publication number
KR102604028B1
KR102604028B1 KR1020217042201A KR20217042201A KR102604028B1 KR 102604028 B1 KR102604028 B1 KR 102604028B1 KR 1020217042201 A KR1020217042201 A KR 1020217042201A KR 20217042201 A KR20217042201 A KR 20217042201A KR 102604028 B1 KR102604028 B1 KR 102604028B1
Authority
KR
South Korea
Prior art keywords
substrate
rotation
sensor
distance
support assembly
Prior art date
Application number
KR1020217042201A
Other languages
English (en)
Other versions
KR20220000911A (ko
Inventor
상겸 김
프라산스 나라야난
수브라마니안 타밀마니
만디암 스리람
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220000911A publication Critical patent/KR20220000911A/ko
Application granted granted Critical
Publication of KR102604028B1 publication Critical patent/KR102604028B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process

Abstract

하나 이상의 기판을 처리하기 위한 장치 및 방법들이 설명된다. 처리 챔버는 지지 조립체, 챔버 덮개, 및 제어기를 포함한다. 챔버 덮개는 지지 조립체를 향하는 전방 표면, 전방 표면 상의 제1 센서 및 전방 표면 상의 제2 센서를 갖고, 제1 센서는 중심 회전 축으로부터 제1 거리에 위치되고, 제2 센서는 중심 회전 축으로부터 제1 거리보다 큰 제2 거리에 위치된다. 제어기는 기판이 지지 조립체의 기판 지지 영역 내에 있는지 외부에 있는지를 결정하도록 구성된다.

Description

웨이퍼 포켓 이탈 검출
본 개시내용은 일반적으로, 막들을 퇴적시키기 위한 장치 및 방법들에 관한 것이다. 특히, 본 개시내용은 기판이 캐러셀 기판 지지부의 포켓에 있는지를 결정하기 위한 장치 및 방법들에 관한 것이다.
메인프레임 처리 챔버들에서의 프로세스 균일성은 다양한 인자들로 인해 열악할 수 있다. 프로세스 전체에 걸쳐 웨이퍼들을 회전시키는 것은 웨이퍼 내 균일성을 개선하는 것을 도울 수 있다. 회전의 양, 적고/거나 소수의 회전들이 균일성을 개선할 수 있다. 처리 동안 웨이퍼를 회전시키는 것은 시간 소모적일 수 있고, 추가적인 프로세스 하드웨어 또는 공간을 사용한다. 예를 들어, 웨이퍼들은, 웨이퍼가 회전될 수 있는, 처리 툴의 전용 영역으로 이동될 수 있다. 이는 처리량 관점에서 비효율적이고, 배치 처리 툴 또는 클러스터 툴을 위한 추가적인 바닥 공간을 사용한다.
추가적으로, 다수의 웨이퍼들이, 회전될 서셉터 또는 캐러셀 상에 배치된다. 핸드오프 동안, 웨이퍼들은 서셉터 상의 포켓 외부에 오배치될 수 있다. 웨이퍼가 적절히 배치되는지 아닌지를 보여주는 피드백 신호가 없기 때문에, 포켓 외부에 배치된 웨이퍼가 프로세스들 동안 활주할 수 있고 웨이퍼 파손을 야기할 수 있다.
그러므로, 메인프레임 프로세스 챔버들에서 웨이퍼들의 오배치 또는 포켓 이탈 위치들을 검출하여 웨이퍼 파손을 방지하기 위한 방법들 및 장치가 필요하다.
본 개시내용의 하나 이상의 실시예는 처리 챔버에 관한 것이다. 처리 챔버는: 중심 회전 축, 및 중심 회전 축으로부터 거리를 두고 중심 회전 축 주위에 이격된 복수의 기판 지지 영역들을 갖는 지지 조립체 - 지지 조립체는 복수의 기판 지지 영역들을 중심 회전 축을 중심으로 회전시키도록 구성됨 -; 지지 조립체를 향한 전방 표면, 전방 표면 상의 제1 센서 및 전방 표면 상의 제2 센서를 갖는 챔버 덮개 - 제1 센서는 중심 회전 축으로부터 제1 거리에 위치되고, 제2 센서는 중심 회전 축으로부터 제1 거리보다 큰 제2 거리에 위치됨 -; 및 지지 조립체, 제1 센서 및 제2 센서에 연결된 제어기 - 제어기는 기판이 기판 지지 영역 내에 있는지 또는 기판 지지 영역 밖에 있는지를 결정하도록 구성됨 - 를 포함한다.
본 개시내용의 추가적인 실시예들은 방법에 관한 것이다. 방법은: 지지 조립체의 중심 회전 축을 중심으로 복수의 기판 지지 영역들을 회전시키는 단계; 제1 센서를 사용하여 지지 조립체의 회전 각도의 함수로서 중심 회전 축으로부터 제1 거리에서의 온도를 포함하는 제1 온도 프로파일을 측정하는 단계; 제2 센서를 사용하여 지지 조립체의 회전 각도의 함수로서 중심 회전 축으로부터 제2 거리에서의 온도를 포함하는 제2 온도 프로파일을 측정하는 단계 - 제2 거리는 제1 거리보다 큼 -; 및 제1 온도 프로파일 및 제2 온도 프로파일에 기초하여 기판이 기판 지지 영역들 내에 있는지를 결정하는 단계를 포함한다.
본 개시내용의 추가의 실시예들은 비일시적 컴퓨터 판독가능 매체에 관한 것이다. 비일시적 컴퓨터 판독가능 매체는 명령어들을 포함하고, 명령어들은 처리 챔버의 제어기에 의해 실행될 때, 처리 챔버로 하여금: 지지 조립체의 중심 회전 축을 중심으로 복수의 기판 지지 영역들을 회전시키는 단계; 제1 센서를 사용하여 지지 조립체의 회전 각도의 함수로서 중심 회전 축으로부터 제1 거리에서의 온도를 포함하는 제1 온도 프로파일을 측정하는 단계; 제2 센서를 사용하여 지지 조립체의 회전 각도의 함수로서 중심 회전 축으로부터 제2 거리에서의 온도를 포함하는 제2 온도 프로파일을 측정하는 단계 - 제2 거리는 제1 거리보다 큼 -; 및 제1 온도 프로파일 및 제2 온도 프로파일에 기초하여 기판이 기판 지지 영역들 내에 있는지를 결정하는 단계의 동작들을 수행하게 한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있기 때문에, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 예시하고 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1a는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 단면도를 도시하고;
도 1b는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 단면도를 도시하고;
도 2a는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 부분 사시도를 도시하고;
도 2b는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 최상부 플레이트를 도시하고;
도 3a는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 개략도를 도시하고;
도 3b는 본 개시내용의 하나 이상의 실시예에 따른 도 3a의 배치 처리 챔버의 확대 개략도를 도시하고;
도 3c는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버 덮개의 개략도를 도시하고;
도 3d는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버 덮개의 개략도를 도시하고;
도 3e는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 개략도를 도시하고;
도 4는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버에서 사용하기 위한 쐐기 형상 가스 분배 조립체의 일부의 개략도를 도시하고;
도 5는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 개략도를 도시한다.
본 발명의 여러 예시적인 실시예들을 설명하기 전에, 본 발명은 이하의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것을 이해해야 한다. 본 발명은 다른 실시예들이 가능하고, 다양한 방식들로 실시되거나 수행될 수 있다.
본원에서 사용되는 바와 같은 "약"이라는 용어는 대략 또는 거의를 의미하며, 제시된 수치 값 또는 범위의 맥락에서, 수치 값의 ±15% 이하의 변동을 의미한다. 예를 들어, ±14%, ±10%, ±5%, ±2%, 또는 ±1%만큼 상이한 값은 약의 정의를 만족시킬 것이다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판" 또는 "웨이퍼"라는 용어는, 프로세스가 작용하는, 표면 또는 표면의 일부를 지칭한다. 또한, 관련 기술분야의 통상의 기술자는, 문맥이 달리 명확히 나타내지 않는 한, 기판에 대한 언급이 기판의 일부만을 지칭할 수 있다는 것을 이해할 것이다. 추가적으로, 기판 상에 퇴적시키는 것에 대한 언급은, 하나 이상의 막 또는 피처가 기판 상에 퇴적되거나 형성된 기판 및 베어(bare) 기판 양쪽 모두를 의미할 수 있다.
본원에서 사용되는 바와 같은 "기판"은, 그 상에서 제조 프로세스 동안 막 처리가 수행되는, 임의의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 처리가 수행될 수 있는 기판 표면은, 응용에 따라, 물질들, 예컨대, 규소, 산화규소, 응력가해진 규소, 절연체상 규소(SOI), 탄소 도핑된 산화규소들, 비정질 규소, 도핑된 규소, 게르마늄, 비화갈륨, 유리, 사파이어, 및 임의의 다른 물질들, 예컨대, 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들을 포함한다. 기판들은, 제한 없이, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 연마, 식각, 환원, 산화, 히드록실화, 어닐링 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 발명에서, 기판 자체의 표면에 대한 직접적인 막 처리에 추가하여, 개시된 막 처리 단계들 중 임의의 단계는 또한, 아래에 더 상세히 개시되는 바와 같이 기판 상에 형성되는 하부 층에 대해 수행될 수 있으며, "기판 표면"이라는 용어는 문맥이 나타내는 바와 같이 그러한 하부 층을 포함하도록 의도된다. 따라서, 예를 들어, 막/층 또는 부분적인 막/층이 기판 표면 상에 퇴적된 경우, 새롭게 퇴적된 막/층의 노출된 표면이 기판 표면이 된다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등의 용어들은 기판 표면과 반응할 수 있는 임의의 가스 종들을 지칭하는 데에 상호 교환가능하게 사용된다.
본원에서 사용되는 바와 같은 "원자 층 퇴적" 또는 "주기적 퇴적"은 기판 표면 상에 물질의 층을 퇴적시키기 위한, 2개 이상의 반응성 화합물들의 순차적인 노출을 지칭한다. 기판, 또는 기판의 일부는, 처리 챔버의 반응 구역 내에 도입되는 2개 이상의 반응성 화합물들에 개별적으로 노출된다. 시간 도메인 ALD 프로세스에서, 각각의 반응성 화합물에 대한 노출은 시간 지연에 의해 분리되어, 각각의 화합물이 기판 표면 상에 부착되고/거나 그와 반응하고 이어서 처리 챔버로부터 퍼징되는 것을 허용한다. 이 반응성 화합물들은 기판에 순차적으로 노출된다고 한다. 공간적 ALD 프로세스에서, 기판 상의 임의의 주어진 지점이 실질적으로 하나 초과의 반응성 화합물에 동시에 노출되지 않도록, 기판 표면의 상이한 부분들, 또는 기판 표면 상의 물질이 2개 이상의 반응성 화합물들에 동시에 노출된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로"라는 용어는, 관련 기술분야의 통상의 기술자에 의해 이해될 바와 같이, 기판의 작은 부분이, 확산으로 인해 동시에 다수의 반응성 가스들에 노출될 수 있는 가능성이 있고, 동시 노출이 의도되지 않음을 의미한다.
시간 도메인 ALD 프로세스의 일 양상에서, 제1 반응성 가스(즉, 제1 전구체 또는 화합물(A))가 반응 구역 내로 펄싱되고, 제1 시간 지연이 후속된다. 이어서, 제2 전구체 또는 화합물(B)이 반응 구역 내로 펄싱되고, 제2 지연이 후속된다. 각각의 시간 지연 동안, 반응 구역을 퍼징하거나, 다른 방식으로 임의의 잔류 반응성 화합물 또는 반응 부산물들을 반응 구역으로부터 제거하기 위해 퍼지 가스, 예컨대, 아르곤이 처리 챔버 내로 도입된다. 대안적으로, 반응성 화합물들의 펄스들 사이의 시간 지연 동안 오직 퍼지 가스만 유동하도록 퇴적 프로세스 전체에 걸쳐 퍼지 가스가 연속적으로 유동할 수 있다. 반응성 화합물들은 대안적으로, 원하는 막 또는 막 두께가 기판 표면 상에 형성될 때까지 펄싱된다. 어느 시나리오에서든, 화합물(A), 퍼지 가스, 화합물(B) 및 퍼지 가스를 펄싱하는 ALD 프로세스는 주기이다. 주기는 화합물(A) 또는 화합물(B)로 시작하고, 미리 결정된 두께를 갖는 막을 달성할 때까지 주기의 각각의 순서를 계속할 수 있다.
공간적 ALD 프로세스의 실시예에서, 제1 반응성 가스 및 제2 반응성 가스(예를 들어, 질소 가스)는 반응 구역에 동시에 전달되지만, 불활성 가스 커튼 및/또는 진공 커튼에 의해 분리된다. 기판은 기판 상의 임의의 주어진 지점이 제1 반응성 가스 및 제2 반응성 가스에 노출되도록 가스 전달 장치에 대해 이동된다.
본 개시내용의 일부 실시예들은, 공간적 처리 챔버로 또한 지칭되는 배치 처리 챔버를 사용하는 막 퇴적 프로세스들에 관한 것이다. 도 1a는, 처리 용적을 한정하는 챔버 몸체(108)를 함께 형성하는, 챔버 최상부(102), 챔버 측벽(104), 및 챔버 바닥(106)을 갖는 처리 챔버(100)의 단면을 도시한다.
처리 챔버(100)는, 주입기들 또는 주입기 조립체로 또한 지칭되는 가스 분배 조립체(120), 및 서셉터 조립체(140)를 포함한다. 가스 분배 조립체(120)는, 처리 챔버에서 사용되는 임의의 유형의 가스 전달 디바이스이다. 가스 분배 조립체(120)는 서셉터 조립체(140)를 향하는 전방 표면(121)을 포함한다. 전방 표면(121)은 가스들의 유동을 서셉터 조립체(140) 쪽으로 전달하기 위해 임의의 개수의 또는 다양한 개구부들을 가질 수 있다. 가스 분배 조립체(120)는 또한, 도시된 실시예들에서 실질적으로 둥근 외측 에지(124)를 포함한다.
사용되는 가스 분배 조립체(120)의 특정 유형은 사용되는 특정 프로세스에 따라 변할 수 있다. 본 개시내용의 실시예들은, 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 유형의 처리 시스템과 함께 사용될 수 있다. 이원 반응에서, 복수의 가스 채널들은 적어도 하나의 제1 반응성 가스(A) 채널, 적어도 하나의 제2 반응성 가스(B) 채널, 적어도 하나의 퍼지 가스(P) 채널 및/또는 적어도 하나의 진공(V) 채널을 포함할 수 있다. 제1 반응성 가스(A) 채널(들), 제2 반응성 가스(B) 채널(들) 및 퍼지 가스(P) 채널(들)로부터 유동하는 가스들은 웨이퍼의 최상부 표면 쪽으로 지향된다. 가스 유동의 일부는 웨이퍼의 표면에 걸쳐 수평으로 그리고 퍼지 가스(P) 채널(들)을 통해 처리 영역 밖으로 이동한다.
일부 실시예들에서, 가스 분배 조립체(120)는 단일 주입기 유닛으로 이루어진 강성 고정 몸체이다. 하나 이상의 실시예에서, 가스 분배 조립체(120)는, 도 2에 도시된 바와 같이, 복수의 개별 섹터들(예를 들어, 주입기 유닛들(122))로 구성된다. 단일 피스 몸체 또는 다중 섹터 몸체 중 어느 하나가, 설명된 본 개시내용의 다양한 실시예들과 함께 사용될 수 있다.
서셉터 조립체(140)는 처리 챔버(100)의 챔버 몸체(108) 내에서 가스 분배 조립체(120) 아래에 위치된다. 서셉터 조립체(140)는 최상부 표면(141) 및 최상부 표면(141)의 적어도 하나의 함몰부(142)를 포함한다. 서셉터 조립체(140)는 또한, 바닥 표면(143) 및 에지(144)를 갖는다. 함몰부(142)는 처리되고 있는 기판들(60)의 형상 및 크기에 따라 임의의 적합한 형상 및 크기일 수 있다. 도 1에 도시된 실시예에서, 함몰부(142)는 웨이퍼의 바닥을 지지하기 위한 평평한 바닥을 갖지만, 함몰부의 바닥은 변할 수 있다. 일부 실시예들에서, 함몰부(142)는 함몰부의 외측 둘레 에지 주위에 단차 영역들을 갖고, 단차 영역들은 웨이퍼의 외측 둘레 에지를 지지하도록 크기가 정해진다. 단차들에 의해 지지되는 웨이퍼의 외측 둘레 에지의 양은, 예를 들어, 웨이퍼의 두께 및 웨이퍼의 후면 상에 이미 존재하는 피처들의 존재에 따라 변할 수 있다.
일부 실시예들에서, 도 1a에 도시된 바와 같이, 서셉터 조립체(140)의 최상부 표면(141)의 함몰부(142)는 함몰부(142)에 지지된 기판(60)이 서셉터(140)의 최상부 표면(141)과 실질적으로 동일 평면 상에 있는 최상부 표면(61)을 갖도록 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면"이라는 용어는, 웨이퍼의 최상부 표면 및 서셉터 조립체의 최상부 표면이 ±0.2 mm 내의 동일 평면이라는 것을 의미한다. 일부 실시예들에서, 최상부 표면들은 ±0.15 mm, ±0.10 mm 또는 ±0.05 mm 내의 동일 평면이다.
도 1a의 서셉터 조립체(140)는 서셉터 조립체(140)를 상승, 하강 및 축을 중심으로 회전시킬 수 있는 지지 기둥(160)을 포함한다. 서셉터 조립체는 지지 기둥(160)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 구성요소들을 포함할 수 있다. 지지 기둥(160)은 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 갭(170)을 증가시키거나 감소시켜 서셉터 조립체(140)를 적절한 위치로 이동시키는 주요 수단일 수 있다. 서셉터 조립체(140)는 또한, 미리 결정된 갭(170)을 서셉터 조립체(140)와 가스 분배 조립체(120) 사이에 생성하기 위해 서셉터 조립체(140)에 미세 조정들을 행할 수 있는 미세 조정 액추에이터들(162)을 포함할 수 있다.
일부 실시예들에서, 갭(170) 거리는 약 0.1 mm 내지 약 5.0 mm 범위, 또는 약 0.1 mm 내지 약 3.0 mm 범위, 또는 약 0.1 mm 내지 약 2.0 mm 범위, 또는 약 0.2 mm 내지 약 1.8 mm 범위, 또는 약 0.3 mm 내지 약 1.7 mm 범위, 또는 약 0.4 mm 내지 약 1.6 mm 범위, 또는 약 0.5 mm 내지 약 1.5 mm 범위, 또는 약 0.6 mm 내지 약 1.4 mm 범위, 또는 약 0.7 mm 내지 약 1.3 mm 범위, 또는 약 0.8 mm 내지 약 1.2 mm 범위, 또는 약 0.9 mm 내지 약 1.1 mm 범위, 또는 약 1 mm이다.
도 1a에 도시된 처리 챔버(100)는 서셉터 조립체(140)가 복수의 기판들(60)을 유지할 수 있는 캐러셀형 챔버이다. 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 개별 주입기 유닛들(122)을 포함할 수 있고, 각각의 주입기 유닛(122)은 웨이퍼가 주입기 유닛 아래에서 이동될 때 웨이퍼 상에 막을 퇴적시킬 수 있다. 서셉터 조립체(140) 위에 그리고 서셉터 조립체(140)의 대략 대향하는 측들 상에 위치된 2개의 파이 형상 주입기 유닛들(122)이 도시된다. 이러한 개수의 주입기 유닛들(122)은 단지 예시의 목적들만을 위해 도시된다. 더 많거나 더 적은 주입기 유닛들(122)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(140)의 형상을 따르는 형상을 형성하기에 충분한 개수의 파이 형상 주입기 유닛들(122)이 있다. 일부 실시예들에서, 개별적인 파이 형상 주입기 유닛들(122) 각각은, 다른 주입기 유닛들(122) 중 임의의 것에 영향을 미치지 않고서 독립적으로 이동, 제거 및/또는 대체될 수 있다. 예를 들어, 기판들(60)을 로딩/언로딩하기 위해 로봇이 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 영역에 접근하는 것을 허용하도록 하나의 세그먼트가 상승될 수 있다.
하나 이상의 실시예에서, 기판(60)은 기판 지지 영역의 최상부 표면 상에 배치된다. 기판은, 약 0.5 mm, 약 0.6 mm, 약 0.7 mm, 약 0.8 mm, 약 0.9 mm, 약 1.0 mm, 약 1.5 mm, 약 2.0 mm, 약 2.5 mm, 약 3.0 mm, 약 3.5 mm, 약 4.0 mm, 약 4.5 mm, 약 5.0 mm, 약 5.5 mm, 약 6.0 mm, 약 6.5 mm, 약 7.0 mm, 약 7.5 mm, 약 8.0 mm, 약 8.5 mm, 약 9.0 mm, 약 9.5 mm, 약 10.0 mm, 약 10.5 mm, 약 11.0 mm, 약 11.5 mm, 약 12.0 mm, 약 12.5 mm, 약 13.0 mm, 약 13.5 mm, 약 14.0 mm, 약 14.5 mm, 및 약 15.0 mm를 포함하는, 약 0.5 mm 내지 약 15 mm 범위의 두께를 갖는다. 하나 이상의 실시예에서, 기판은 약 0.7 mm 내지 약 0.8 mm 범위의 두께를 갖는다.
도 1b는 본 개시내용의 하나 이상의 실시예에 따른 대안적인 처리 챔버를 예시한다. 처리 챔버(100)는 벽들(204) 및 바닥(206)을 갖는 하우징(202)을 갖는다. 하우징(202)은 최상부 플레이트(300)와 함께, 처리 용적으로 또한 지칭되는 내부 용적(109)을 한정한다.
처리 챔버(100)는 복수의 프로세스 스테이션들(110)을 포함한다. 프로세스 스테이션들(110)은 하우징(102)의 내부 용적(109)에 위치되고, 지지 조립체(200)의 회전 축(211) 주위에 원형 배열로 위치된다. 프로세스 스테이션들(110)은 처리 챔버(100)의 내부 용적(109) 주위에 공간적으로 배열된다. 각각의 프로세스 스테이션(110)은 정면(114)을 갖는 가스 주입기(112)를 포함한다. 일부 실시예들에서, 각각의 가스 주입기들(112)의 정면들(114)은 실질적으로 동일 평면 상에 있다. 프로세스 스테이션들(110)은 처리가 발생할 수 있는 영역으로서 한정된다. 예를 들어, 프로세스 스테이션(110)은, 아래에 설명되는 바와 같이, 가열기들(230)의 지지 표면(231), 및 가스 주입기들(112)의 정면(114)에 의해 한정될 수 있다.
프로세스 스테이션들(110)은 임의의 적합한 프로세스를 수행하고 임의의 적합한 프로세스 조건들을 제공하도록 구성될 수 있다. 사용되는 가스 주입기(112)의 유형은, 예를 들어, 수행되는 프로세스의 유형 및 샤워헤드 또는 가스 주입기의 유형에 따를 것이다. 예를 들어, 원자 층 퇴적 장치로서 동작하도록 구성된 프로세스 스테이션(110)은 샤워헤드 또는 와류 유형의 가스 주입기를 가질 수 있다. 반면에, 플라즈마 스테이션으로서 동작하도록 구성된 프로세스 스테이션(110)은 플라즈마 가스가 웨이퍼를 향해 유동하는 것을 허용하면서 플라즈마를 생성하기 위해 하나 이상의 전극 및/또는 접지된 플레이트 구성을 가질 수 있다. 적합한 프로세스 스테이션들(110)은 열 처리 스테이션들, 마이크로파 플라즈마, 3개 전극 CCP, ICP, 평행 플레이트 CCP, UV 노출, 레이저 처리, 펌핑 챔버들, 어닐링 스테이션들 및 계측 스테이션들을 포함하지만, 이에 제한되지 않는다.
본 개시내용의 일부 실시예들은 다중 스테이션 처리 챔버들을 위한 최상부 플레이트들(300)(또는 챔버 덮개들)에 관한 것이다. 도 2b를 참조하면, 최상부 플레이트(300)는, 덮개의 두께를 한정하는, 최상부 표면(301) 및 바닥 표면(302), 및 하나 이상의 에지(303)를 갖는다. 최상부 플레이트(300)는 그의 두께를 통해 연장되는 적어도 하나의 개구부(310)를 포함한다. 개구부들(310)은 프로세스 스테이션(110)을 형성할 수 있는 가스 주입기(112)의 추가를 허용하도록 크기가 정해진다.
다수의 가스 주입기들을 갖는 처리 챔버들은 웨이퍼들이 동일한 프로세스 흐름을 경험하도록 다수의 웨이퍼들을 동시에 처리하는 데에 사용될 수 있다. 예를 들어, 도 3a에 도시된 바와 같이, 처리 챔버(100)는 4개의 가스 분배 조립체들(120) 및 4개의 기판들(60)을 갖는다. 처리의 시작에서, 기판들(60)은 가스 분배 조립체들(120) 사이에 위치될 수 있다. 서셉터 조립체(140)를 45°만큼 회전시키는 것(17)은, 가스 분배 조립체들(120) 아래의 점선 원으로 예시된 바와 같이, 가스 분배 조립체들(120) 사이에 있는 각각의 기판(60)이 막 퇴적을 위해 다른 가스 분배 조립체(120)로 이동되는 것을 초래할 것이다. 추가적인 45 ° 회전은 기판들(60)을 가스 분배 조립체들(120)로부터 벗어나게 이동시킬 것이다. 기판들(60) 및 가스 분배 조립체들(120)의 개수는 동일하거나 상이할 수 있다. 일부 실시예들에서, 존재하는 가스 분배 조립체들과 동일한 개수의 처리 중인 웨이퍼들이 존재한다. 하나 이상의 실시예에서, 처리 중인 웨이퍼들(또는 기판들(60))의 개수는 가스 분배 조립체들의 개수의 분수 또는 정수 배수이다. 예를 들어, 4개의 가스 분배 조립체들이 존재하는 경우, 처리 중인 웨이퍼는 4x개가 존재하고, 여기서 x는 1 이상의 정수 값이다. 예시적인 실시예에서, 가스 분배 조립체(120)는 가스 커튼들에 의해 분리된 8개의 처리 영역들을 포함하고, 서셉터 조립체(140)는 6개의 웨이퍼들을 유지할 수 있다.
도 3a에 도시된 처리 챔버(100)는 단지 하나의 가능한 구성을 나타내며, 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다. 여기서, 처리 챔버(100)는 복수의 가스 분배 조립체들(120)을 포함한다. 도시된 실시예에서, 처리 챔버(100) 주위에 균일하게 이격된 4개의 가스 분배 조립체들(120)(또한, 주입기 조립체들로 불리움)이 존재한다. 도시된 처리 챔버(100)는 팔각형이지만, 관련 기술분야의 통상의 기술자들은 이는 하나의 가능한 형상이고 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 것을 이해할 것이다. 도시된 가스 분배 조립체들(120)은 사다리꼴이지만, 도 2a에 도시된 것과 같이, 단일 원형 구성요소일 수 있거나 복수의 파이 형상 세그먼트들로 구성될 수 있다.
도 3a에 도시된 실시예는 로드 록 챔버(180), 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(180)는, 예를 들어, 기판들(또한, 기판들(60)로 지칭됨)이 챔버(100)로부터 로딩/언로딩되는 것을 허용하기 위해, 처리 챔버(100)의 측부에 연결된다. 웨이퍼 로봇은 기판을 서셉터 상으로 이동시키기 위해 챔버(180)에 위치될 수 있다.
캐러셀(예를 들어, 서셉터 조립체(140))의 회전은 연속적이거나 간헐적(불연속적)일 수 있다. 연속적인 처리에서, 웨이퍼들은 웨이퍼들이 주입기들 각각에 차례로 노출되도록 연속적으로 회전한다. 불연속적인 처리에서, 웨이퍼들은 주입기 영역으로 이동되고 정지되고, 그 다음, 주입기들 사이의 영역(84)으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은, 웨이퍼들이 주입기 간 영역으로부터 주입기를 가로질러(또는 주입기에 인접하여 정지하고) 다음 주입기 간 영역(여기서 캐러셀이 다시 일시정지할 수 있음) 상으로 이동하도록 회전할 수 있다. 주입기들 사이에서의 일시정지는 각각의 층 퇴적 사이의 추가적인 처리(예를 들어, 플라즈마에 대한 노출)를 위한 시간을 제공할 수 있다.
도 3a에 예시된 처리 챔버의 부분(65)의 확대도인 도 3b에 예시된 바와 같이, 하나 이상의 실시예에서, 기판들(60)은 회전될 때 함몰부(또는 포켓)(142)에 안착되어 정렬되지 않을 수 있다. 그러한 경우들에서, 기판(60)은 포켓 이탈로 간주되는데, 포켓 이탈은 기판에 해로울 수 있고, 기판(60)의 파손으로 이어진다.
이에 따라, 도 3c 및 3d를 참조하면, 하나 이상의 실시예에서, 처리 챔버가 유리하게 제공되고, 여기서 챔버 최상부(102)(또는 챔버 덮개)는 전방 표면 상의 제1 센서(85) 및 전방 표면 상의 제2 센서(86)를 포함하고, 제1 센서(85)는 중심 회전 축(211)으로부터 제1 거리(R1)에 위치되고, 제2 센서(86)는 중심 회전 축(211)으로부터 제1 거리(R1)보다 큰 제2 거리(R2)에 위치된다. 지지 조립체(140)(또는 서셉터 조립체(140)), 제1 센서(85), 및 제2 센서(86)에 연결되는 제어기(195)(도 1a에 예시됨)는 기판(60)이 기판 지지 영역(88) 내에 있는지 외부에 있는지를 결정하도록 구성된다. 하나 이상의 실시예에서, 온도 데이터는 제어기로부터 수집되고, 이는 웨이퍼가 기판 지지 영역 내에 있는지 외부에 있는지를 결정하기 위해 사용된다. 따라서, 하나 이상의 실시예는 처리 챔버를 유리하게 제공하고, 처리 챔버는: 중심 회전 축, 및 중심 회전 축으로부터 거리를 두고 중심 회전 축 주위에 이격된 복수의 기판 지지 영역들(142)을 갖는 지지 조립체(140)를 포함한다. 지지 조립체(140)는 중심 회전 축을 중심으로 복수의 기판 지지 영역들(88)을 회전시키도록 구성된다. 하나 이상의 실시예에서, 챔버 덮개(102)는 지지 조립체(140)를 향하는 전방 표면(예시되지 않음), 전방 표면 상의 제1 센서(85) 및 전방 표면 상의 제2 센서(86)를 갖고, 제1 센서(85)는 중심 회전 축으로부터 제1 거리(R1)에 위치되고, 제2 센서(86)는 중심 회전 축으로부터 제1 거리(R1)보다 큰 제2 거리(R2)에 위치된다. 하나 이상의 실시예에서, 제1 거리(R1) 및 제2 거리(R2)는 기판 지지 영역들의 내측 직경과 외측 직경 사이에 있다.
하나 이상의 실시예에서, 제어기(195)는 지지 조립체(140), 제1 센서(85), 및 제2 센서(86)에 연결되고, 제어기(195)는 기판(60)이 기판 지지 영역(88) 내에 있는지 외부에 있는지를 결정하도록 구성된다. 하나 이상의 실시예에서, 제1 센서(85) 및 제2 센서(86)는 고온계, 스루 빔, 서미스터, 온도계, 열전쌍, 온도 게이지, 또는 IR 카메라 중 하나 이상을 독립적으로 포함한다. 하나 이상의 실시예에서, 제1 센서(85) 및 제2 센서(86)는 지지 조립체(140)와 기판(60) 사이의 온도의 변화들을 독립적으로 검출하고, 제어기(195)는 온도 측정들에 기초하여 기판(60)이 기판 지지 영역(140) 내에 있는지를 결정하도록 구성된다.
도 3c를 참조하면, 하나 이상의 실시예에서, 기판 지지 영역들(88)은 지지 조립체(140)의 최상부 표면에 기판(60)을 지지하도록 크기가 정해진 함몰부들(142)을 포함하고, 제어기(195)는 기판(60)이 함몰부(142) 내에 있는지를 결정한다. 일부 실시예들에서, 지지 조립체(140)는 적어도 하나의 함몰부(142)에 원형 홈을 포함하고, 원형 홈은 진공 공급원 또는 불활성 가스 공급원 중 하나 이상과 유체 연통한다.
도 3e를 참조하면, 하나 이상의 실시예에서, 기판 지지 영역들(88)은 기판 지지 영역들(88)의 외측 단부에 위치된 가열기(230)를 포함할 수 있다. 일부 실시예들에서, 각각의 기판 지지 영역(88)은 가열기(230)를 갖는다. 가열기들(230)의 중심은 중앙 베이스(210)의 회전 시에 가열기들(230)이 원형 경로로 이동하도록 회전 축(211)으로부터 거리를 두고 위치된다.
도 1b를 참조하면, 가열기들(230)은 웨이퍼를 지지할 수 있는 지지 표면(231)을 갖는다. 일부 실시예들에서, 가열기(230) 지지 표면들(231)은 실질적으로 동일 평면 상에 있다. 이러한 방식으로 사용되는 바와 같이, "실질적으로 동일 평면 상에"는, 개별 지지 표면들(231)에 의해 형성되는 평면들이, 다른 지지 표면들(231)에 의해 형성되는 평면들의 ±5 °, ±4 °, ±3 °, ±2 ° 또는 ±1 ° 내에 있다는 것을 의미한다.
일부 실시예들에서, 가열기들(230)은 기판 지지 영역들(88)의 외측 단부 상에 직접 위치된다. 일부 실시예들에서, 도 1b에 예시된 바와 같이, 가열기들(230)은 가열기 스탠드오프(234)에 의해 지지 암들(220)의 외측 단부(222) 위로 상승된다. 가열기 스탠드오프들(234)은 가열기들(230)의 높이를 증가시키기 위해 임의의 크기 및 길이일 수 있다.
일부 실시예들에서, 채널(236)은 중앙 베이스(210), 지지 암들(220) 및/또는 가열기 스탠드오프들(234) 중 하나 이상에 형성된다. 채널(236)은 전기 연결들을 라우팅하거나 가스 유동을 제공하는 데 사용될 수 있다.
가열기들은 통상의 기술자에게 알려진 임의의 적합한 유형의 가열기일 수 있다. 일부 실시예들에서, 가열기는 가열기 몸체 내에 하나 이상의 가열 요소를 갖는 저항성 가열기이다.
일부 실시예들에서, 챔버 덮개(102)는 지지 조립체(140)를 향해 가스들의 유동을 전달하도록 구성된 복수의 개구부들을 더 포함한다. 하나 이상의 실시예에서, 복수의 개구부들 중 적어도 일부는 방사상으로 정렬된 슬롯들이다. 방사상으로 정렬된 슬롯들은 상이한 각도 위치들에 균일하게 이격될 수 있다.
도 4는, 주입기 유닛(122)으로 지칭될 수 있는, 가스 분배 조립체의 섹터 또는 부분을 도시한다. 주입기 유닛들(122)은 개별적으로 또는 다른 주입기 유닛들과 조합하여 사용될 수 있다. 예를 들어, 도 5에 도시된 바와 같이, 도 4의 주입기 유닛들(122) 중 4개가, 단일 가스 분배 조립체(220)를 형성하기 위해 조합된다. (4개의 주입기 유닛들을 분리시키는 라인들은 명료성을 위해 도시되지 않는다.) 도 4의 주입기 유닛(122)은 퍼지 가스 포트들(155) 및 진공 포트들(145)에 추가적으로 제1 반응성 가스 포트(125) 및 제2 가스 포트(135) 양쪽 모두를 갖지만, 주입기 유닛(122)은 이러한 구성요소들 전부를 필요로 하지는 않는다.
도 4 및 5 양쪽 모두를 참조하면, 하나 이상의 실시예에 따른 가스 분배 조립체(220)는 각각의 섹터가 동일하거나 상이한 복수의 섹터들(또는 주입기 유닛들(122))을 포함할 수 있다. 가스 분배 조립체(220)는 처리 챔버 내에 위치되고, 가스 분배 조립체(120)의 전방 표면(121)에 복수의 세장형 가스 포트들(125, 135, 155) 및 세장형 진공 포트들(145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 155) 및 세장형 진공 포트들(145)은 내측 둘레 에지(123)에 인접한 영역으로부터 가스 분배 조립체(220)의 외측 둘레 에지(124)에 인접한 영역을 향해 연장된다. 도시된 복수의 가스 포트들은, 제1 반응성 가스 포트(125), 제2 가스 포트(135), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145) 및 퍼지 가스 포트(155)를 포함한다.
도 4 또는 5에 도시된 실시예들에 관하여, 포트들이 적어도 내측 둘레 영역 주위로부터 적어도 외측 둘레 영역 주위로 연장되는 것을 언급할 때, 그러나, 포트들은 단지 방사상으로 내측으로부터 외측 영역들까지보다 그 이상으로 연장될 수 있다. 포트들은 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러쌀 때 접선 방향으로 연장될 수 있다. 도 4 및 5에 도시된 실시예에서, 쐐기 형상 반응성 가스 포트들(125, 135)은, 내측 둘레 영역 및 외측 둘레 영역에 인접한 것을 포함하여, 모든 에지들 상에서 진공 포트(145)에 의해 둘러싸인다.
도 4를 참조하면, 기판이 경로(127)를 따라 이동할 때, 기판 표면의 각각의 부분은 다양한 반응성 가스들에 노출된다. 경로(127)를 따르기 위해, 기판은 퍼지 가스 포트(155), 진공 포트(145), 제1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제2 가스 포트(135) 및 진공 포트(145)에 노출되거나 이들을 "만나게" 될 것이다. 따라서, 도 4에 도시된 경로(127)의 끝에서, 기판은 층을 형성하기 위해 제1 가스 포트(125) 및 제2 가스 포트(135)에 노출되었다. 도시된 주입기 유닛(122)은 사분원을 만들지만, 더 크거나 더 작을 수 있다. 도 5에 도시된 가스 분배 조립체(220)는, 직렬로 연결된, 도 4의 주입기 유닛들(122) 중 4개의 주입기 유닛들의 조합으로 간주될 수 있다.
도 4의 주입기 유닛(122)은 반응성 가스들을 분리시키는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는, 반응성 가스들을 혼합으로부터 분리시키는 가스 유동들 또는 진공의 임의의 조합을 설명하는 데에 사용된다. 도 4에 도시된 가스 커튼(150)은 제1 반응성 가스 포트(125) 옆의 진공 포트(145)의 부분, 중간의 퍼지 가스 포트(155) 및 제2 가스 포트(135) 옆의 진공 포트(145)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은 제1 반응성 가스 및 제2 반응성 가스의 가스 상 반응들을 방지하거나 최소화하는 데에 사용될 수 있다.
도 5를 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들 및 진공의 조합은 복수의 처리 영역들(250)로의 분리를 형성한다. 처리 영역들은, 250 사이의 가스 커튼(150)으로 개별 가스 포트들(125, 135) 주위에 대략적으로 한정된다. 도 5에 도시된 실시예는, 8개의 개별 처리 영역들(250)과 그 사이의 8개의 개별 가스 커튼들(150)을 구성한다. 처리 챔버는 적어도 2개의 처리 영역을 가질 수 있다. 일부 실시예들에서, 적어도 3, 4, 5, 6, 7, 8, 9, 10, 11 또는 12개의 처리 영역들이 존재한다.
처리 동안, 기판은 임의의 주어진 시간에 하나 초과의 처리 영역(250)에 노출될 수 있다. 그러나, 상이한 처리 영역들에 노출되는 부분들은 그 둘을 분리시키는 가스 커튼을 가질 것이다. 예를 들어, 기판의 선단 에지가, 제2 가스 포트(135)를 포함하는 처리 영역에 진입하면, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이고 기판의 후단 에지는, 제1 반응성 가스 포트(125)를 포함하는 처리 영역에 있을 것이다.
예를 들어, 로드 록 챔버일 수 있는 팩토리 인터페이스(280)는 처리 챔버(100)에 연결된 것으로 도시된다. 기판(60)은 기준 프레임을 제공하기 위해 가스 분배 조립체(220) 위에 중첩되는 것으로 도시된다. 기판(60)은 종종, 가스 분배 조립체(120)의 전방 표면(121) 근처에 유지되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은 팩토리 인터페이스(280)를 통해 처리 챔버(100) 내에 기판 지지부 또는 서셉터 조립체 상에 로딩된다(도 3 참고). 기판(60)은 처리 영역 내에 위치된 것으로 도시될 수 있는데 이는 기판이 제1 반응성 가스 포트(125)에 인접하여 그리고 2개의 가스 커튼들(150a, 150b) 사이에 위치되기 때문이다. 기판(60)을 경로(127)를 따라 회전시키는 것은 기판을 처리 챔버(100) 주위에서 반시계 방향으로 이동시킬 것이다. 따라서, 기판(60)은 제1 처리 영역(250a)으로부터 제8 처리 영역(250h)에까지, 그 사이의 모든 처리 영역들을 포함하여, 노출될 것이다.
본 개시내용의 실시예들은, 각각의 처리 영역이 가스 커튼(150)에 의해 인접 영역으로부터 분리되는, 복수의 처리 영역들(250a-250h)을 갖는 처리 챔버(100)를 포함하는 처리 방법들에 관한 것이다. 예를 들어, 처리 챔버가 도 5에 도시된다. 처리 챔버 내의 가스 커튼들 및 처리 영역들의 개수는 가스 유동들의 배열에 따라 임의의 적합한 개수일 수 있다. 도 5에 도시된 실시예는 8개의 가스 커튼들(150) 및 8개의 처리 영역들(250a-250h)을 갖는다. 가스 커튼들의 개수는 일반적으로, 처리 영역들의 개수 이상이다. 일부 실시예들에서, 처리 영역들의 개수는 가스 커튼들의 개수보다 많으며, 가스 커튼에 의해 분리되지 않은 처리 영역들에 존재하는 가스들 중 하나 이상은 조합된다.
복수의 기판들(60)이 기판 지지부, 예를 들어, 도 1a 및 1b에 도시된 서셉터 조립체(140) 상에 위치된다. 복수의 기판들(60)은 처리를 위해 처리 영역들 주위로 회전된다. 일반적으로, 가스 커튼들(150)은, 챔버 내로 어떠한 반응성 가스도 유동하지 않는 기간들을 포함하여 처리 전반에 걸쳐 관여된다(가스가 유동하고 진공이 활성화됨).
제1 반응성 가스(A)는 처리 영역들(250) 중 하나 이상 내로 유동되는 한편, 불활성 가스는, 제1 반응성 가스(A)가 내부로 유동되지 않는 임의의 처리 영역(250) 내로 유동된다. 예를 들어, 제1 반응성 가스가 처리 영역들(250b) 내지 처리 영역(250h) 내로 유동하는 경우, 불활성 가스는 처리 영역(250a) 내로 유동할 것이다. 불활성 가스는 제1 반응성 가스 포트(125) 또는 제2 가스 포트(135)를 통해 유동될 수 있다.
처리 영역들 내에서의 불활성 가스 유동은 일정하거나 변할 수 있다. 일부 실시예들에서, 반응성 가스는 불활성 가스와 공동 유동된다. 불활성 가스는 캐리어 및 희석제로서 작용할 것이다. 캐리어 가스에 비해 반응성 가스의 양이 적으므로, 공동 유동은 인접 영역들 간의 압력 차이들을 감소시킴으로써 처리 영역들 간의 가스 압력들을 평형화하는 것을 더 용이하게 할 수 있다.
이에 따라, 본 개시내용의 하나 이상의 실시예는 도 5에 도시된 것과 같은 배치 처리 챔버를 활용하는 처리 방법들에 관한 것이다. 기판(60)은 복수의 섹션들(250)을 갖는 처리 챔버 내에 배치되고, 각각의 섹션은 가스 커튼(150)에 의해 인접 섹션으로부터 분리된다. 기판 표면의 적어도 일부는 처리 챔버의 제1 섹션(250a)에서 제1 프로세스 조건에 노출된다.
기판 표면은, 가스 커튼(150)을 통해 처리 챔버의 제2 섹션(250b)으로 측방향으로 이동된다. 기판 표면은 제2 섹션(250b)에서 제2 프로세스 조건에 노출된다.
기판 표면은, 가스 커튼(150)을 통해 처리 챔버의 제3 섹션(250c)으로 측방향으로 이동된다. 그 다음, 기판 표면은 제3 섹션(250c)에서 제3 프로세스 조건에 노출될 수 있다. 일부 실시예들에서, 제3 섹션(250c)은, 제1 섹션(250a) 또는 제2 섹션(250b) 중 하나 이상의 섹션과 동일한 프로세스 조건을 포함한다.
기판 표면은, 가스 커튼(150)을 통해 처리 챔버의 제4 섹션(250d)으로 측방향으로 이동된다. 그 다음, 기판 표면은 제4 섹션(250d)에서 제4 프로세스 조건에 노출될 수 있다. 일부 실시예들에서, 제4 섹션(250d)은, 제1 섹션(250a), 제2 섹션(250b), 또는 제3 섹션(250c) 중 하나 이상의 섹션과 동일한 프로세스 조건을 포함한다.
제5 섹션(250e), 제6 섹션(250f), 제7 섹션(250g), 및/또는 제8 섹션(250h)은 각각, 제1 내지 제4 프로세스 조건들 중 하나 이상을 독립적으로 가질 수 있거나, 상이한 프로세스 조건들을 가질 수 있다. 일부 실시예들에서, 제1, 제3, 제5, 및 제7 섹션들은 동일한 프로세스 조건들을 갖고, 제2, 제4, 제6, 및 제8 섹션들은 동일한 프로세스 조건들을 가지며, 따라서, 처리 챔버 주위에서 일 주기를 이루는 웨이퍼는 제1 프로세스 조건 및 제2 프로세스 조건의 네 번의 반복 노출들에 노출될 것이다. 예를 들어, 웨이퍼는, 네 번의 AB 반복들을 이루기 위해, 각각 제1 프로세스 조건 및 제2 프로세스 조건의 A 프로세스 및 B 프로세스에 대한 네 번의 반복되는 노출들에 직면할 수 있다.
일부 실시예들에서, 제1 및 제5 섹션들은 제1 프로세스 조건을 갖고, 제2 및 제6 섹션들은 제2 프로세스 조건을 갖고, 제3 및 제7 섹션들은 제3 프로세스 조건을 갖고, 제4 및 제8 섹션들은 제4 프로세스 조건을 갖는다. 이러한 구성의 처리 챔버 주위에서 완전한 주기를 이루는 웨이퍼는, 4개의 순차적인 프로세스 조건들에 대해 두 번의 반복되는 노출들을 가질 것이다. 예를 들어, 웨이퍼는, 두 번의 ABCD 반복들을 이루기 위해, 각각 제1 프로세스 조건, 제2 프로세스 조건, 제3 프로세스 조건, 및 제4 프로세스 조건의 A 프로세스, B 프로세스, C 프로세스, 및 D 프로세스에 대한 두 번의 반복되는 노출들에 직면할 수 있다.
일부 실시예들에서, 처리 영역들 중 적어도 하나는 플라즈마가 생성되는 플라즈마 처리 영역이고, 처리 영역들 중 적어도 하나는 플라즈마가 생성되지 않는 비-플라즈마 처리 영역이다. 플라즈마 처리 영역은, 서셉터 조립체 또는 기판이 전극으로서 작용하는 직접 플라즈마 처리 영역, 또는 서셉터 조립체 또는 기판이 전극으로서 작용하지 않고 플라즈마가 생성되는 원격 플라즈마 처리 영역일 수 있다. 통상의 기술자는, 플라즈마 처리 영역은, 직접이든 원격이든, RF 핫 전극에 연결되는 적합한 전원을 가질 것임을 인지할 것이다. 전원은, 미리 결정된 주파수의 전력을 RF 핫 전극에 공급한다. 전력을 공급받는 전극은, 플라즈마를 형성하기 위해 플라즈마 공급원 내의 가스를 이온화한다.
본 개시내용의 일부 실시예들은, 플라즈마가 없는 제1 처리 영역과 플라즈마가 있는 제2 처리 영역 사이에서 기판을 이동시키는 단계를 포함하는 처리 방법들에 관한 것이다. 제1 처리 영역은 또한, 비-플라즈마 처리 영역으로 지칭된다. 제2 처리 영역은 또한, 플라즈마 처리 영역으로 지칭된다. 기판은 항복 전압을 갖는다. 통상의 기술자는, 기판이, 기판의 임의의 부분 또는 기판 상에 형성되고 있는 디바이스(예컨대, 트랜지스터)를 지칭한다는 것을 인지할 것이다.
일부 실시예들의 기판은 처리 영역보다 더 크며, 따라서, 기판 전부가 임의의 주어진 시간에 처리 영역 내에 피팅될 수 있는 것은 아니다. 플라즈마 처리 영역과 비-플라즈마 처리 영역 사이에서의 기판의 이동 동안, 기판의 일부는 플라즈마에 노출되고 기판의 일부는 플라즈마에 노출되지 않는다. 이러한 불균일한 플라즈마 노출은 기판 상의 전하 축적 또는 전압(전위) 차를 초래한다.
일부 실시예들에서, 서셉터 조립체의 중심 축을 중심으로 한 기판의 회전은, 기판 상의 임의의 주어진 지점이 약 100 밀리초 내지 약 500 밀리초의 범위 내의 시간 동안 특정 처리 영역(예를 들어, 제2 처리 영역 또는 플라즈마 처리 영역) 내에 있기에 충분하다. 일부 실시예들에서, 회전 속도는, 기판 상의 임의의 주어진 지점이 약 150 밀리초 내지 약 300 밀리초의 범위 내의 시간 또는 약 200 밀리초 동안 특정 처리 영역에 노출되기에 충분하다.
하나 이상의 실시예는 방법에 관한 것이다. 하나 이상의 실시예의 방법은 지지 조립체의 중심 회전 축을 중심으로 복수의 기판 지지 영역들을 회전시키는 단계를 포함한다. 하나 이상의 실시예에서, 중심 회전 축으로부터 제1 거리에서의 온도를 포함하는 제1 온도 프로파일은 제1 센서를 사용하여 지지 조립체의 회전 각도의 함수로서 측정된다. 하나 이상의 실시예에서, 중심 회전 축으로부터 제2 거리에서의 온도를 포함하는 제2 온도 프로파일은 제2 센서를 사용하여 지지 조립체의 회전 각도의 함수로서 측정되고, 제2 거리는 제1 거리보다 크다. 하나 이상의 실시예에서, 제1 온도 프로파일 및 제2 온도 프로파일에 기초하여, 기판이 기판 지지 영역들 내에 있는지가 결정된다.
하나 이상의 실시예에서, 기판이 기판 지지 영역 내에 있는지를 결정하는 단계는 제1 온도 프로파일 및 제2 온도 프로파일로부터의 온도 변화들의 각도 관계를 결정하는 단계를 더 포함한다.
일부 실시예들에서, 방법은 제1 온도 프로파일 및 제2 온도 프로파일로부터의 온도 변화들의 각도 관계에 기초한 위치 프로파일을 기판 지지 영역들의 표준 위치 프로파일과 비교하는 단계를 더 포함한다. 하나 이상의 실시예에서, 방법은 기판의 적어도 3개의 에지 위치들을 피팅함으로써 기판의 중심을 결정하는 단계를 더 포함한다.
이론에 얽매이는 것을 의도하지 않지만, 방법은 제1 및 제2 온도 프로파일에 기초하는 것으로 생각된다. 하나 이상의 실시예에서, 기판과 지지 조립체 사이의 전이점은 기판 좌표에 대해 결정된다. 전이점들에 기초하여, 기판의 중심 위치가 계산된다. 중심 위치와 공칭 중심 위치(교정되거나 설계에 의해 결정됨) 사이의 차이에 기초하여, 알고리즘은 기판이 지지 영역들 상에 배치되는지 아닌지를 결정한다.
일부 실시예들에서, 제어기는 서셉터 조립체 및 가스 분배 조립체에 결합된다. 제어기는 다양한 기능들 및 프로세스들을 제어하기 위한 하나 이상의 구성을 갖는다. 일부 실시예들에서, 구성들은, 중심 축을 중심으로 서셉터 조립체를 회전시키기 위한 제1 구성, 비-플라즈마 처리 영역 내로의 가스의 유동을 제공하기 위한 제2 구성, 플라즈마 처리 영역 내로의 가스의 유동을 제공하기 위한 제3 구성, 플라즈마를 점화하기 위해 플라즈마 처리 영역에 전력을 제공하기 위한 제4 구성, 및/또는 플라즈마 처리 영역에 대한 ON 시간 및 OFF 시간을 생성하기 위해 플라즈마 처리 영역에 대한 전력을 펄싱하기 위한 제5 구성으로부터 선택된다.
본원에 설명된 방법들은, 명령어들을 포함하는 비일시적 컴퓨터 판독가능 저장 매체에 의해 실행될 수 있고, 명령어들은, 처리 챔버의 제어기 또는 처리 유닛에 의해 실행될 때, 처리 시스템으로 하여금 개시된 방법들을 수행하게 한다. 일부 실시예들에서, 비일시적 컴퓨터 판독가능 저장 매체는 명령어들을 포함하고, 명령어들은 처리 챔버의 처리 유닛(예를 들어, 제어기)에 의해 실행될 때, 처리 챔버로 하여금: 지지 조립체의 중심 회전 축을 중심으로 복수의 기판 지지 영역들을 회전시키는 단계; 제1 센서를 사용하여 지지 조립체의 회전 각도의 함수로서 중심 회전 축으로부터 제1 거리에서의 온도를 포함하는 제1 온도 프로파일을 측정하는 단계; 제2 센서를 사용하여 지지 조립체의 회전 각도의 함수로서 중심 회전 축으로부터 제2 거리에서의 온도를 포함하는 제2 온도 프로파일을 측정하는 단계 - 제2 거리는 제1 거리보다 큼 -; 및 제1 온도 프로파일 및 제2 온도 프로파일에 기초하여 기판이 기판 지지 영역들 내에 있는지를 결정하는 단계의 동작들을 수행하게 한다.
하나 이상의 실시예에 따르면, 기판은 층을 형성하기 이전에 및/또는 이후에 처리를 겪는다. 이러한 처리는 동일한 챔버에서 또는 하나 이상의 별개의 처리 챔버에서 수행될 수 있다. 일부 실시예들에서, 기판은 추가의 처리를 위해 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은 제1 챔버로부터 별개의 처리 챔버로 직접 이동될 수 있거나, 기판은 제1 챔버로부터 하나 이상의 이송 챔버로 이동된 다음, 별개의 처리 챔버로 이동될 수 있다. 이에 따라, 처리 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터형 시스템" 등으로 지칭될 수 있다.
일반적으로, 클러스터 툴은, 기판 중심 찾기 및 배향, 탈가스, 어닐링, 퇴적 및/또는 식각을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈형 시스템이다. 하나 이상의 실시예에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는 처리 챔버들과 로드 록 챔버들 사이에서 그리고 그들 간에 기판들을 왕복시킬 수 있는 로봇을 수납할 수 있다. 이송 챔버는 전형적으로, 진공 조건으로 유지되며, 기판들을 하나의 챔버로부터, 클러스터 툴의 전단부에 위치된 로드 록 챔버 및/또는 다른 챔버로 왕복시키기 위한 중간 스테이지를 제공한다. 본 개시내용에 적응될 수 있는 2개의 잘 알려진 클러스터 툴들은 센츄라®(Centura®) 및 엔듀라®(Endura®)이며, 이 둘 모두는 캘리포니아주 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능하다. 그러나, 챔버들의 정확한 배열 및 조합은, 본원에 설명되는 바와 같은 프로세스의 특정 단계들을 수행할 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 처리 챔버들은, 주기적 층 퇴적(CLD), 원자 층 퇴적(ALD), 화학 기상 퇴적(CVD), 물리 기상 퇴적(PVD), 식각, 사전 세정, 화학적 세정, 열 처리, 예컨대, RTP, 플라즈마 질화, 탈가스, 배향, 히드록실화 및 다른 기판 프로세스들을 포함할 수 있지만, 이에 제한되지 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염은, 후속 막을 퇴적시키기 전에 산화 없이 회피될 수 있다.
하나 이상의 실시예에 따르면, 기판은 계속적으로 진공 또는 "로드 록" 조건들 하에 있고, 한 챔버로부터 다음 챔버로 이동될 때 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운"된다. 처리 챔버들 또는 이송 챔버들에 불활성 가스들이 존재할 수 있다. 일부 실시예들에서, 불활성 가스는 반응물들의 일부 또는 전부를 제거하기 위한 퍼지 가스로서 사용된다. 하나 이상의 실시예에 따르면, 반응물들이 퇴적 챔버로부터 이송 챔버 및/또는 추가적인 처리 챔버로 이동하는 것을 방지하기 위해, 퍼지 가스가 퇴적 챔버의 출구에 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에 커튼을 형성한다.
기판은 단일 기판 퇴적 챔버들에서 처리될 수 있으며, 여기서, 다른 기판이 처리되기 전에 단일 기판이 로딩되고, 처리되고 언로딩된다. 기판은 또한, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩되는, 컨베이어 시스템과 유사한 연속적인 방식으로 처리될 수 있다. 챔버 및 연관된 컨베이어 시스템의 형상은 직선 경로 또는 곡선 경로를 형성할 수 있다. 추가적으로, 처리 챔버는 캐러셀일 수 있고, 이 캐러셀에서, 다수의 기판들이 중심 축을 중심으로 이동되고 캐러셀 경로 전체에 걸쳐 퇴적, 식각, 어닐링, 세정 등의 프로세스들에 노출된다.
처리 동안, 기판은 가열되거나 냉각될 수 있다. 이러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키고 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하지만 이에 제한되지 않는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는 기판 온도를 전도식으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 실시예에서, 채용되는 가스들(반응성 가스들 또는 불활성 가스들)은 기판 온도를 국부적으로 변화시키기 위해 가열되거나 냉각된다. 일부 실시예들에서, 가열기/냉각기는 기판 온도를 대류식으로 변화시키기 위해, 챔버 내에 기판 표면에 인접하여 위치된다.
기판은 또한, 처리 동안 회전되거나 고정식일 수 있다. 회전하는 기판은 (기판 축을 중심으로) 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 프로세스에 걸쳐 회전될 수 있거나, 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 적은 양만큼 회전될 수 있다. 처리 동안 기판을 (연속적으로 또는 단계들로) 회전시키는 것은, 예를 들어, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 퇴적 또는 식각을 생성하는 것을 도울 수 있다.
본 명세서 전체에 걸친 "일 실시예", "특정 실시예들", "하나 이상의 실시예" 또는 "실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정 피처, 구조, 물질, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전체에 걸쳐 다양한 곳들에서 "하나 이상의 실시예에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들은, 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 피처들, 구조들, 물질들, 또는 특성들은 하나 이상의 실시예에서 임의의 적합한 방식으로 조합될 수 있다.
본원의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 본 개시내용의 원리들 및 응용들을 단지 예시하는 것임을 이해해야 한다. 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 본 개시내용의 사상 및 범위로부터 벗어나지 않고 이루어질 수 있다는 것이 관련 기술분야의 통상의 기술자에게 명백할 것이다. 따라서, 본 개시내용이, 첨부된 청구항들 및 그들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것이 의도된다.

Claims (20)

  1. 처리 챔버로서,
    중심 회전 축, 및 상기 중심 회전 축으로부터 거리를 두고 상기 중심 회전 축 주위에 이격된 복수의 기판 지지 영역들을 갖는 지지 조립체 - 상기 지지 조립체는 상기 복수의 기판 지지 영역들을 상기 중심 회전 축을 중심으로 회전시키도록 구성됨 -;
    상기 지지 조립체를 향한 전방 표면, 상기 전방 표면 상의 제1 센서 및 상기 전방 표면 상의 제2 센서를 갖는 챔버 덮개 - 상기 제1 센서는 상기 중심 회전 축으로부터 제1 거리에 위치되고, 상기 제2 센서는 상기 중심 회전 축으로부터 상기 제1 거리보다 큰 제2 거리에 위치됨 -; 및
    상기 지지 조립체, 상기 제1 센서 및 상기 제2 센서에 연결된 제어기 - 상기 제어기는 기판이 상기 기판 지지 영역 내에 있는지 외부에 있는지를 결정하도록 구성됨 -
    를 포함하며,
    상기 제1 센서 및 상기 제2 센서는 상기 지지 조립체와 기판 사이의 온도 변화들을 독립적으로 검출하고, 상기 제어기는 상기 온도 측정들에 기초하여 상기 기판이 상기 기판 지지 영역 내에 있는지를 결정하도록 구성되는, 처리 챔버.
  2. 제1항에 있어서,
    상기 기판 지지 영역들은 상기 지지 조립체의 최상부 표면에 기판을 지지하도록 크기가 정해진 함몰부들을 포함하고, 상기 제어기는 상기 기판이 상기 함몰부 내에 있는지를 결정하는, 처리 챔버.
  3. 제1항에 있어서,
    상기 기판 지지 영역들 중 각각의 기판 지지 영역은 가열기를 포함하고, 상기 기판 지지 영역들은 상기 가열기들에 대해 위치되는, 처리 챔버.
  4. 제1항에 있어서,
    상기 제1 센서 및 상기 제2 센서는 고온계, 스루 빔, 서미스터, 온도계, 열전쌍, 온도 게이지, 또는 IR 카메라 중 하나 이상을 독립적으로 포함하는, 처리 챔버.
  5. 삭제
  6. 제1항에 있어서,
    상기 제1 거리 및 상기 제2 거리는 상기 기판 지지 영역들의 내측 직경과 외측 직경 사이에 있는, 처리 챔버.
  7. 제1항에 있어서,
    상기 챔버 덮개는 상기 지지 조립체를 향해 가스들의 유동을 전달하도록 구성된 복수의 개구부들을 더 포함하는, 처리 챔버.
  8. 제7항에 있어서,
    상기 복수의 개구부들 중 적어도 일부는 방사상으로 정렬된 슬롯들인, 처리 챔버.
  9. 제8항에 있어서,
    상기 방사상으로 정렬된 슬롯들은 상이한 각도 위치들에 균일하게 이격되는, 처리 챔버.
  10. 제2항에 있어서,
    상기 지지 조립체는 상기 적어도 하나의 함몰부에 원형 홈을 포함하고, 상기 원형 홈은 진공 공급원 또는 불활성 가스 공급원 중 하나 이상과 유체 연통하는, 처리 챔버.
  11. 제1항에 있어서,
    상기 기판 지지 영역의 최상부 표면 상에 배치된 기판을 더 포함하는, 처리 챔버.
  12. 제10항에 있어서,
    상기 기판은 약 0.7 mm 내지 약 0.8 mm 범위의 두께를 갖는, 처리 챔버.
  13. 방법으로서,
    지지 조립체의 중심 회전 축을 중심으로 복수의 기판 지지 영역들을 회전시키는 단계;
    제1 센서를 사용하여 상기 지지 조립체의 회전 각도의 함수로서 상기 중심 회전 축으로부터 제1 거리에서의 온도를 포함하는 제1 온도 프로파일을 측정하는 단계;
    제2 센서를 사용하여 상기 지지 조립체의 회전 각도의 함수로서 상기 중심 회전 축으로부터 제2 거리에서의 온도를 포함하는 제2 온도 프로파일을 측정하는 단계 - 상기 제2 거리는 상기 제1 거리보다 큼 -; 및
    상기 제1 온도 프로파일 및 상기 제2 온도 프로파일에 기초하여 기판이 상기 기판 지지 영역들 내에 있는지를 결정하는 단계
    를 포함하는, 방법.
  14. 제13항에 있어서,
    상기 기판이 상기 기판 지지 영역 내에 있는지를 결정하는 단계는, 상기 제1 온도 프로파일 및 상기 제2 온도 프로파일로부터의 온도 변화들의 각도 관계를 결정하는 단계를 더 포함하는, 방법.
  15. 제14항에 있어서,
    상기 제1 온도 프로파일 및 상기 제2 온도 프로파일로부터의 상기 온도 변화들의 각도 관계에 기초한 위치 프로파일을 상기 기판 지지 영역들의 표준 위치 프로파일과 비교하는 단계를 더 포함하는, 방법.
  16. 제14항에 있어서,
    상기 기판의 적어도 3개의 에지 위치들을 피팅함으로써 상기 기판의 중심을 결정하는 단계를 더 포함하는, 방법.
  17. 제13항에 있어서,
    상기 지지 조립체의 챔버 덮개의 복수의 개구부들을 통해 상기 지지 조립체를 향해 가스들의 유동을 전달하는 단계를 더 포함하는, 방법.
  18. 제17항에 있어서,
    상기 복수의 개구부들 중 적어도 일부는 방사상으로 정렬된 슬롯들인, 방법.
  19. 제13항에 있어서,
    상기 기판은 약 1 mm 내지 약 15 mm 범위의 두께를 갖는, 방법.
  20. 명령어들을 포함하는 비일시적 컴퓨터 판독가능 매체로서,
    상기 명령어들은, 처리 챔버의 제어기에 의해 실행될 때, 상기 처리 챔버로 하여금:
    지지 조립체의 중심 회전 축을 중심으로 복수의 기판 지지 영역들을 회전시키는 단계;
    제1 센서를 사용하여 상기 지지 조립체의 회전 각도의 함수로서 상기 중심 회전 축으로부터 제1 거리에서의 온도를 포함하는 제1 온도 프로파일을 측정하는 단계;
    제2 센서를 사용하여 상기 지지 조립체의 회전 각도의 함수로서 상기 중심 회전 축으로부터 제2 거리에서의 온도를 포함하는 제2 온도 프로파일을 측정하는 단계 - 상기 제2 거리는 상기 제1 거리보다 큼 -; 및
    상기 제1 온도 프로파일 및 상기 제2 온도 프로파일에 기초하여 기판이 상기 기판 지지 영역들 내에 있는지를 결정하는 단계
    의 동작들을 수행하게 하는, 비일시적 컴퓨터 판독가능 매체.
KR1020217042201A 2019-05-24 2020-05-19 웨이퍼 포켓 이탈 검출 KR102604028B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962852512P 2019-05-24 2019-05-24
US62/852,512 2019-05-24
US16/876,257 2020-05-18
US16/876,257 US11133205B2 (en) 2019-05-24 2020-05-18 Wafer out of pocket detection
PCT/US2020/033622 WO2020242830A1 (en) 2019-05-24 2020-05-19 Wafer out of pocket detection

Publications (2)

Publication Number Publication Date
KR20220000911A KR20220000911A (ko) 2022-01-04
KR102604028B1 true KR102604028B1 (ko) 2023-11-21

Family

ID=73456109

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217042201A KR102604028B1 (ko) 2019-05-24 2020-05-19 웨이퍼 포켓 이탈 검출

Country Status (3)

Country Link
US (1) US11133205B2 (ko)
KR (1) KR102604028B1 (ko)
WO (1) WO2020242830A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102263718B1 (ko) * 2019-06-10 2021-06-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101471548B1 (ko) * 2011-03-23 2014-12-12 세메스 주식회사 기판 처리 장치 및 기판 위치 검출 방법

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19748088A1 (de) 1997-10-30 1999-05-12 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zum Erkennen einer Fehllage einer Halbleiterscheibe
KR100593627B1 (ko) * 1999-02-16 2006-06-28 동경 엘렉트론 주식회사 처리장치, 처리시스템, 판별방법 및 검출방법
KR100559679B1 (ko) 2003-07-30 2006-03-10 동부아남반도체 주식회사 반도체 제조 장치
KR20130037688A (ko) * 2011-09-01 2013-04-16 비코 인스트루먼츠 인코포레이티드 열 특징부를 갖는 웨이퍼 캐리어
WO2014144533A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Position and temperature monitoring of ald platen susceptor
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
US9627239B2 (en) * 2015-05-29 2017-04-18 Veeco Instruments Inc. Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems
KR102405776B1 (ko) 2015-08-03 2022-06-07 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
EP3279364B1 (en) * 2016-08-03 2021-10-06 IHI Hauzer Techno Coating B.V. Apparatus for coating substrates
DE102018107135A1 (de) * 2018-03-26 2019-09-26 Aixtron Se Mit einer individuellen Kennung versehenes Bauteil einer CVD-Vorrichtung sowie Verfahren zur Übermittlung von Informationen

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101471548B1 (ko) * 2011-03-23 2014-12-12 세메스 주식회사 기판 처리 장치 및 기판 위치 검출 방법

Also Published As

Publication number Publication date
KR20220000911A (ko) 2022-01-04
US11133205B2 (en) 2021-09-28
TW202111833A (zh) 2021-03-16
WO2020242830A1 (en) 2020-12-03
US20200373178A1 (en) 2020-11-26

Similar Documents

Publication Publication Date Title
US11742189B2 (en) Multi-zone reactor, system including the reactor, and method of using the same
US9617640B2 (en) Apparatus and methods for injector to substrate gap control
US10959294B2 (en) High temperature heater for processing chamber
KR20230100751A (ko) 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
US11085129B2 (en) Device to increase deposition uniformity in spatial ALD processing chamber
KR102462467B1 (ko) 배치 프로세싱을 위한 인젝터 및 사용 방법들
KR102604028B1 (ko) 웨이퍼 포켓 이탈 검출
US10655226B2 (en) Apparatus and methods to improve ALD uniformity
KR102376372B1 (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
US20160068958A1 (en) Lamp Heater For Atomic Layer Deposition
US11158489B2 (en) Methods and systems to modulate film stress
US11581213B2 (en) Susceptor wafer chucks for bowed wafers
US11798825B2 (en) In-situ wafer rotation for carousel processing chambers

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant