CN105074049A - 用于注射器至基板的空隙控制的装置及方法 - Google Patents

用于注射器至基板的空隙控制的装置及方法 Download PDF

Info

Publication number
CN105074049A
CN105074049A CN201480009192.0A CN201480009192A CN105074049A CN 105074049 A CN105074049 A CN 105074049A CN 201480009192 A CN201480009192 A CN 201480009192A CN 105074049 A CN105074049 A CN 105074049A
Authority
CN
China
Prior art keywords
base assembly
assembly
wafer
bearing
gas distribution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201480009192.0A
Other languages
English (en)
Inventor
J·约德伏斯基
K·格里芬
K·甘加基德加
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201710580400.8A priority Critical patent/CN107365976B/zh
Publication of CN105074049A publication Critical patent/CN105074049A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

所描述为用于处理半导体晶圆的装置及方法,其中在晶圆表面及气体分配组件之间的空隙保持一致且具有已知的厚度。晶圆放置于基座组件内且该组件使用致动器被举起往气体分配组件。可通过产生晶圆下方及/或上方的流体轴承,以举起该晶圆往气体分配组件。

Description

用于注射器至基板的空隙控制的装置及方法
背景
本发明的实施例大致上与处理基板的装置及方法相关。特别地,本发明的实施例涉及在处理期间,控制注射器组件及基板之间的空隙的装置及方法。
对基于空间的原子层沉积(ALD)而言,化学注射器及产品基板之间的空隙必须保持在0.1及2mm之间,以适当分开反应前体(reactiveprecursor)。随着处理室的尺寸增加以适应更大尺寸的基板及更大量的批次负载,所欲空隙变得更难控制。
因此,本领域中需要空间原子层沉积期间能够维持紧密控制空隙的方法及装置。
发明内容
本发明的实施例涉及处理室,包含气体分配组件、基座组件及至少一个致动器。该基座组件放置于该气体分配组件的下方,且包含顶部表面、底部表面、内直径区域及外直径区域。该至少一个致动器放置于该基座的下方以推动该基座往该气体分配组件。
在一些实施例中,该基座组件的顶部表面包含至少一个凹部以支持晶圆的边缘。在一个或更多个实施例中,该基座组件的顶部表面的该凹部采用一尺寸,该尺寸使得支持在该凹部的晶圆实质上与该基座组件的顶部表面共平面。
在一个或更多个实施例中,该至少一个致动器的每一者包含轴承,该轴承位于该致动器的顶部以接触该基座组件的底部表面。在一些实施例中,该轴承是机械型态的轴承,该轴承与该基座组件的底部表面形成物理接触。在一些实施例中,该轴承是非接触流体型态的轴承,仅流体与该基座组件的底部表面形成接触。
在一些实施例中,该气体分配组件更包含参考垫,该参考垫与该致动器上的该轴承相对。一个或更多个实施例更包含感应器以量测该基座组件及该气体分配组件间的接触压力。一些实施例更包含反馈电路与该感应器及该等致动器通讯。
在一些实施例中,该基座组件更包含绕着该基座组件的外周边的边缘环,且该等致动器及该等轴承经放置以接触该边缘环。在一个或更多个实施例中,该基座组件更包含绕着该基座组件的内周边的支持环。一些实施例更包含至少一个致动器及轴承经放置以在靠近内直径区域接触该支持环。
在一些实施例中,其中至少三个致动器及轴承被绕着该基座组件的外直径区域放置。
一个或更多个实施例更包含位于该基座组件的下方的加热组件。在一些实施例中,该加热组件包含数个发热灯(lamp)以引导辐射能量往该基座组件的底部表面。
本发明的其他实施例涉及处理室,包含气体分配组件及位于该气体分配组件的下方的基座组件。该基座组件包含顶部表面、底部表面、内直径区域及外直径区域。顶部表面包含至少一个凹部以支持晶圆的边缘,及与该凹部流体通讯的至少一个通道,以提供在该凹部的底部部分的气体流动,使得当晶圆位于该凹部中时,该气体流动产生流体轴承以推动晶圆往该气体分配组件。
在一些实施例中,该气体分配组件更包含通道以径向地引导气体流动,使得当晶圆位于该凹部中时,除了在晶圆下方的流体轴承外,径向气体流动在晶圆上方产生流体轴承。
本发明的进一步实施例涉及在处理室中处理晶圆的方法。在基座组件的顶部表面上放置晶圆于凹部中,该晶圆具有顶部表面及底部表面。使用至少一个位于该基座组件下方的致动器,提供往上引导力至该基座组件以举起该基座组件往气体分配组件,而在该基座组件的顶部表面及该气体分配组件之间设置空隙。该晶圆及基座组件在该气体分配组件下通过,该气体分配组件包含数个实质平行的气体通道以引导气体流动往该基座组件的顶部表面。
一些实施例更包含使用感应器以量测该基座组件及该气体分配组件间的接触压力,该压力与空隙距离相关。
在一些实施例中,往上引导力在该基座组件的外直径区域施加于该基座组件。在一个或更多个实施例中,往上引导力施加于边缘环,该边缘环放置于该基座组件的外周边区域。
在一些实施例中,往上引导力在该基座组件的外直径区域及内直径区域施加于该基座组件。在一个或更多个实施例中,往上引导力施加于边缘环及支持环,该边缘环放置于该基座组件的外周边区域,该支持环放置于该承受器的内周边区域。
本发明的其他实施例涉及在处理室中处理晶圆的方法。晶圆放置于基座组件的顶部表面上的凹部中,该晶圆具有顶部表面及底部表面。流体在该晶圆下方流过该凹部以产生流体轴承提供给该晶圆往上引导力以举起该晶圆往气体分配组件,而在该晶圆的顶部表面及该气体分配组件之间设置空隙。
一些实施例更包含流动流体跨过该晶圆的顶部表面以产生在该晶圆上方及下方的流体轴承以控制该空隙的尺寸。
附图说明
为达成上述的发明特征手法,且可理解细节,可通过参考其实施例得到更特定的本发明的描述(简短总结如上),该等实施例图示于附加的图式中。然而应注意,附加图式仅图示本发明的典型实施例,因此不应理解为限制其范围,因本发明可容许其他等同有效的实施例。
图1展示根据本发明的一个或更多个实施例的处理室的横截面图;
图2展示根据本发明的一个或更多个实施例的基座组件及气体分配组件的透视图;
图3展示根据本发明的一个或更多个实施例的基座组件及气体分配组件的透视图;
图4展示根据本发明的一个或更多个实施例的处理室的横截面图;
图5展示根据本发明的一个或更多个实施例的基座组件的透视图,该基座组件具有数个派状(pie-shaped)基座分段;
图6展示根据本发明的一个或更多个实施例的处理基座组件及气体分配组件的部分横截面图;及
图7展示根据本发明的一个或更多个实施例的处理基座组件及气体分配组件的部分横截面图。
为了容易理解,使用相同的参考数值,使得可能标出图式中常用的相同元件。经深思熟虑,一个实施例的元件及特征可有利的并入其他实施例而无须进一步的详述。
具体实施方式
本发明的实施例涉及控制注射器组件及基板之间的空隙的装置及方法。如用于本说明书及附加的权利要求书,用语“晶圆”、“基板”及类似词的使用是可相互交换的。在一些实施例中,晶圆为坚固的、分离的基板。
在一些实施例中,假设旋转基座为具有垂直致动器置于该基座的外直径处的坚固主体。致动器施加压力对抗轴承,该轴承推动该基座对抗上方注射器。每个注射器具有参考垫,该参考垫带有相对的轴承。当压力施加至致动器,空隙关闭以对抗注射器垫,直至达到相关于空隙距离的预先决定的力。
在一个或更多个实施例中,限制该基座的轴承是机械型态,该轴承与定量配给的基座表面形成物理接触。在一些实施例中,轴承是非接触流体型态,仅流体与基座形成接触。
在一些实施例中,基座并非坚固主体,所以具有轴承的致动器被放置于基座的外直径及内直径处。注射器组件具有参考垫,该参考垫在内直径及外直径的两者均具有相对的轴承。当压力施加于致动器,空隙关闭以对抗注射器垫,直至达到相关于空隙距离的预先决定的力。
在一个或更多个实施例中,支持结构在流体被注射于该支持结构及该基座之间,形成跨过该基座表面的流体轴承时,持有基座组件,此控制该基座及其上方的注射器组件之间的空隙。该基座上方的注射器以参考垫固定直至达到相关于空隙距离的预先决定的力,该参考垫具有相对轴承。
在一些实施例中,该基座上方的注射器组件并未固定,但具有面对该基座沿着注射器的内部径向通道注射的流体。此在压力施加时形成流体轴承,直至达到相关于空隙距离的预先决定的力。
使用的气体分配组件的特定型态,可根据使用的特定处理而变化。本发明的实施例可用于任何型态的处理系统,而控制基座及气体分配组件之间的空隙。尽管可以采用各种型态的气体分配组件(例如,喷淋头),但本发明的实施例可特定地有用于空间ALD气体分配组件,该空间ALD气体分配组件具有数个实质上平行的气体通道。如本说明书及附加的权利要求书所使用的,用语“实质上平行”意指气体通道的延伸轴往相同的大方向延长。气体通道的平行可存在轻微的不完美。数个实质上平行的气体通道可包含至少一个第一反应气体A通道、至少一个第二反应气体B通道、至少一个净化气体P通道及/或至少一个真空V通道。从该第一反应气体A(诸)通道、该第二反应气体B(诸)通道及该净化气体P(诸)通道所流出的气体,被导向晶圆120的顶部表面121。其中一些气体流动水平地移动跨过晶圆表面,且经过该净化气体P(诸)通道离开处理区域。从气体分配组件的一端移至另一端的基板会依序曝露于处理气体的每一者,因此在基板表面上形成一层。
图1展示处理室100的一个实施例,处理室100包含气体分配组件(也称注射器20)及基座组件30。在这个实施例中,基座组件30是坚固主体。一些实施例的坚固主体具有不大于0.05mm的下垂容忍度。具有轴承的致动器32被放置于,例如,基座组件30的外直径区域31的三个位置。如本说明书及附加的权利要求书所使用的,用语“外直径”及“内直径”分别意指靠近外周边边缘及内边缘的区域。外直径非指基座组件30的极端外边缘的特定位置,而是靠近基座组件30的外边缘的区域。此可由图1中的致动器32的放置看出。致动器32及轴承33的数量可从一个变化为在物理空间内可用的任何数量。一些实施例在外直径区域31放置两个、三个、四个或五个致动器32及轴承33组。
一旦压力由致动器32施加于基座组件30,该基座组件30推动对着上方的注射器20。注射器20的位置是固定且具有参考垫22,该参考垫22具有相对的轴承。当压力通过致动器32施加,空隙10关闭,直至达到与空隙距离有关联的预先决定的力。在一些实施例中,空隙距离在大约0.1mm至大约2.0mm的范围,或在大约0.2mm至大约1.8mm的范围,或在大约0.3mm至大约1.7mm的范围,或在大约0.4mm至大约1.6mm的范围,或在大约0.5mm至大约1.5mm的范围,或在大约0.6mm至大约1.4mm的范围,或在大约0.7mm至大约1.3mm的范围,或在大约0.8mm至大约1.2mm的范围,或在大约0.9mm至大约1.1mm的范围,或为大约1mm。
基座组件30位于气体分配组件20下方。基座组件30包含顶部表面35,及可选地顶部表面35中的至少一个凹部34。依据欲处理的晶圆15的形状及尺寸,凹部34可为任意适合的形状及尺寸。在展示的实施例中,凹部34在绕着该凹部34的外周边边缘具有两个阶区域。该等阶可为特定尺寸以支持晶圆15的外周边边缘。晶圆15的外周边边缘被该等阶支持的总量,可随着例如晶圆的厚度及已呈现于晶圆背侧的呈现特征而变化。
在一些实施例中,如图1所展示,位于基座组件30的顶部表面35中的凹部34为特定尺寸,使得支持于凹部34的晶圆15具有顶部表面16,该顶部表面16实质上与基座37的顶部表面35共面。如本说明书及附加的权利要求书所使用的,用语“实质上共面”意指晶圆的顶部表面与基座组件的顶部表面在0.2mm的误差内共面。在一些实施例中,该等顶部表面在±0.15mm、±0.10mm或±0.05mm的误差内共面。
图1的基座组件30包含支持支柱40,该支持支柱40能够举高、降低及旋转基座组件30。基座组件可在支持支柱40的中央处包含加热器、或气体管线、或电子元件。支持支柱40可为增加或减少基座组件30及注射器20之间的空隙的主要构件,移动基座组件30进入粗略的位置。致动器32可接着对该基座组件的位置做出微调整以产生所欲空隙。
气体分配组件20也可包含一个或更多个感应器24。感应器24位于与推动基座组件30的致动器32及轴承33相对应的位置。感应器24可经配置以量测例如从基座组件30施加于注射器的前表面的压力。该等感应器可连结至反馈电路(未展示),当该反馈电路决定该压力已达预先决定的条件,则可停止致动器32的移动以避免基座组件30破坏或撞击气体分配组件20。
展示于图1的处理室100是转盘式室,在该室中,基座组件30可持有数个晶圆15。气体分配组件20可包含数个分开的注射器单元21,每个注射器单元21能在晶圆于注射器单元下方移动时,于晶圆上沉积薄膜。图2展示转盘式处理室的透视图。展示两个派状注射器单元21位置大致相对且位于基座组件20上。展示的注射器单元21的数量仅为图示的目的。应理解可包含更多或更少的注射器单元21。在一些实施例中,有足够数量的派状注射器单元21组件以形成符合基座组件形状的形状。在一些实施例中,个别派状注射器单元21的每一者可独立地移动、移除及/或取代,而不影响任何其他注射器单元21。例如,可升高一个分段以允许机械手臂存取基座组件30及气体分配组件20之间的区域,以装上/拆下晶圆15。
致动器32及轴承33可依据使用的特定基座组件30,接触基座组件或基座环。参照图2,具有轴承33的致动器32与持有晶圆15的基座37制造接触,且直接推动基座37,如图2所展示。
在一些实施例中,如图3所展示,基座组件30包含围绕着基座37的边缘环36。边缘环36可由任意合适材料制成,所述材料包含,但不限于石英。如展示,轴承33可直接推动边缘环36而取代基座37。边缘环36如同持有基座37的支持结构,以产生在轴承33及基座37之间的热屏障。
轴承33可包含任意合适轴承。在一些实施例中,接触基座37的轴承33是机械式的。此型态的轴承37与基座37或边缘环36表面形成物理接触。在这些实施例中,轴承33可随着基座组件30的旋转而旋转、或形成合适地低的摩擦接触,使得施加于基座组件30的压力及空隙10可在处理期间维持。
在一些实施例中,轴承33是非接触流体型态的轴承。在这些实施例中,仅流体(例如惰性气体)与基座组件30的旋转表面形成接触。此提供与基座组件30的底部表面38的近乎无摩擦的轴承介面,且允许基座组件30在处理期间旋转而不改变空隙10的尺寸。
图4展示本发明的另一实施例,其中基座组件30并非坚固的主体。在一些实施例中,基座组件30具有不超过大约0.1mm、或不超过大约0.05mm、或不超过大约0.025mm、或不超过大约0.01mm的下垂容忍度。此间,带有轴承33的致动器32被放置于基座37的外直径区域31及于内直径区域39。带有轴承33的致动器32可被放置于围绕基座组件的内周边及外周边的任意合适数量的位置处。在一些实施例中,致动器32及轴承33被放置于外直径区域31及内直径区域39两者的三个位置处。位于外直径区域31及内直径区域39的两者的致动器32及轴承33施加压力于基座组件30,该基座组件30推动基座37对着上方的注射器20。注射器20位置固定且具有带有相对轴承的参考垫22,该相对轴承对齐外直径区域31及内直径区域39两者,如图4所展示。也可在靠近参考垫22处放置感应器24。当压力施加于致动器32及轴承33,空隙10关闭直至达到相关于所欲空隙距离的预先决定的力。
基座组件30可包含位于基座37的内周边的内支持60,如图5所展示。轴承33推动内支持60的结构。内支持60可用隔热材料制成,例如石英,而维持基座37,以在外直径区域31及内直径区域39处产生由基座37至轴承33的热阻断。基座37下方的支持结构区域可对红外线(IR)辐射为可穿透的,使得来自放置于基座组件30下方的加热器源(未展示)的入射辐射可传送至基座37。再次,轴承33可为机械式的以与基座表面形成物理接触,或为非接触流体型态。
在图5展示的实施例中,基座组件30包含数个(在此例中为六个)分开的派状基座分段。该等分段的每一者可独立地在承受气上弄平、移动、移除、及/或取代而不影响其他分段。例如,可降低一个分段以允许晶圆被装上/拆下。
图6展示本发明的另一实施例,其中支持结构60在流体(例如气体)于支持结构60及基座37之间注射时,持有基座37。气体穿过入口71进入承受气组件30,该入口71位于支持结构60的底部表面,然而气体可穿过另一路径进入。气体流动穿过管道70至凹部34,气体流动跨过晶圆15的背侧17,且穿过管道70至出口72而离开凹部34。流动跨过晶圆15的背侧17的气体的压力迫使该晶圆对着注射器20。该气体流动形成跨过晶圆的下表面的流体轴承,可用以控制在基座37及上方注射器组件20之间的空隙10。基座37上方的注射器20可用带有相对轴承的参考垫22固定,直至达到相关于空隙距离的预先决定的力。
管道70在基座37的背部表面38的开始处及结束处展示,入口71或出口72可放置于其他位置。例如,入口可直接穿过基座组件30的支持支柱40。
流动穿过管道70的气体可用多种方法控制。可控制进入管道70的入口71的气体压力。出口72处的管道70的直径可与入口71的直径相异,且可为固定直径或使用虹膜型态孔径(iristypeaperture)的动态直径。
图7展示本发明的另一实施例,其中气体分配组件20并非位在固定位置。注射器组件20具有气体通道26以径向注射气体跨过晶圆15的顶部表面16。该径向注射的气体形成大型表面流体轴承,直至达到相关于空隙10的预先决定的力。在一些实施例中,流体轴承通过径向地流动气体26跨过顶部表面16且穿过基座37中的管道70跨过底部表面17,而产生于晶圆的上方及晶圆的下方。结合的流体轴承可被微调以提供适当的压力量来产生所欲空隙10。
在一些实施例中,如图7所展示,处理室100包含加热组件80。加热组件80可放置于处理室中任意合适的位置,包含但不限于基座组件30下方及/或基座组件30与气体分配组件20的相对侧。加热组件80提供足够的热至处理室,以提升晶圆15的温度至对工艺有用的温度。合适的加热组件80包含,但不限于电阻加热器及辐射加热器(例如数个灯),而引导辐射能量前往基座组件30的底部表面38。
本发明的实施例可有特定用途于转盘式处理室,其中晶圆自中央轴偏移且绕着该中央轴旋转。此可见于图2及图3。相关于基座组件的旋转的离心力可造成晶圆滑动远离中央轴。气体分配组件的气体通道可同步地控制(例如,所有输出通道:反应气体及净化通道一起控制)、分组地控制(例如,所有第一反应气体通道一起控制)、或独立地控制(例如,最左边的通道与相邻通道分开控制等)。如用于本说明书及附加的权利要求书,用语“输出通道”、“气体通道”、“气体注射器”及类似词的使用是可相互交换的而意指狭长孔、通道、或喷嘴型态的开口,供气体注射进入处理室用。在一些实施例中,第一反应气体通道、第二反应气体通道、及至少一个净化气体通道为独立地控制。独立控制可为有用的,以便在放置于基座组件的凹部的晶圆的顶部表面上提供正向的压力。在一些实施例中,个别第一反应气体注射器、第二反应气体注射器、净化气体注射器及净化通道的每一者可个别地且独立地控制。
本发明的一些实施例涉及处理晶圆的方法。晶圆放置于基座组件的顶部表面的凹部中。通过数个致动器施加往上引导的力于基座组件的底部表面上,以移动该基座组件进而与气体分配组件接触。
在一些实施例中,在气体分配组件中的感应器量测基座组件及气体分配组件之间的压力或压缩力。感应器可与反馈电路通讯,该反馈电路也可与致动器接触。该反馈电路可提供指令给致动器以施加更多往上的压力、更少往上的压力、或维持现在往上的压力以产生具有适合尺寸的空隙。
在一些实施例中,当晶圆位在基座组件的凹部中时,取代掉致动器,气体气流流动跨过晶圆的底部表面。该气体气流可产生晶圆下方的流体轴承,迫使该晶圆往上而对着气体分配组件安放。
在一个或更多个实施例中,除了晶圆下方的流体轴承外,产生晶圆上方的流体轴承。施加于晶圆的顶部表面及底部表面的压力,可通过改变流体轴承的强度或大小而调整。压力的调整可推动晶圆以对着气体分配组件安放而产生适当的空隙。在一些实施例中,晶圆上方产生的流体轴承通过使气体在径向流动跨过表面而产生。流动跨过表面的气体可流动穿过气体分配组件或穿过辅助线。
适用于本发明的实施例的基板可为任意合适的基板。在细节的实施例中,基板为坚固的、分离的、一般为平面的基板。如本说明书及附加的权利要求书所使用的,当参照基板时,用语“分离的”意指该基板具有固定的尺寸。特定实施例的基板是半导体晶圆,例如直径200mm或300mm的硅晶圆。
如本说明书及附加的权利要求书所使用的,当参照基板时,用语“反应气体”、“反应前体”、“第一前体”、“第二前体”及相关用词参照能够与基板表面或基板表面上的层反应的气体及气态物种。
在一些实施例中,一个或更多个层可在等离子体增强原子层沉积(plasmaenhancedatomiclayerdeposition,PEALD)工艺期间形成。在一些工艺中,等离子体的使用提供足够的能量以促使物种进入激发态,表面反应在激发态变得有利的且可能。引导等离子体进入工艺可为连续的或脉冲的。在一些实施例中,使用前体(或反应气体)的顺序脉冲及等离子体以处理一层。在一些实施例中,试剂可本地地(例如,在处理区域内)或远距地(例如,在处理区域外)离子化。在一些实施例中,远距的离子化可发生于沉积室的上游,使得离子或其他高能或发光物种不与沉积薄膜直接接触。在一些PEALD工艺中,等离子体在处理室的外部产生,例如通过远距等离子体产生器系统。等离子体可经由本领域普通技术人员已知的任意合适的等离子体产生工艺或技术而产生。例如,等离子体可通过一个或更多个微波(MW)频率产生器或射频(RF)产生器而产生。等离子体的频率可根据使用的特定反应气种而调整。合适的频率包含但不限于2MHz、13.56MHz、40MHz、60MHz及100MHz。虽然等离子体可如此间揭露在沉积处理期间使用,应理解等离子体可为不必要。事实上,其他实施例与在非常缓和的条件下沉积工艺相关,而不涉及等离子体。
根据一个或更多个实施例,基板在形成层之前及/或之后容易遭受处理。该处理可实行于相同室中或一个或更多个分开的处理室中。在一些实施例中,基板从第一室移动至分开的第二室以进一步处理。该基板可直接从第一室移动至分开的处理室,或可从第一室移动至一个或更多个转移室,接着移动至所欲的分开的处理室。根据地,处理装置可包含与转移室通讯的多个室。此类的装置可参考“群集工具”或“群集化系统”,及其相关语。
一般而言,群集工具是包含多个室的模块化系统,多个室实行包含基板中央找寻及定向、除气、退火、沉积及/或蚀刻在内的多种功能。根据一个或更多个实施例,群集工具至少包含第一室及中央转移室。该中央转移室可容纳机械手臂,该机械手臂可在处理室及负载锁室之间及之中往返运送基板。该转移室典型地维持在真空条件下且提供中间阶段,该中间阶段用于从一个室至另一及/或至负载锁室往返运送基板,该负载锁室位于该群集工具的前端。可适用于本发明的两个常用的群集工具为两者皆可由加利福尼亚州圣克拉拉的应用材料公司获得。一个这样的阶段真空基板处理装置的细节在美国专利第5,186,718号中揭露,该美国专利标题为“Staged-VacauumWaferProcessingApparatusandMethod”,作者为Tepman等人,公告于1993年2月16日。然而,室的真实安排及组合可为了实行特定处理步骤(如此间的描述)的目的而改变。其他可使用的处理室包含但不限于环状层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洗、化学清洗、例如RTP的热处理、等离子体氮化、除气、定向、羟化及其他基板工艺。通过在群集工具的室中执行各工艺,可无需氧化而避免在沉积后续膜之前、带有大气不纯物的基板表面污染。
根据一个或更多个实施例,基板持续处在真空或“负载锁”条件下,且当从一个室移动至下一个室时,不会曝露于环境空气中。转移室因而处在真空下且在真空压力下“泵回”。惰性气体可在处理室或转移室中存在。在一些实施例中,惰性气体被当净化气体使用,以在基板的表面上形成硅层之后,移除一些或所有反应物。根据一个或更多个实施例,在沉积室出口处注射净化气体,以防止反应物从沉积室移动至转移室及/或额外的处理室。因此,惰性气体的流动在室的出口处形成气幕。
基板可在单一基板沉积室中被处理,而在另一基板被处理前,单一基板被装上、处理及拆下。基板也可用连续的方法处理,例如输送器系统,其中多个基板个别地装入室的第一部分,移动穿过该室,在室的第二部分拆下。室的形状及相关的输送器系统可形成直线路径或曲线路径。此外,处理室可为转盘,其中多个基板绕着中央轴移动且在穿越该转盘路径时暴露于沉积、蚀刻、退火、清洗等工艺。
在处理期间,可加热或冷却基板。该加热或冷却可通过任意合适的手段而完成,包含但不限于改变基板支持的温度,及流动加热或冷却后的气体至基板表面。在一些实施例中,基板支持包含加热器/冷却器,可控制该加热器/冷却器以导电地改变基板温度。在一个或更多个实施例中,加热或冷却所采用的气体(不论反应气体还是惰性气体),以本地地改变基板温度。在一些实施例中,加热器/冷却器被放置于室中相邻于基板表面,以对流地改变基板温度。
基板在处理期间也可为静止或旋转的。旋转基板可连续地旋转或以慎重的步骤旋转。例如,基板可在整个处理期间旋转,或基板可在曝露预不同的反应气体或净化气体之间旋转一小量。在处理期间(无论连续地或分步骤地)旋转基板可以通过最小化例如气体流动几何区域中局部变动的影响,帮助产生更均匀的沉积或蚀刻。
虽然此发明被描述为参考特定的实施例,应理解这些实施例仅图示本发明的原理及应用。对本领域技术人员很明显,可对本发明的方法及装置做出各种修改及变动,而不远离本发明的精神及范围。因此,本发明欲包含附加的权利要求书及其同等物的范围内的修改及变动。

Claims (15)

1.一种处理室,包括:
气体分配组件;
基座组件,所述基座组件位于所述气体分配组件下方,所述基座组件包含顶部表面、底部表面、内直径区域及外直径区域;及
至少一个致动器,所述至少一个致动器位于所述基座下方以推动所述基座前往所述气体分配组件。
2.如权利要求1所述的处理室,其中所述至少一个致动器的每一者包含位于所述致动器的顶部的轴承以接触所述基座组件的所述底部表面。
3.如权利要求2所述的处理室,其中所述轴承是机械型态的轴承或非接触流体型态的轴承中的一者或多者,所述机械型态的轴承与所述基座组件的所述底部表面形成物理接触,所述非接触流体型态的轴承中仅一流体与所述基座组件的所述底部表面形成接触。
4.如权利要求3所述的处理室,其中所述气体分配组件更包含参考垫,所述参考垫与所述致动器上的所述轴承相对。
5.如权利要求4所述的处理室,更包含感应器以量测所述基座组件及所述气体分配组件间的接触压力、以及与所述感应器及所述致动器通讯的任选的反馈电路。
6.如权利要求2所述的处理室,其中所述基座组件更包含绕着所述基座组件的外周边的边缘环,且所述致动器及所述轴承经放置以接触所述边缘环。
7.如权利要求6所述的处理室,其中所述基座组件更包含绕着所述基座组件的内周边的支持环、以及任选地更包含经放置以在靠近所述内直径区域接触所述支持环的至少一个致动器及轴承。
8.一种处理室,包括:
气体分配组件;及
基座组件,所述基座组件位于所述气体分配组件下方,且所述基座组件包含顶部表面、底部表面、内直径区域及外直径区域,所述顶部表面包含至少一个凹部以支持晶圆的边缘,及与所述凹部流体通讯的至少一个通道,以提供在所述凹部的底部部分的气体流动,使得当晶圆位于所述凹部中时,所述气体流动产生流体轴承以推动所述晶圆前往所述气体分配组件。
9.如权利要求8所述的处理室,其中所述气体分配组件更包含通道以径向地引导气体流动,使得当晶圆位于所述凹部中时,除了在所述晶圆下方的所述流体轴承外,所述径向气体流动在所述晶圆上方产生流体轴承。
10.一种在处理室中处理晶圆的方法,所述方法包含以下步骤:
在基座组件的顶部表面上放置晶圆于凹部中,所述晶圆具有顶部表面和底部表面;
使用至少一个位于所述基座组件下方的致动器,提供往上引导力至所述基座组件以举起所述基座组件前往气体分配组件,而在所述基座组件的顶部表面及所述气体分配组件之间设置空隙;及
在所述气体分配组件下通过所述晶圆及所述基座组件,所述气体分配组件包含数个实质上平行的气体通道以引导气体流动前往所述基座组件的所述顶部表面。
11.如权利要求10所述的方法,更包含以下步骤:使用感应器以量测所述基座组件及所述气体分配组件间的接触压力,所述压力与空隙距离相关。
12.如权利要求10所述的方法,其中所述往上引导力在所述基座组件的外直径区域施加于所述基座组件。
13.如权利要求12所述的方法,其中所述往上引导力施加于边缘环,所述边缘环放置于所述基座组件的外周边区域。
14.如权利要求10所述的方法,其中所述往上引导力在所述基座组件的外直径区域及内直径区域施加于所述基座组件。
15.如权利要求14所述的方法,其中所述往上引导力施加于边缘环及支持环,所述边缘环放置于所述基座组件的外周边区域,所述支持环放置于所述基座的内周边区域。
CN201480009192.0A 2013-02-21 2014-02-20 用于注射器至基板的空隙控制的装置及方法 Pending CN105074049A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710580400.8A CN107365976B (zh) 2013-02-21 2014-02-20 用于注射器至基板的空隙控制的装置及方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361767777P 2013-02-21 2013-02-21
US61/767,777 2013-02-21
PCT/US2014/017399 WO2014130673A1 (en) 2013-02-21 2014-02-20 Apparatus and methods for injector to substrate gap control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710580400.8A Division CN107365976B (zh) 2013-02-21 2014-02-20 用于注射器至基板的空隙控制的装置及方法

Publications (1)

Publication Number Publication Date
CN105074049A true CN105074049A (zh) 2015-11-18

Family

ID=51391807

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201480009192.0A Pending CN105074049A (zh) 2013-02-21 2014-02-20 用于注射器至基板的空隙控制的装置及方法
CN201710580400.8A Active CN107365976B (zh) 2013-02-21 2014-02-20 用于注射器至基板的空隙控制的装置及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710580400.8A Active CN107365976B (zh) 2013-02-21 2014-02-20 用于注射器至基板的空隙控制的装置及方法

Country Status (6)

Country Link
US (1) US9617640B2 (zh)
JP (1) JP2016510946A (zh)
KR (1) KR102269469B1 (zh)
CN (2) CN105074049A (zh)
TW (1) TW201437423A (zh)
WO (1) WO2014130673A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112542404A (zh) * 2017-06-23 2021-03-23 应用材料公司 在电子装置制造系统中进行间隙校准的系统和方法

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
TW201629264A (zh) 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
KR20180006496A (ko) 2015-06-05 2018-01-17 어플라이드 머티어리얼스, 인코포레이티드 서셉터 포지션 및 회전 장치, 및 사용 방법들
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106803477B (zh) * 2015-11-25 2020-01-03 无锡华瑛微电子技术有限公司 半导体处理装置及其方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180073143A1 (en) * 2016-09-12 2018-03-15 Toshiba Memory Corporation Plasma processing apparatus and plasma processing method
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6009827A (en) * 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
CN101680092A (zh) * 2007-06-06 2010-03-24 艾克斯特朗股份公司 用于cvd反应器中的基板的表面温度的温度控制的装置
CN102308381A (zh) * 2009-02-11 2012-01-04 应用材料公司 非接触性基板处理
CN102414799A (zh) * 2009-08-27 2012-04-11 应用材料公司 气体分配喷头及清洁方法
US20120321788A1 (en) * 2011-06-16 2012-12-20 Pinecone Material Inc. Rotation system for thin film formation

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998023389A1 (en) * 1996-11-26 1998-06-04 Genus, Inc. Nitrogen-bearing cvd films from nf3, as a nitrogen source
US6612590B2 (en) * 2001-01-12 2003-09-02 Tokyo Electron Limited Apparatus and methods for manipulating semiconductor wafers
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
US7972470B2 (en) 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US20100248397A1 (en) * 2009-03-26 2010-09-30 Tokyo Electron Limited High temperature susceptor having improved processing uniformity
KR101045216B1 (ko) * 2009-05-26 2011-06-30 주식회사 테스 기판처리장치

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6009827A (en) * 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
CN101680092A (zh) * 2007-06-06 2010-03-24 艾克斯特朗股份公司 用于cvd反应器中的基板的表面温度的温度控制的装置
CN102308381A (zh) * 2009-02-11 2012-01-04 应用材料公司 非接触性基板处理
CN102414799A (zh) * 2009-08-27 2012-04-11 应用材料公司 气体分配喷头及清洁方法
US20120321788A1 (en) * 2011-06-16 2012-12-20 Pinecone Material Inc. Rotation system for thin film formation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112542404A (zh) * 2017-06-23 2021-03-23 应用材料公司 在电子装置制造系统中进行间隙校准的系统和方法
CN112542404B (zh) * 2017-06-23 2022-03-22 应用材料公司 在电子装置制造系统中进行间隙校准的系统和方法

Also Published As

Publication number Publication date
US9617640B2 (en) 2017-04-11
KR20150119901A (ko) 2015-10-26
US20150345022A1 (en) 2015-12-03
KR102269469B1 (ko) 2021-06-24
TW201437423A (zh) 2014-10-01
CN107365976B (zh) 2020-11-20
WO2014130673A1 (en) 2014-08-28
JP2016510946A (ja) 2016-04-11
CN107365976A (zh) 2017-11-21

Similar Documents

Publication Publication Date Title
CN105074049A (zh) 用于注射器至基板的空隙控制的装置及方法
CN107974668B (zh) 基座组件及处理室
US9922819B2 (en) Wafer rotation in a semiconductor chamber
TWI774283B (zh) 用於產生派形加工的電漿源組件、處理腔室及方法
JP6976725B2 (ja) ウエハ均一性のための輪郭ポケット及びハイブリッドサセプタ
CN107078090A (zh) 用于基座组件的弹簧负载销及使用该弹簧负载销的处理方法
CN104250728A (zh) 具有气封的化学沉积腔室
TWI727024B (zh) 微體積沉積腔室
US9888528B2 (en) Substrate support with multiple heating zones
CN105765697A (zh) 用于批处理的倾斜板及其使用方法
TW201603109A (zh) 用於在電容耦合電漿源下方對工件進行均勻照射的孔圖案
CN107180738A (zh) 用于旋转压板式ald腔室的等离子体源
KR102376372B1 (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
TWI723997B (zh) 用於批次處理之注射器及使用方法
TWI722978B (zh) 用於原子層沉積之加熱燈
US20160020132A1 (en) Apparatus And Methods For Wafer Chucking On A Susceptor For ALD
KR20220000911A (ko) 웨이퍼 포켓 이탈 검출
TW201443272A (zh) 基板的壓差吸附之裝置與方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20151118

RJ01 Rejection of invention patent application after publication