CN102414799A - 气体分配喷头及清洁方法 - Google Patents
气体分配喷头及清洁方法 Download PDFInfo
- Publication number
- CN102414799A CN102414799A CN2010800195368A CN201080019536A CN102414799A CN 102414799 A CN102414799 A CN 102414799A CN 2010800195368 A CN2010800195368 A CN 2010800195368A CN 201080019536 A CN201080019536 A CN 201080019536A CN 102414799 A CN102414799 A CN 102414799A
- Authority
- CN
- China
- Prior art keywords
- gas
- chamber
- ceramic coating
- substrate support
- nozzle body
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02656—Special treatments
- H01L21/02658—Pretreatments
- H01L21/02661—In-situ cleaning
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67115—Apparatus for thermal treatment mainly by radiation
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
- Physical Vapour Deposition (AREA)
Abstract
沉积工艺期间,材料不仅可能沉积在基材上,而且也可能沉积在其他腔室部件上。在MOCVD腔室中,一种所述这样的部件为气体分配喷头。可透过以由包括惰气与氯气的等离子体所生成的自由基轰击所述喷头而清洁的。为了生成等离子体,喷头可受负偏压或者相对基材支撑件浮接。喷头可包含不锈钢且以陶瓷涂层涂布。
Description
技术领域
本发明的实施例大体上关于用在清洁喷头的方法与装置,诸如用于金属有机化学气相沉积(MOCVD)及/或氢化物气相磊晶法(HVPE)者。
先前技术
化学气相沉积(CVD)技术一般用在制造半导体元件上。气体分配喷头一般用于将前驱物传递至腔室中基材上方的处理区,以将诸如薄膜之类的材料沉积至基材上。气体分配喷头以及腔室中其他邻近处理区的硬体部件(诸如腔室主体)大体上是由低发射率的材料制造,诸如铝。铝表面一般会经阳极氧化处理以抗氧化及/或腐蚀。然而,铝部件可能不适合用于高温CVD工艺,诸如需要温度超过500℃至约1400℃的工艺,部份是因为铝材料热膨胀与收缩的关系。再者,升高的处理温度会超过铝的熔点。
已进行探究其他用于高温CVD工艺的材料。这些材料之一为陶瓷,为抗腐蚀性,具有低反射性并且能够耐受升高的处理温度而不变形。然而,某些诸如腔室清洁工艺的类的工艺需要在气体分配喷头与腔室其他部件之间以电偏压助益等离子体形成。陶瓷材料的介电性质不适合传递电子讯号以助在这些工艺中的等离子体形成。
另一种此类材料为不锈钢,相对而言不受腐蚀,具有高反射性以及低发射率,并且相较于其他诸如铝的材料,承受缓慢的氧化速率。不锈钢导电且可有效用于助益等离子体形成。然而,不锈钢具有低发射率、会受到氧化、且必须受到清洁或者周期性去氧化。
在高温CVD工艺中,期望处理区中的温度是稳定的,以提供晶圆对晶圆之间以及晶圆内可重复的沉积结果。一个影响处理区温度的因子是腔室硬体的发射率。当腔室硬体处于新的状况下(即,非氧化或非受工艺气体化学物质腐蚀),发射率为已知且一般而言发射率是低的。然而,在处理期间,这些材料的发射率可能由于前驱物材料附着在暴露表面上、或由于这些暴露表面的腐蚀或氧化而改变。发射率的改变影响处理区中的热力学参数,会引发工艺漂移。在工艺部件的状况不利地影响工艺状况之前,腔室部件必须受清洁或被置换。
硬体部件一般是以预定排程清洁,诸如在他们暴露至工艺条件达到经实验确定的数小时之后,以致于所述期间的末期,尽管工艺漂移,所述工艺仍产生可接受的沉积结果于基材上。清洁部件是为了将部件复原到原始状况,而因此将工艺腔室复原到能宛若新的、干净的部件装设于中般执行的状况。然而,已发现特别是针对于非陶瓷腔室部件而言,当清洁时这些部件不会达成如同这些部件为崭新的的时期的状况。然后,在使用上,受清洁的部件可能会以如同新部件所为的方式产生发射率的改变。因为相较于新部件而言,腔室性质不同,当受清洁的部件首次装设时,于与新部件相同的工艺时期使用受清洁的部件,会造成工艺状况可能会在基材上产生无法接受的沉积结果。
因此,需要一种减少工艺漂移并且得以使新的及受清洁的部件之间发射率较为相近匹配的气体分配喷头以及这些腔室中处理区附近的其他部件。此外,需要一种清洁方法以产生可重复再现的表面。
附图简单说明
参考具有某些绘制在附图的实施例,可得到前文简要总结的本发明的更特别描述,如此,可详细了解之前陈述的本发明的特色。然而应注意,附图只绘示本发明的典型实施例,因本发明允许其他同等有效的实施例,故不将这些附图视为范围的限制。
图1为概略平面图,绘示根据本发明实施例的用于制造半导体元件的处理系统的一个实施例。
图2是根据本发明实施例的用于制造半导体元件的化学气相沉积(CVD)腔室的概略剖面视图。
图3是图2中所示的A的细部放大视图。
图4是根据本发明实施例且源自图2的喷头组件的部份概略底视图。
图5是根据一实施例的装置的概略剖面视图。
图6A是根据一实施例在清洁工艺期间所述装置的概略特写视图。
图6B是根据另一实施例在清洁工艺期间所述装置的概略特写视图。
图7是根据另一实施例在清洁工艺期间所述装置的概略特写视图。
图8是根据一实施例具有喷头清洁附件的装置的概略剖面视图。
为助于了解,如可能,则使用同一元件符号指定各图中共通的同一元件。应认知到在一实施例中揭露的元件可有利地结合其他实施例中而无须进一步记叙。
发明内容
本发明大体上提供用于表面涂层的改善方法,所述表面涂层施加于用在化学气相沉积(CVD)工艺的工艺腔室部件,以及用在根据发明的实施例的具有表面涂层的CVD工艺的装置中。在一实施例中,提供一种喷头装置。所述喷头装置包含主体;多个延伸通过所述主体的导管,所述多个导管的每者具有延伸至所述主体的处理表面的开口:以及涂层,所述涂层设置在所述处理表面上,所述涂层为约50微米至约200微米厚并且包含约0.8的发射系数,约180微英吋至约220微英吋的平均表面粗糙度,以及约15%以下的孔隙度。
在另一实施例中,提供一种沉积腔室。所述沉积腔室包含:腔室主体,具有内部空间,所述内部空间被包含在所述腔室主体的内表面、气体分配喷头的内表面及圆顶结构的内表面之间;基材支撑件,设置于所述内部空间内,与所述气体分配喷头呈相对关系;以及个或多个灯组件,这些灯组件将光线导引通过所述圆顶结构。所述气体分配喷头包含;主体;设置在所述主体中的多个导管,这些多导管的每者具有延伸至所述主体的所述内表面的开口,以传递一种或多种气体至所述内部空间;以及涂层,设置在所述气体分配喷头的这些内表面上。
另一实施例中,提供一种用于处理基材的方法。所述方法包括以下步骤:将涂层施加至腔室中环绕处理空间的主体的一个或多个表面;将第一批的一个或多个基材传送至所述腔室的所述处理空间;提供输入能量以将所述第一批的一个或多个基材加热至设定点温度,而于所述一个或多个基材上执行第一沉积工艺;将所述一个或多个基材传送出所述处理空间;将第二批的一个或多个基材传送至所述腔室的所述处理空间;将所述第二批的一个或多个基材加热至所述设定点温度,而于所述一个或多个基材上执行第二沉积工艺,其中通过将所述输入能量改变少于约0.12%而维持所述设定点温度。
在一实施例中,揭露一种装置。所述装置包括腔室主体以及设置在所述腔室主体内的基材支撑件基座。所述装置亦包括气体分配喷头,所述气体分配喷头与所述腔室主体电绝缘,设置成相对于所述基材支撑件基座,并且具有喷头主体,所述喷头主体包含不锈钢以及覆于上而面对所述基材支撑件的陶瓷涂层。所述喷头主体具有延伸穿过中的多个第一气体通道以及多个第二气体通道。所述装置亦包括电源,耦接所述基材支撑件以及所述喷头主体的者或多者。第一气体供应源耦接所述喷头主体以将气体传递通过所述多个第一气体通道,而第二气体供应源耦接所述喷头主体以将气体传递通过所述多个第二气体通道。
另一实施例中,揭露一种清洁气体分配喷头的方法。所述方法包括以下步骤:将清洁气体导引至处理腔室,以及施加电偏压至耦接所述处理腔室的气体分配喷头,同时设置于相对所述喷头处的基材支撑件在电性上接地。所述喷头具有喷头主体,所述喷头主体包含不锈钢以及覆于上而面向所述基材支撑件的陶瓷涂层。所述喷头主体具有延伸穿过中的多个第一气体通道以及多个第二气体通道。所述电偏压将所述清洁气体点燃成含有清洁气体自由基的等离子体。所述方法亦包括;通过以所述清洁气体自由基轰击所述陶瓷涂层而使所述清洁气体自由基与所述陶瓷涂层上形成的沉积物反应,以形成副产物并且暴露所述陶瓷涂层。所述暴露的陶瓷涂层的发射率与在上形成这些沉积物之前的所述陶瓷涂层的发射率的差异在2%以内。所述方法亦包括将所述副产物从所述处理腔室排出。
在另一实施例中,揭露一种清洁气体分配喷头的方法。所述方法包括以下步骤:将清洁气体导引至处理腔室,以及施加电偏压至设置在所述处理腔室内的基材支撑件,同时耦接所述处理腔室的气体分配喷头在电性上接地。所述喷头具有喷头主体,所述喷头主体包含不锈钢以及覆于上而面向所述基材支撑件的陶瓷涂层。所述喷头主体具有延伸穿过中的多个第一气体通道以及多个第二气体通道。所述电偏压将所述清洁气体点燃成含有清洁气体自由基的等离子体。所述方法亦包括:通过以所述清洁气体自由基轰击所述陶瓷涂层而使所述清洁气体自由基与所述陶瓷涂层上形成的沉积物反应,以形成副产物并且暴露所述陶瓷涂层。所述暴露的陶瓷涂层的发射率与在上形成这些沉积物之前的所述陶瓷涂层的发射率的差异在2%以内。所述方法亦包括将所述副产物从所述处理腔室排出。
实施方式
本发明的实施例大体上提供在化学气相沉积(CVD)工艺中所用的腔室部件的方法与装置。在一实施例中,所述方法与装置可用于以金属有机气相沉积(MOCVD)及/或氢化物气相磊晶(HVPE)硬体沉积三族氮化物膜。在一方面中,提供一种适合沉积材料以形成发光二极体(LED)、雷射二极体(LD)或其他元件的处理腔室。
CVD沉积中的工艺温度影响膜形成速率以及膜性质。在合理的容忍度内,基材或晶圆必须在生命周期内接受相同的沉积速率以及膜的品质。CVD腔室可适于在单一基材或晶圆,或者一批基材或晶圆上执行一个或多个沉积工艺。在工艺运作(即,从基材至基材或一批次至另一批次)之间工艺温度会倾向漂移,因为腔室部件的发射率改变因而使晶圆温度漂移。为了改善此问题,可能需要改变其他控制(诸如置入腔室的热量以及从腔室放出的热量)以维持期望的工艺温度。由于腔室部件表面转为受到沉积材料覆盖或受腐蚀(即氧化或以其他方式受到化学性改质),而发射率改变。于是,腔室中的零件须周期性受清洁,以图将这些零件复原至原始的工艺前的状况。一种避免腐蚀问题的机制是使用陶瓷零件,这些零件使用时不会严重降解,并且通过清洁,易于复原到原始热性质冲击状况。然而,陶瓷腔室零件经受许多工艺、执行和制造限制,这些限制使价格昂贵,且在某些半导体工艺应用中无法实行。
一个使用陶瓷作为腔室部件的基础材料的替代性方案是例如使用金属。不锈钢相对不易受腐蚀,在原始状态(即,非氧化或非受工艺气体化学物质腐蚀)具有高反射性以及低发射率,且相较于亦常用于腔室部件的铝的类的其他金属,经受缓慢的氧化速率。不锈钢亦具有高熔点,且可在铝部件弛垂或甚至熔融的温度下使用。然而不锈钢亦会氧化且必须周期性清洁或去氧化,以维持暴露至腔室工艺环境的表面上具有期望的反射率、发射率以及清洁性。一般而言,腔室零件是原位受清洁,通过使用清洁气体而达成,诸如递送通过远端等离子体源的清洁气体以提供高度反应性的自由基,而从部件表面移除氧化物或其他杂质。此作法使腔室得以在不破真空的情况下受到清洁,并且因而减少腔室不准备从中处理基材的时间。亦需要通过移出这些零件而周期性清洁,通常是在多个清洁与工艺循环后执行。
尽管能够原位清洁腔室表面,已发现到不锈钢表面在清洁后无法恢复到原始状态,或者无法重复地恢复到所述状态。于是,原本期望能与新的部件一样的所述部件的反射率与发射率却处于不同的状态,造成所述工艺温度与温度均匀性与期望的不同。
在此,发明人已发现涂布腔室部件(特别是用于以灯加热的CVD腔室中的金属腔室部件)能够在多个处理及/清洁循环上稳定发射率特性。「发射率」一词是指由表面发射的辐射相对于在同温度下黑体所发射的辐射的比率。
图1是概略平面视图,绘示处理系统100的一个实施例,所述系统包含一个或多个用于将薄膜沉积在基材上的CVD腔室102。所述处理系统100包含传送腔室106、耦接传送腔室106的CVD腔室102、耦接传送腔室106的负载锁定腔室108、批次负载锁定腔室109(用于储存基材,并且与传送腔室106耦接)以及加载站110(用于加载基材,并且与负载锁定腔室108耦接)。处理系统100亦包括控制器160。传送腔室106包含机器人组件(图中未示),可操作所述组件以在负载锁定腔室108、批次负载锁定腔室109及CVD腔室102之间拾起并且传送基材。传送腔室106亦可耦接超过一个CVD腔室102或另外的MOCVD腔室与一个或多个HVPE腔室的组合。
处理系统100中,机器人组件(图中未示)传送负载基材的基材载具板112通过狭缝阀(图中未示)并且进入单一的CVD腔室102以进行化学气相沉积。在此述的实施例中,基材载具板112经装设以用图2中所示的相间隔的方式接收多个基材。在部分或所有沉积步骤完成后,在上具有基材的基材载具板112从CVD腔室102通过机器人组件传送,以供进一步处理。
图2是根据本发明实施例的CVD腔室102的概略剖面视图。CVD腔室102包含腔室主体202、化学物质传递模组203(用于传递前驱物气体、载气气体、清洁气体及/或冲净气体)、具有等离子体源的远端等离子体系统226、感受器或基材支撑件214(用于支撑基材载具板112)以及真空系统。可密封的开口211设于腔室主体202内,以传送基材载具板112进出腔室102。开口可由狭缝阀(图中未示)密封。腔室主体202包围处理空间208。气体分配喷头组件204设置在处理空间208的端(顶部),而基材支撑件214设置在处理空间208另一端(底部)而与气体分配喷头组件204呈面对面的关系。基材支撑件214以及基材载具板112可由碳化硅、石墨、石英、氧化铝、氮化铝及组合制成。基材支撑件214具有z轴方向举升能力,以在垂直方向上移动,如箭号215所示。在一实施例中,z轴方向的举升能力可用于使基材支撑件214向上移动更接近喷头组件204,以及向下移动进一步远离气体分配喷头组件204。致动器288用于给予旋转运动以及垂直运动二者。在某些实施例中,基材支撑件214包含加热元件(例如电阻式加热元件,图中未示)以控制基材支撑件214的温度,因而控制基材载具板112与基材240(定位于基材载具板112与基材支撑件214上)的温度。诸如热偶的一个或多个感测器(图中未示)可耦接至基材支撑件214以监控处理期间基材支撑件214及/或基材载具板112背侧的温度。
在所示的实施例中,气体分配喷头组件204装设成双气室喷头,使两个不同的气流得以通过喷头分配而不会让这些气流在喷头内混合。因此,气体分配喷头组件204具有第一处理气体气室204A以及第二气体气室204B,第一处理气体气室通过第一处理气体入口259耦接化学传递模组203,以传递第一前驱物或第一工艺气体混合物至处理空间208;而第二处理气体气室用于传递第二前驱物或第二工艺气体混合物至处理空间208。在一实施例中,化学物质传递模组203经装设以传递金属有机前驱物至第一处理气体气室204A以及第二处理气体气室204B。在一示例中,金属有机前驱物包含适合的镓(Ga)前驱物(例如三甲基镓(TMG)、三乙基镓(TEG))、适合的铝前驱物(例如三甲基铝(TMA)),或适合的铟前驱物(三甲基铟(TMI))。在图2所示的实施例中,第一处理气体气室204A通过阻挡板255分岔成两个次气室212A与212B,所述阻挡板以横跨第一处理气体气室204A的方式定位。阻挡板255具有多个流孔257,这些流孔设置成穿过阻挡板,提供所述二次气室212A、212B之间的流体连通。次气室212A与第一处理气体入口259及第一处理气体气室204A连通,以均匀地将从化学物质传递模组203接收的气体分配进入次气室212A,并且穿过流孔257进入第一处理气体气室204A的次气室212B。第二处理气体气室204B耦接化学物质传递模组203,以通过第二处理气体入口258传递第二前驱物或第二工艺气体混合物至处理空间208。一实施例中,化学物质传递模组203经装设以传递适合的含氮处理气体至第二处理气体气室204B,所述含氮处理气体诸如氨(NH3)或其他MOCVD或HVPE处理气体。在一实施例中,通过气体分配喷头组件204的第一气室壁276将第二处理气体气室204B与第一处理气体气室204A分隔。
气体分配喷头组件204进一步包含温度控制气室204C,所述气室与热交换系统270耦接,以使热控流体流过气体分配喷头组件204,以助调控气体分配喷头组件204的温度。适合的热控流体包括(但不限于)水、水系的乙二醇混合物、全氟化聚醚(例如GALDEN流体)、油类热传流体或类似流体。在一实施例中,第二处理气体气室与温控气室204C是通过气体分配喷头组件204的第二气室壁277分隔。温控气室204C可通过气体分配喷头组件204的第三壁278与处理空间208分隔。
腔室102包含下圆顶219,含有处理空间208的下部空间210。因此,气体分配喷头组件204与下圆顶219之间含有处理空间208。基材支撑件214显示为处于升高的工艺位置,但可移动至较低的位置,在所述较低的位置,举例而言,上具有基材240的基材载具板122可在此处加载或卸载。排气环220设置成环绕基材支撑件214周边,以助防止沉积发生在下部空间210,并且亦帮助导引排放气体离开腔室102至排气通口209。下圆顶219可由透明材料(诸如高纯度的石英)制成,使光线得以通过而辐射加热基材240。辐射加热可由多个设置于下圆顶219下方的内部灯221A与外部灯221B提供。反射器266可用于帮助控制腔室102暴露至由内部灯221A与外部灯221B提供的辐射能。附加灯环(图中未示)亦可用于更细微控制基材240的温度。在一实施例中,腔室102包括介于约60个至约85个之间的灯221A与221B,诸如约68个灯221A与221B。在方面中,灯221A与221B的每者为石英卤素灯,功率为2千瓦(kW)。
在本发明的某些实施例中,冲净气体(例如含氮气体)可从气体分配喷头组件204通过一个或多个耦接冲净气体源282的冲净气体气室281(图中仅示一个)传递进入腔室102。在此实施例中,冲净气体通过多个设置于环绕气体分配喷头组件204的周边的流孔284分配。所述多个流孔284可以绕气体分配喷头组件204周边的环状图形装设,并且经定位以绕基材支撑件214的周边分配冲净气体以防止基材支撑件214的边缘、气体分配喷头组件204及腔室102的其他部件上有非期望的沉积,这些沉积会造成粒子形成,且最终会污染基材240。冲净气体向下流进绕环形排气通道205设置的多重排气通口209。排气导管206将环形排气通道205连接至包括真空泵207的真空系统。腔室102的压力可利用阀系统控制,所述阀系统控制排放气体由环状排气通道205所汲引的速率。
在其他实施例中,冲净气体管283(图中仅示一个)在腔室主体202底部附近绕腔室主体202的周边设置。在此组态中,冲净气体进入腔室102的下部空间210,并且往上流过基材支撑件214与排气环220,而进入设置于环绕腔室主体202的周边处的多重排气通口209。
化学物质传递模组203将化学物质传递进入CVD腔室102。反应性气体(例如第一与第二前驱物气体)、载气、冲净气体以及清洁气体可由化学物质传递系统通过供给线传递进入腔室102。在一实施例中,这些气体是通过供给线供给,并且被供给至气体混合箱,在所述处,这些气体起混合并且被传递至气体分配喷头组件204。
远端等离子体系统226能够产生等离子体以用于所选择的应用,诸如腔室清洁或者从处理过的基材蚀刻残余物。由来自输入线路所传递的前驱物在远端等离子体源226产生的等离子体物料流过导管204D以通过喷头组件204分散至CVD腔室102。用于清洁应用的前驱物气体可包括含氯气体、含氟气体、含碘气体、含溴气体、含氮气体及/或反应性元素。远端等离子体系统226亦可适于沉积CVD层,是通过在层沉积工艺期间将适当的前驱物气体流进远端等离子体系统226而达成。在一实施例中,远端等离子体系统226用于传递活性氯物料至处理空间208,以供清洁CVD腔室102的内部。
CVD腔室102壁以及环绕的结构(诸如排气通路)的温度可进一步通过循环热控液体而控制,所述热控液体通过腔室102壁中的通道(图中未示)。热控液体可用于加热或冷却腔室主体202,取决于期望的效应。举例而言,热液体可助于维持热沉积工艺期间均等的热梯度,然而冷的液体可用于在解离清洁气体的原位等离子体工艺期间从系统移除热,或者限制沉积产物形成在腔室壁上。由灯221A、221B提供的加热、以及由从热交换系统270通过气体分配喷头组件204的热控流体所提供的加热或冷却、及/或通过传递热控液体至腔室主体202壁的加热或冷却使处理空间208中的处理温度维持在约500℃至约1300℃,更详言的是约700℃至约1300℃。在一实施例中,予以灯221A及221B的输入功率是约45kW至约90kW,以在腔室102的处理空间208中产生介于约900℃至约1050℃之间的处理温度。在一实施例中,处理温度是通过利用感测器(诸如一个以上的热偶)监控,这些感测器测量基材载具板112(图1)的背侧温度。
气体分配喷头组件204的第三壁278包括面向基材支撑件214的表面289。表面289的温度以及气体分配喷头组件204的其他部份温度在处理期间受到监视与控制。在方面中,气体分配喷头组件204是由不锈钢制造,而表面289是具有发射系数约0.17的生不锈钢。处理空间208中的温度受所述表面温度影响,也受环绕处理空间208的腔室102的其他表面温度影响。
因为CVD沉积工艺中的工艺温度可影响膜形成速率以及膜的性质,故腔室部件的发射率可为关键因素。部件表面上的材料沉积或表面的氧化与腐蚀会引发腔室部件发射率改变,影响处理空间208的温度而因此影响基材240(可安放在形成于基材支撑件214中的凹痕212内)的温度,进而影响基材240上的膜形成与膜品质。腔室内部受到周期性地原位清洁,或者藉由开启腔室以图将表面复原到原始的工艺前状况而周期性清洁腔室内部。举例而言,在处理循环期间,表面289的发射率会改变,这是由于前驱物材料附着至表面289,及/或表面289的腐蚀或氧化。前驱物材料附着至表面289或腐蚀或氧化表面289会降低表面289的反射率。降低的反射率引发工艺漂移,因气体分配喷头组件204吸收更多热而影响处理空间208中的温度。在方面中,温度的改变需要调整施加至灯221A、221B的功率及/或调整热交换系统270中的热控流体温度,以维持处理空间208中的期望温度。因此,前驱物残余物会持续累积在这些表面上,且需要调整功率及/或调整热控流体以操作连续沉积工艺。基本上,腔室的控制必须将基材温度维持在期望的设定点,是通过在气体分配喷头组件表面性质改变时改变进出腔室的热量而达成。此外,在某点上,难以改变流体及灯功率而管理漂移,而腔室部件需要受清洁或者更换成新的部件。
原位清洁这些表面可在工艺运作期间执行以缓和工艺漂移,移除沉积残余物及/或移除暴露表面的氧化或腐蚀最终需要开启腔室102以物理性清洁暴露的表面。移除与物理性清洁腔室102是耗时的,且会导致直到供后续处理的抽吸与烘烤之后才能使用腔室。此外,尽管腔室部件可原位清洁,此举会使腔室在原位清洁期间「离线」。
为了解决腔室部件表面性质漂移的问题,在一实施例中气体分配喷头组件204面向基材支撑件214的表面289包括粗糙化的表面,以增加表面289的发射率。在一实施例中,表面289经过珠磨以提供粗糙化的表面,平均表面粗糙度(Ra)为约80微英吋(μ-inch)至约120微英吋。相较于未粗糙化的表面,表面289的粗糙化增加表面289初始的发射率,并且减少由于腐蚀或氧化所引发的发射率变化,而减少工艺漂移。在一实施例中,#80的磨粒尺寸用于提供粗糙化的表面。可以压力施加珠磨,所述压力已知为使用期望的磨粒尺寸生成期望的Ra。在方面中,磨珠得以进入表面289中的任何开口。在方面中,气体分配喷头组建中的任何开口的直径大于磨粒尺寸,且详言的,大于#80的磨粒尺寸维度。可通过将气体分配喷头组件204耦接真空泵或者将气体分配喷头组件204设置在真空环境中而清洁开口,以移除及排出任何可能已经进入气体分配喷头组件204中的开口的磨粒。在另一方面中,冲净气以可通过气体分配喷头组件204中的开口在约80psi的压力下传递,以防止或减少任何磨珠或磨粒进入开口。
在另一实施例中,气体分配喷头组件204面向基材支撑件214的表面289包括涂层291。此外,腔室102接近处理空间208的其他表面(诸如腔室主体202的内表面295)可包括涂层296。在一实施例中,气体分配喷头组件204以及腔室主体202包含不锈钢材料,诸如316L不锈钢。涂层291、296包含相容于用在沉积与清洁工艺中的工艺化学物质的材料。涂层291、296相容于用在MOCVD及HVPE工艺中所用的全温度应用。涂层291、296使发射率极大化,并且稳定表面289及/或295与涂层基底材料的发射率,而稳定基底材料的热吸收。在一实施例中,涂层291、296包含在约0.8至约0.85之间的发射系数。
涂层291、296可包含沉积在表面289、295上的陶瓷材料。已发现到,当此类涂层施加至金属表面(诸如不锈钢)时,沉积与清洁工艺后的部件表面发射率相当接近使用前的部件表面发射率。在方面中,涂层291包括氧化铝(Al2O3)、氧化锆(ZrO2)、钇(Y)、氧化钇(Y2O3)、氧化铬(Cr2O3)、碳化硅(SiC)、组合或衍生物。利用热喷涂方法(诸如等离子体喷涂)可将涂层291、296沉积在个别表面上。形成在表面289、295上的涂层291、296可具有50μm至约200μm的厚度。涂层291、296可为多孔性。在一实施例中,涂层291、296包括少于约10%的孔隙度,诸如约0.5%至约10%,例如约8%至约10%(通过使用光学方法)。另一实施例中,涂层291、296包括少于15%的孔隙度,诸如约0.5%至约15%,例如约10%至约15%(利用阿基米德法)。涂层291、296可为疏水性或可湿润,且包括少于约90度的接触角,诸如介于0度至90度之间。涂层291、296可在等离子体喷涂后为白色,并且甚至在数个沉积及/或清洁循环后,在颜色上维持实质上的白色。进一步言之,发射率在第一次使用以及清洁工艺之间为实质上稳定的。举例而言,发射率在第一使用时可为约0.8,而在原位清洁前可为约0.81。因此,涂层291、296的发射率差量介于约0.8至约0.85间,使施加至灯221A、221B的功率的漂移可忽略,在一实施例中在约80000瓦的功率设定点处,功率漂移少于约100瓦,所述功率设定点用于提供处理空间208中约1000℃的温度及/或约1000℃的基材温度。
在大气压下异位执行等离子体喷涂工艺而形成涂层291、296。等离子体喷涂工艺包括制备表面289、295以增加涂层291与296的附着。在一实施例中,珠磨表面289、295以产生粗糙化表面而促进涂层291、296附着。在方面中,磨珠为#80磨粒尺寸的氧化铝粒子,这些粒子用于形成Ra为约80微英吋至约120微英吋粗糙化表面。在珠磨期间通过气体分配喷头组件204传递冲净气体,以防止任何粒子进入任何形成在表面289上的开口。在一实施例中,由陶瓷粉末构成的等离子体喷雾可在粗糙化后沉积在表面289、295上。在一实施例中,陶瓷粉末纯度为99.5%。在另一实施例中,陶瓷粉末为氧化铝(Al2O3)。可在压力下施加等离子体喷雾以使用期望的粉末尺寸生成期望的Ra。在方面中,陶瓷粉末的等离子体施加至表面289、295,且任何在表面289、295中的开口受到覆盖或填充以防止阻塞。在另一方面中,陶瓷粉末的等离子体得以至少部份进入任何在表面289、295中的开口。在方面中,在等离子体喷涂期间于约80psi的压力下通过气体分配喷头组件204传递冲净气体,阻止喷雾进入任何形成在表面289上的开口。在方面中,将等离子体喷雾施加至表面289,以致任何在表面289中的开口以等于表面289上的涂层291厚度的量加长。在另一实施例中,于约少于80psi的压力下通过气体分配喷头组件204传递冲净气体,使部份喷雾得以进入形成于表面289上的开口。在尚另一实施例中,等离子体喷雾得以覆盖开口。在此实施例中,如需要,在施加涂层后可重新机械加工开口而重启的或者调整尺寸。
亦可移除涂层291、296以致可翻新表面289及295的基底材料。可通过珠磨或使用化学物质攻击表面289与295之间的界面以断裂涂层与基底材料间的粘结,而移除涂层291、296。在清洁表面289、295之后,可根据上述涂布工艺重新施加涂层291、296至受清洁的表面289与295并且重新安装至腔室102。
图3是图2中细部A的放大视图,进一步显示于气体分配喷头组件204上的喷配涂层291。气体分配喷头组件204包含具有第一主要侧面305A以及第二主要侧面305B(具有表面293)的主体。参考图2与图3,在一实施例中,藉由多个内部气体导管246,第一前驱物或第一处理气体混合物(诸如金属有机前驱物)从第一处理气体气室204A通过第二处理气体气室204B以及温控气室204C传递入处理空间208。内部气体导管可为不锈钢制成的圆柱形管线,位在设置于穿过气体分配喷头组件204的第一气室壁276、第二气室壁277及第三壁278的对准孔内。每内部气体导管246包括第二主要侧边305B中的开口310A。每开口310A形成为穿过表面289以沿流径A3传递第一前驱物至处理空间208。在一实施例中,通过适当的手法(诸如铜焊)将内部气体导管246每者附接气体分配喷头组件204的第一气室壁276。
在一实施例中,第二前驱物或第二处理气体混合物(诸如氮前驱物)从第二处理气体气室204B通过温控气室204C传递,并且通过多个外部气体导管245进入处理空间208。外部气体导管245可为不锈钢制成的圆柱形管线。每外部气体导管245绕个别的内部气体导管246以同心方式安置。每外部气体导管245包括在第二主要侧边305B中的开口310B。每开口310B形成为穿过表面289以沿流径A2传递第二前驱物至处理空间208。外部气体导管245位在设置成穿过气体分配喷头组件204的第二气室壁277与第三气室壁278的对准孔内。在一实施例中,通过适当的手法(诸如铜焊)将外部气体导管245每者附接气体分配喷头组件204的第二气室壁277。在远端等离子体系统226中由输入线路所传递之前驱物产生的等离子体物料流过导管204D以通过气体分配喷头组件204分散,经过穿过表面289形成的开口310C抵达处理空间208。
在一实施例中,开口310A-310C的每者包括直径(诸如内径D1-D3),而涂层291施加至表面289,施加方式增长开口310A-310C而不至于减少直径D1-D3。在一实施例中,内径D1-D3为约0.6mm。在方面中,开口D1-D3以等于涂层291的厚度的量加长,而不至于减少任何直径D1-D3。在另一实施例中,涂层291得以至少部份覆盖开口310A-310C的部份,并且进入内径D1-D3,显示为内涂层315。在此实施例中,开口310A-310C在等离子体喷涂前不受覆盖或填充。因此,涂层291得以减少开口310A-310C的尺寸。在一实施例中,涂层的厚度292在表面289上及内径D1-D3上为约50μm至约200μm。在方面中,厚度292是经选择以对应每开口310A-310C的开启的面积百分比的量值。在方面中,涂层291的厚度292是经选择以覆盖每开口310A-310C的部分,而留下至少大约大于80%的开口直径D1-D3。在一实施例中,涂层291得以进入开口310A-310C达到离表面289约50μm至约200μm的深度。流孔284(图2)在图中未示,而可至少部份受涂层291覆盖,如参考前文中开口310A-310C所述。
图4为根据本发明的一实施例及图2的喷头组件204的部份概略底部视图。如图所绘,包含外部气体导管245(从第二处理气体气室204B传递第二气体)与内部气体导管246(从第一处理气体气室204A传递第一气体)的同心管组态以更靠近与更均匀的样式排列。在一实施例中,同心管以六方最密堆积的排列方式设置。于是,从第一处理气体气室204A传递的第一处理气体及从第二处理气体气室204B传递的第二处理气体的每者更均等地横跨在置于处理空间208中的基材240上传递,造成显著的较佳沉积均匀性。
总结而言,本发明的实施例包括具有同心管组件的气体分配喷头组件204,用于个别传递处理气体进入处理腔室102的处理空间208。气体分配喷头组件204以及腔室102的其他部份可包括于上设置的高发射率涂层291、296,以减少接近处理空间208处的部件的发射率变化,并且因而减少处理空间208中的温度改变。根据此述的实施例,处理空间208及其他部件的温度(诸如从热交换系统270及/或灯221A、221B施加至气体分配喷头组件204的热)可更容易维持。因此施加至灯221A、221B的功率水平以及至气体分配喷头组件204的热控流体的温度可易于维持。此举改善晶圆间的再现性,而无须调整工艺参数。
相较于习知工艺腔室的设计,一般相信通过使用涂层291,在LED处理腔室(诸如处理腔室102)的处理空间208中执行的工艺的温度可易于维持。受涂布的腔室部件(减少发射率差异)大体上造成晶圆间以及晶圆内均匀性的改善,且因而造成LED元件表现的再现性改善。通过使用此述的气体分配喷头组件204,已发现到由基材热源(诸如灯221A、221B)提供给基材的热能维持在相对小的范围,例如,施加至热源的功率改变为少于约0.5%,例如介于0.5%至小于0.2%之间,诸如少于约0.12%,以维持期望的设定点温度。举例而言,为维持在约1000℃的设定点温度,施加至基材热源的功率变化少于100瓦。在一范例中,欲维持约1000℃的温度设定点,由基材热源提供给基材的热能少于100瓦,用于达成基材处理温度。另一范例中,欲维持约80,000瓦的功率设定点,由基材热源提供给基材的热能变化少于100瓦,用于达成约1000℃的基材处理温度。根据本发明的实施例,施加至灯221A、221B的功率的改变及/或为补偿发射率漂移的热控流体的流率或温度的改变大幅减少。
在一实施例中,在处理期间所用的基材载具板112(图1)包含约95,000mm2至约103,000mm2的表面积(诸如约100,000mm2),而灯221A与221B输入功率可基于此面积变化,以达成设定点处理温度。在一实施例中,灯221A与221B的输入功率为约45kW,以达成基材载具板112背侧量测到的约900℃的处理温度。在另一实施例中,灯221A与221B的输入功率为约90kW,以达成基材载具板112背侧量测到的约1050℃的处理温度。因此,基于基材载具板112的表面积,灯221A与221B的输入功率的功率密度可为约0.45W/mm2至约0.9W/mm2。
在另一实施例中,在处理期间所用的气体分配喷头组件204包含约100,000mm2至约250,000mm2的(表面289的)表面积(诸如约200,000mm2),而灯221A与221B的输入功率可基于此面积变化以达成设定点处理温度。在一实施例中,灯221A与221B的输入功率为约45kW以达成基材载具板112背侧量测到的约900℃的处理温度。在另一实施例中,灯221A与221B的输入功率为约90kW,以达成基材载具板112背侧量测到的约1050℃的处理温度。因此,基于气体分配喷头组件204的表面积,灯221A与221B的输入功率的功率密度可为约0.225W/mm2至约0.45W/mm2。
在范例中,获得来自16个沉积工艺循环的资料,且在16个沉积与清洁循环时期传递至灯221A与221B的功率实质上维持稳定。在此范例中,测量到受涂布的喷头在灯输出功率为约80,000瓦时有100瓦漂移,相较下,未受涂布的喷头在相同的输出功率设定点处的灯功率具8,000瓦漂移。因此,在16个沉积工艺循环时期基材所处的热控处理环境的层面上,涂层291提供八十倍的改善。在此范例中,通过热交换系统270及温控气室204C所传递的热控流体的温度在沉积与清洁工艺期间受到监控,以决定从气体分配喷头组件204移出的热量的变化。从气体分配喷头组件204通过涂层291移出的能量在沉积期间为约15.3kW。已发现到熟习此技艺者将了解,倘若基材处理温度从在工艺运作间漂移超过几度(+/-2.5℃),LED元件产量会大幅变化。LED元件产率产生问题至少部份是由于工艺运作间已形成的LED元件中所生成的光输出的可变性。因此,在可接受的范围内,此述的实施例防止或减少运作至另一运作间的基材处理温度变化或漂移,以重复生产具有实质上相同膜厚度与光输出的LED元件。已发现到,通过使用上文所述的涂层291,在期望的设定点温度(介于800℃至1300℃之间,诸如1000℃)的处理温度下,运作间的平均基材处理温度范围少于约+/-2℃,减少工艺运作间及晶圆内膜厚度变化,以生产具有实质上相同光输出特性的LED元件。
测试于上具有涂层291的气体分配喷头组件204显示在膜厚偏离工艺规范前,清洁间隔之间增加以及工艺运作数目增加。举例而言,在上具有涂层291的气体分配喷头组件204用于80个工艺运作,同时在每工艺规范都维持住膜厚,相较的下,上没有涂层的气体分配喷头在10个工艺运作后膜厚就会漂移出工艺规范。因此,在方面中,在此所述的于上具有涂层291的气体分配喷头组件204将原位清洁之前的工艺运作数目增加至80个,相较下,没有涂层的喷头用于大约10个工艺运作。因此,如此所述的气体分配喷头组件204通过减少腔室的停工时间而增加输出量。测试于其上具有涂层291的气体分配喷头组件204亦显示基材支撑件214的表面温度减少约40℃。温度减少是由于气体分配喷头组件204上涂层291具更高的发射系数之故。因此,基材支撑件214较大量的热损失造成在利用灯221A、221B的相同的功率输入下,气体分配喷头组件204具较低温度。
在沉积工艺期间,材料不仅可能沉积在基材上,亦可能沉积在其他部件上。在MOCVD腔室中,这些部件中的即为气体分配喷头。可通过以由等离子体(包括惰气与氯)生成的自由基轰击喷头而清洁的。为了生成等离子体,喷头可受负偏压或者相对基材支撑件浮接(floating)。喷头可包含不锈钢并且以陶瓷涂层涂布。
可用于清洁喷头的一种方法是在腔室远端点燃等离子体,并且将自由基/离子传递至腔室。氯类的气体可有效清洁喷头。高氯分压可用于改善氯化反应效率。然而,高压工艺抑制形成在喷头表面上的氯化反应副产物的升华速率。热双原子氯清洁工艺的清洁效率可达到折衷。为了改善利用双原子氯的原位腔室清洁效率,可期望利用诸如氯自由基的更具化学反应性的物料以在降低的工艺压力下供予氯化反应,而助于反应副产物的升华工艺。因此,远端生成的等离子体可为选。
为了执行远端生成等离子体清洁喷头,远端生成的自由基/离子可通过远端等离子体源生成。在一实施例中,自由基/离子可通过氯放电形成,以生成氯自由基与离子。远端等离子体源可为诱导式耦合的等离子体源,可由RF或微波功率赋能。或者,远端等离子体源可包含电子回旋共振(ECR)等离子体源,可由RF或微波功率赋能。
用于远端等离子体源的源气体可包含双原子氯。然而,其他氯类气体亦可有效地被利用,诸如ICl、HCl、BCl3、CCl4以及CH3Cl。远端等离子体源可直接架置在腔室盖顶部上,以减少至反应器的路径长度,而远端等离子体源的下游可以最小化的流动限制的方式设计,以减少重组效应。可导入诸如氩或氦的惰气以点燃等离子体及/或在辉光放电中与双原子氯(当利用时)混合以增加等离子体密度。腔室压力可从沉积压力减少至低于约5Torr,以减少重组效应。在清洁工艺期间可使用搅拌器,以改善反应性物料的分布。喷头上的陶瓷涂层可为高度抗氯性及/或极低热导性以增加喷头表面温度而增加氯化及升华速率。陶瓷会保护不锈钢以防受氯自由基腐蚀。为了强化清洁效率,诸如Hg-Xe(汞氙)灯或KrF准分子雷射可用于光解离导入腔室的含氯化合物。
远端生成等离子体清洁喷头的另一个选择是可通过在处理腔室内原位生成等离子体以自由基/离子轰击喷头。图5显示CVD腔室102,已被修饰成容许原位清洁喷头组件204。为简化起见,功率源527示为耦接喷头组件204,而功率源528示为耦接嵌在基材支撑件214内的电极529。可了解到在操作中,可供给功率给喷头组件204或基材支撑件214,同时另一者可接地或者电浮接。腔室主体202亦可接地。因为喷头组件204或基材支撑件214在喷头清洁工艺期间相对另一者受到偏压,故通过电绝缘材料516将喷头组件204与腔室主体202电性隔离。因此,喷头组件204可由电容式耦合等离子体工艺清洁。
为了使自由基/离子被吸引至喷头组件204以供清洁的用,喷头组件204可受负偏压以吸引正离子至喷头组件204而轰击喷头组件204。图6A显示喷头组件204受负偏压而腔室主体202如基材支撑件214接地的实施例。以电源527施予喷头组件204负偏压。在图6A所示的实施例中,电源是RF式电源。可了解到,由于喷头组件204含有不锈钢,故可使用诸如DC与AC的类的电源,但RF是不可行的,因在不锈钢上存在陶瓷涂层。
通过将清洁气体导入腔室102而清洁喷头组件204。清洁气体可包含双原子氯。然而,可有效地利用其他氯类气体,诸如ICl、HCl、BCl3、CCl4及CH3Cl。在清洁期间,喷头组件204与基材支撑件214应紧实闭合,例如在所述二者之间的间隔少于英吋。腔室102的压力应所述维持在诸如约300mTorr以下的低压。清洁温度可为大约室温,因为喷头组件204大体上在沉积工艺期间冷却。清洁工艺期间,喷头组件不主动冷却或加热。来自等离子体602(在腔室102内点燃)的热加热喷头组件204。然而,因为喷头组件204是以陶瓷涂层所涂布,陶瓷涂层提供对不锈钢的热阻障,因此陶瓷的表面温度可能由于等离子体602而增加。导入的清洁气体可具有上至约100sccm的流率,助于维持低压。低压使得能以简单的真空泵操作腔室,而无须用涡轮分子泵。为了维持低压,可能要避免惰气或载气,因为附加气体会引发压力增加。但是,倘若使用涡轮分子泵,随后在腔室中可用更高的压力,除了含氯气体外,可供给惰气或载气。对喷头组件204的RF偏压的功率密度可介于约2.23W/in2至约16W/in2之间。金属板610可定位在基材支撑件214上,以提供相对于受偏压的喷头组件204的接地电极。
当喷头组件204受偏压时,可如下文进行清洁操作。倘若基材支撑件214与喷头组件204尚未位于清洁位置,则可置放二者在彼此以少于约1英吋的距离间隔开的位置。清洁气体可导入基材支撑件214与喷头组件204之间的区域。偏压会施加到喷头组件204,而生成等离子体602。来自等离子体的离子601会受吸引而朝向喷头组件204,而任何沉积物会从喷头组件204的陶瓷表面移除。随后,喷头组件204准备继续操作以沉积材料于基材上。因此,整个工艺如下文所述般进行。在腔室102内处理一个以上的基材是通过以下方式;将如上文所述的金属有机前驱物导入并且引发这些前驱物的反应而藉由MOCVD工艺沉积一个以上的基材上。在沉积工艺期间,喷头组件204上陶瓷涂层的发射率由第一发射率水平改变至第二发射率水平。处理腔室可随后由热清洁工艺清洁。喷头组件204是由等离子体工艺清洁,以致陶瓷涂层暴露而具有第三发射率水平,所述第三发射率水平和第一发射率水平的差在2%的内。随后使用MOCVD工艺再度处理基材。
另一实施例中(显示于图6B),喷头组件204可接地,而中具有电极529的基材支撑件214由功率源528施加正RF偏压。导电板610存在基材支撑件214的上以提供电极。操作是以与喷头组件204受偏压时的方式进行(除了基材支撑件214受偏压的外)。受正偏压的基材支撑件不会吸引离子,而会驱离离子,以致这些离子会被吸引至接地的喷头组件204。在各偏压位置(喷头组件204或基材支撑件214),离子轰击喷头组件204,并且基本上执行反应性离子蚀刻(RIE)工艺以清洁喷头组件204。
另一实施例中(图7中所示),喷头组件204可接地,而放置在基材支撑件214上的导电板704受到电连接杆705的正偏压,所述电连接杆穿过喷头组件204插入,并且在位置706置入与导电板704接触。通过电性绝缘材料707,使导电杆705与喷头组件204电性绝缘。杆705耦接至RF功率源710。因为喷头组件204接地,而导电板704受到偏压,离子会推斥而朝向喷头组件204以执行喷头组件204的RIE。
上述每一实施例中(即第6A、6B及7图)已原位执行清洁。在图8所示的实施例中,可异位执行清洁。整个喷头组件204可向上枢转,且如箭号A所示与可耦接功率源228的下部组件200脱离接触。喷头组件204连接至接地线831,同时清洁组件810耦接喷头组件204但与喷头组件204电性绝缘。清洁组件810包括RF功率源830、清洁电极812、真空区域820、腔室壁811以及真空泵,所述真空泵在清洁组件耦接喷头组件204之后立即排空清洁组件810。清洁气体导入介于清洁电极812与喷头组件204之间的区域。电极812受到功率源830偏压,而等离子体602点燃。来自等离子体的离子被吸引至喷头组件204以清洁喷头组件204。旦清洁工艺完成,喷头组件204从清洁组件810退耦,并且重新附接至处理腔室102以继续沉积操作。
可了解到这些上述关于第6A、6B、7及8图实施例已论及相对于接地电极的受偏压电极,而所述布置可具有相对于浮接电极的受偏压电极,而非相对于接地电极。
通过以来自氯等离子体的离子轰击涂布陶瓷的不锈钢喷头,可使所述喷头的发射率恢复成与未在MOCVD工艺中使用的原始喷头的发射率相差2%以内。
前述者是导向本发明的实施例,其他及进一步的本发明的实施例可在不背离本发明的范畴下设计,本发明的范畴由随后的申请专利范围界定。
Claims (15)
1.一种装置,包含:
腔室主体;
基材支撑件基座,设置于所述腔室主体内;
多个加热灯,设置在所述腔室主体外侧并且定位在所述基材支撑件下方;
气体分配喷头,与所述腔室主体电绝缘,并且设置在所述基材支撑件基座对面,所述气体分配喷头具有喷头主体,所述喷头主体包含具有陶瓷涂层的不锈钢且面向所述基材支撑件,所述喷头主体具有延伸穿过所述喷头主体的多个第一气体通道以及多个第二气体通道;
电源,耦接所述基材支撑件及所述喷头主体的一个或多个;
第一气体供应源,耦接所述喷头主体以传递气体通过所述多个第一气体通道;以及
第二气体供应源,耦接所述喷头主体以传递气体通过所述多个第二气体通道。
2.如权利要求1所述的装置,其特征在于,所述装置为金属有机化学气相沉积装置。
3.如权利要求2所述的装置,其特征在于,所述陶瓷涂层选自由Al2O3、AlN、BN、Y2O3、HfO2、ZrO2、SiC及组合所构成的群组。
4.如权利要求3所述的装置,进一步包含渗铝钢层,所述渗铝钢层介于所述不锈钢与所述陶瓷涂层之间。
5.如权利要求1所述的装置,其特征在于,所述陶瓷涂层选自由Al2O3、AlN、BN、Y2O3、HfO2、ZrO2、SiC及组合所构成的群组,其中所述陶瓷涂层具有高达约300微米的厚度。
6.一种方法,包含以下步骤:
将清洁气体导至处理腔室;
施加电偏压至耦接所述处理腔室的气体分配喷头,同时设置于所述喷头对面的基材支撑件电浮置或接地,所述喷头具有喷头主体,所述喷头主体面向所述基材支撑件且包含具有陶瓷涂层的不锈钢,所述喷头主体具有延伸穿过所述喷头主体的多个第一气体通道以及多个第二气体通道,所述电偏压将所述清洁气体点燃成含有清洁气体自由基与离子的等离子体;
通过以所述清洁气体自由基轰击所述陶瓷涂层而使所述清洁气体自由基与所述陶瓷涂层上形成的沉积物反应,以形成副产物并且暴露所述陶瓷涂层,所述暴露的陶瓷涂层的发射率与上面形成所述沉积物之前的所述陶瓷涂层的发射率的差异在2%以内;以及
将所述副产物从所述处理腔室排出。
7.如权利要求6所述的方法,其特征在于,所述清洁气体包含含氯气体。
8.如权利要求7所述的方法,其特征在于,所述含氯气体选自由Cl2、ICl、HCl、BCl3、CCl4、CH3Cl及组合所构成的群组。
9.如权利要求8所述的方法,其特征在于,所述电偏压是负电偏压。
10.如权利要求9所述的方法,其特征在于,在所述清洁期间所述腔室内的压力少于约300mTorr其中所述电偏压介于约2.23W/in2至约16W/in2之间。
11.一种方法,包含以下步骤:
在处理腔室中在一个或多个基材上执行沉积工艺,同时气体分配喷头的发射率从第一发射率水平改变至第二发射率水平;
从所述处理腔室移出所述基材;
将清洁气体导至所述处理腔室;
将电偏压施加至耦接所述处理腔室的所述气体分配喷头,同时设置于所述喷头对面的基材支撑件电浮置或接地,所述喷头具有喷头主体,所述喷头主体面向所述基材支撑件且包含具有陶瓷涂层的不锈钢,所述喷头主体具有延伸穿过所述喷头主体的多个第一气体通道以及多个第二气体通道,所述电偏压将所述清洁气体点燃成含有清洁气体自由基与离子的等离子体;
通过以所述清洁气体自由基轰击所述陶瓷涂层而使所述清洁气体自由基与所述陶瓷涂层上形成的沉积物反应,以形成副产物并且暴露所述陶瓷涂层,所述暴露的陶瓷涂层具有第三发射率水平,所述第三发射率水平与所述第一发射率水平的差异在2%以内;以及
将所述副产物从所述处理腔室排出。
12.如权利要求11所述的方法,其特征在于,所述清洁气体包含含氯气体。
13.如权利要求12所述的方法,其特征在于,所述含氯气体选自由Cl2、ICl、HCl、BCl3、CCl4、CH3Cl及组合所构成的群组。
14.如权利要求13所述的方法,其特征在于,所述电偏压是负电偏压,其中所述沉积工艺是MOCVD工艺。
15.如权利要求14所述的方法,其特征在于,进一步包含以下步骤:
在排出所述副产物后,在一个或多个附加基材上执行另一沉积工艺。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US23750509P | 2009-08-27 | 2009-08-27 | |
US61/237,505 | 2009-08-27 | ||
PCT/US2010/047009 WO2011031556A2 (en) | 2009-08-27 | 2010-08-27 | Gas distribution showerhead and method of cleaning |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102414799A true CN102414799A (zh) | 2012-04-11 |
CN102414799B CN102414799B (zh) | 2015-06-17 |
Family
ID=43625330
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2010800195207A Pending CN102414801A (zh) | 2009-08-27 | 2010-08-26 | 在原位腔室清洁后的处理腔室去污方法 |
CN201080019536.8A Active CN102414799B (zh) | 2009-08-27 | 2010-08-27 | 气体分配喷头及清洁方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2010800195207A Pending CN102414801A (zh) | 2009-08-27 | 2010-08-26 | 在原位腔室清洁后的处理腔室去污方法 |
Country Status (6)
Country | Link |
---|---|
US (3) | US20110117728A1 (zh) |
JP (1) | JP2013503490A (zh) |
KR (2) | KR20120090996A (zh) |
CN (2) | CN102414801A (zh) |
TW (2) | TW201118200A (zh) |
WO (2) | WO2011031521A2 (zh) |
Cited By (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103981663A (zh) * | 2014-05-29 | 2014-08-13 | 浙江辰鸿纺织品科技有限公司 | 遮光布布面浆料整理装置 |
CN104498904A (zh) * | 2014-12-29 | 2015-04-08 | 华中科技大学 | 一种用于mocvd设备的喷淋头 |
CN105074049A (zh) * | 2013-02-21 | 2015-11-18 | 应用材料公司 | 用于注射器至基板的空隙控制的装置及方法 |
CN105839074A (zh) * | 2015-02-03 | 2016-08-10 | Lg电子株式会社 | 用于太阳能电池的金属有机化学气相沉积设备 |
CN106104775A (zh) * | 2014-01-31 | 2016-11-09 | 应用材料公司 | 腔室涂层 |
CN107112278A (zh) * | 2014-12-15 | 2017-08-29 | 应用材料公司 | 用于先进互连应用的超薄电介质扩散阻挡层与蚀刻终止层 |
CN109075106A (zh) * | 2016-04-11 | 2018-12-21 | 应用材料公司 | 用于晶片放气的等离子体增强退火腔室 |
CN110191975A (zh) * | 2017-01-09 | 2019-08-30 | 应用材料公司 | 用于处理基板的方法及设备 |
CN110872702A (zh) * | 2018-08-31 | 2020-03-10 | 东京毅力科创株式会社 | 成膜装置和成膜方法 |
CN111066121A (zh) * | 2017-09-11 | 2020-04-24 | 应用材料公司 | 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜 |
CN111627830A (zh) * | 2019-02-28 | 2020-09-04 | 细美事有限公司 | 基板处理装置的供气单元及基板处理装置 |
CN113924386A (zh) * | 2019-05-15 | 2022-01-11 | 应用材料公司 | 用于处理系统的动态多区流动控制 |
CN114929953A (zh) * | 2020-01-10 | 2022-08-19 | 金伯利-克拉克环球有限公司 | 制造均匀纺粘长丝非织造幅材的方法 |
CN115354305A (zh) * | 2022-08-29 | 2022-11-18 | 西北大学 | 一种金属有机化学气相沉淀反应器喷淋装置 |
CN115537781A (zh) * | 2022-10-27 | 2022-12-30 | 上海埃延半导体有限公司 | 一种弥漫层流反应腔体及控制方法 |
TWI790028B (zh) * | 2021-12-09 | 2023-01-11 | 財團法人工業技術研究院 | 沉積設備及沉積方法 |
US11961716B2 (en) | 2021-12-09 | 2024-04-16 | Industrial Technology Research Institute | Atomic layer deposition method |
US12076763B2 (en) | 2017-06-05 | 2024-09-03 | Applied Materials, Inc. | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor |
Families Citing this family (554)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100849929B1 (ko) * | 2006-09-16 | 2008-08-26 | 주식회사 피에조닉스 | 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치 |
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US7976631B2 (en) * | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US20090095221A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
US8668775B2 (en) * | 2007-10-31 | 2014-03-11 | Toshiba Techno Center Inc. | Machine CVD shower head |
KR20090078538A (ko) * | 2008-01-15 | 2009-07-20 | 삼성전기주식회사 | 샤워 헤드와 이를 구비하는 화학 기상 증착 장치 |
KR101004927B1 (ko) * | 2008-04-24 | 2010-12-29 | 삼성엘이디 주식회사 | Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치 |
US8291857B2 (en) * | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8293013B2 (en) * | 2008-12-30 | 2012-10-23 | Intermolecular, Inc. | Dual path gas distribution device |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
KR101064210B1 (ko) * | 2009-06-01 | 2011-09-14 | 한국생산기술연구원 | 막증착 진공장비용 샤워헤드 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9449859B2 (en) * | 2009-10-09 | 2016-09-20 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
US20110256692A1 (en) * | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
WO2011139640A2 (en) * | 2010-05-06 | 2011-11-10 | Applied Materials, Inc. | Improved radiation heating efficiency by increasing absorption of a silicon containing material |
US20120052216A1 (en) * | 2010-08-27 | 2012-03-01 | Applied Materials, Inc. | Gas distribution showerhead with high emissivity surface |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US11171008B2 (en) | 2011-03-01 | 2021-11-09 | Applied Materials, Inc. | Abatement and strip process chamber in a dual load lock configuration |
KR101904146B1 (ko) | 2011-03-01 | 2018-10-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 이송 및 라디칼 구속을 위한 방법 및 장치 |
KR101895307B1 (ko) | 2011-03-01 | 2018-10-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버 |
KR101937115B1 (ko) * | 2011-03-04 | 2019-01-09 | 노벨러스 시스템즈, 인코포레이티드 | 하이브리드 세라믹 샤워헤드 |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
TWI534291B (zh) * | 2011-03-18 | 2016-05-21 | 應用材料股份有限公司 | 噴淋頭組件 |
JP5741921B2 (ja) * | 2011-04-08 | 2015-07-01 | 株式会社日立国際電気 | 基板処理装置、基板処理装置に用いられる反応管の表面へのコーティング膜の形成方法、および、太陽電池の製造方法 |
JP2012222157A (ja) * | 2011-04-08 | 2012-11-12 | Hitachi Kokusai Electric Inc | 基板処理装置、及び、太陽電池の製造方法 |
US9111728B2 (en) | 2011-04-11 | 2015-08-18 | Lam Research Corporation | E-beam enhanced decoupled source for semiconductor processing |
US8980046B2 (en) * | 2011-04-11 | 2015-03-17 | Lam Research Corporation | Semiconductor processing system with source for decoupled ion and radical control |
US8900403B2 (en) | 2011-05-10 | 2014-12-02 | Lam Research Corporation | Semiconductor processing system having multiple decoupled plasma sources |
TWI550752B (zh) * | 2011-04-11 | 2016-09-21 | 蘭姆研究公司 | 半導體基板處理系統與方法 |
US20120270384A1 (en) * | 2011-04-22 | 2012-10-25 | Applied Materials, Inc. | Apparatus for deposition of materials on a substrate |
JP5715245B2 (ja) * | 2011-05-19 | 2015-05-07 | 古河機械金属株式会社 | 半導体製造装置部品の洗浄装置および気相成長装置 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
DE102011056589A1 (de) * | 2011-07-12 | 2013-01-17 | Aixtron Se | Gaseinlassorgan eines CVD-Reaktors |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
TWI457180B (zh) * | 2011-08-15 | 2014-10-21 | Hermes Epitek Corp | 氣體噴頭 |
JP5710433B2 (ja) * | 2011-09-13 | 2015-04-30 | 株式会社東芝 | 成膜装置のクリーニング方法および成膜装置 |
CN103031535B (zh) * | 2011-09-28 | 2015-12-09 | 核心能源实业有限公司 | 薄膜工艺设备及其制作方法 |
US9303318B2 (en) * | 2011-10-20 | 2016-04-05 | Applied Materials, Inc. | Multiple complementary gas distribution assemblies |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8945317B2 (en) | 2011-12-07 | 2015-02-03 | Lam Research Corporation | System and method for cleaning gas injectors |
WO2013112364A1 (en) * | 2012-01-24 | 2013-08-01 | Applied Materials, Inc. | Aluminum coated or ceramic parts for substrate drive system |
US8784676B2 (en) * | 2012-02-03 | 2014-07-22 | Lam Research Corporation | Waferless auto conditioning |
US20130220222A1 (en) * | 2012-02-23 | 2013-08-29 | Hermes-Epitek Corporation | Gas Distribution Apparatus with Heat Exchanging Channels |
CN106847737B (zh) | 2012-02-29 | 2020-11-13 | 应用材料公司 | 配置中的除污及剥除处理腔室 |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9631273B2 (en) * | 2012-07-25 | 2017-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for dielectric deposition process |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9121097B2 (en) * | 2012-08-31 | 2015-09-01 | Novellus Systems, Inc. | Variable showerhead flow by varying internal baffle conductance |
KR102132427B1 (ko) | 2012-09-07 | 2020-07-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱 |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
CN102899635B (zh) * | 2012-09-26 | 2015-12-02 | 中微半导体设备(上海)有限公司 | 一种原位清洁mocvd反应腔室的方法 |
CN102899636B (zh) * | 2012-09-26 | 2015-12-09 | 中微半导体设备(上海)有限公司 | 一种原位清洁mocvd反应腔室的方法 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20140124788A1 (en) * | 2012-11-06 | 2014-05-08 | Intermolecular, Inc. | Chemical Vapor Deposition System |
US9982343B2 (en) * | 2012-12-14 | 2018-05-29 | Applied Materials, Inc. | Apparatus for providing plasma to a process chamber |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
JP2014127627A (ja) * | 2012-12-27 | 2014-07-07 | Tokyo Electron Ltd | 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置、及び、プログラム |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
TWI591211B (zh) | 2013-03-13 | 2017-07-11 | 應用材料股份有限公司 | 蝕刻包含過渡金屬的膜之方法 |
CN103219227A (zh) * | 2013-04-09 | 2013-07-24 | 上海华力微电子有限公司 | 等离子体清洗方法 |
DE102013104105A1 (de) * | 2013-04-23 | 2014-10-23 | Aixtron Se | MOCVD-Schichtwachstumsverfahren mit nachfolgendem mehrstufigen Reinigungsschritt |
JP6199619B2 (ja) * | 2013-06-13 | 2017-09-20 | 株式会社ニューフレアテクノロジー | 気相成長装置 |
JP6153401B2 (ja) * | 2013-07-02 | 2017-06-28 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
US9677176B2 (en) * | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US9490149B2 (en) * | 2013-07-03 | 2016-11-08 | Lam Research Corporation | Chemical deposition apparatus having conductance control |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
KR101599108B1 (ko) | 2013-09-26 | 2016-03-02 | 주식회사 엘지화학 | 경화성 조성물, 이로 제조된 경화막 및 이를 포함하는 디스플레이 장치 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
JP6406811B2 (ja) * | 2013-11-20 | 2018-10-17 | 国立大学法人名古屋大学 | Iii 族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法 |
KR102028478B1 (ko) * | 2013-11-20 | 2019-10-04 | 엘지디스플레이 주식회사 | 화학기상 증착장치 |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US20150167160A1 (en) * | 2013-12-16 | 2015-06-18 | Applied Materials, Inc. | Enabling radical-based deposition of dielectric films |
KR102019303B1 (ko) * | 2013-12-18 | 2019-09-06 | 엘지디스플레이 주식회사 | 화학기상 증착 시스템 |
JP5897617B2 (ja) | 2014-01-31 | 2016-03-30 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
JP2015211156A (ja) * | 2014-04-28 | 2015-11-24 | 東京エレクトロン株式会社 | ドライクリーニング方法及びプラズマ処理装置 |
US10741365B2 (en) | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
US20150332942A1 (en) * | 2014-05-16 | 2015-11-19 | Eng Sheng Peh | Pedestal fluid-based thermal control |
US9840777B2 (en) | 2014-06-27 | 2017-12-12 | Applied Materials, Inc. | Apparatus for radical-based deposition of dielectric films |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US20160138161A1 (en) * | 2014-11-19 | 2016-05-19 | Applied Materials, Inc. | Radical assisted cure of dielectric films |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
DE102015101462A1 (de) * | 2015-02-02 | 2016-08-04 | Aixtron Se | Verfahren und Vorrichtung zum Abscheiden einer III-V-Halbleiterschicht |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
CN105986245A (zh) * | 2015-02-16 | 2016-10-05 | 中微半导体设备(上海)有限公司 | 改善mocvd反应工艺的部件及改善方法 |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
KR101870649B1 (ko) * | 2015-03-31 | 2018-06-27 | 세메스 주식회사 | 기판 처리 장치 및 방법 |
US9397011B1 (en) * | 2015-04-13 | 2016-07-19 | Lam Research Corporation | Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper |
US20160305009A1 (en) * | 2015-04-16 | 2016-10-20 | China Triumph International Engineering Co., Ltd. | Aperture with Optimized Thermal Emission Behavior |
FR3035122B1 (fr) * | 2015-04-20 | 2017-04-28 | Coating Plasma Ind | Procede de traitement de surface d'un film en mouvement et installation pour la mise en oeuvre de ce procede |
KR102573207B1 (ko) | 2015-05-19 | 2023-08-31 | 코닝 인코포레이티드 | 시트와 캐리어의 결합을 위한 물품 및 방법 |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
KR102608048B1 (ko) * | 2015-06-05 | 2023-11-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 프로세스 챔버 |
US20160362782A1 (en) * | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
CN107683347B (zh) * | 2015-06-18 | 2020-05-15 | 东芝三菱电机产业系统株式会社 | 金属氧化膜的成膜方法 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US20160375515A1 (en) * | 2015-06-29 | 2016-12-29 | Lam Research Corporation | Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing |
JP6607716B2 (ja) * | 2015-07-03 | 2019-11-20 | 昭和電工株式会社 | 成膜装置 |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10378108B2 (en) | 2015-10-08 | 2019-08-13 | Applied Materials, Inc. | Showerhead with reduced backside plasma ignition |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
KR102481166B1 (ko) | 2015-10-30 | 2022-12-27 | 삼성전자주식회사 | 식각 후처리 방법 |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US10208380B2 (en) * | 2015-12-04 | 2019-02-19 | Applied Materials, Inc. | Advanced coating method and materials to prevent HDP-CVD chamber arcing |
US20170178758A1 (en) * | 2015-12-18 | 2017-06-22 | Applied Materials, Inc. | Uniform wafer temperature achievement in unsymmetric chamber environment |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
JP6527482B2 (ja) * | 2016-03-14 | 2019-06-05 | 東芝デバイス&ストレージ株式会社 | 半導体製造装置 |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US11326253B2 (en) * | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
TWI810161B (zh) | 2016-08-31 | 2023-08-01 | 美商康寧公司 | 具以可控制式黏結的薄片之製品及製作其之方法 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10403476B2 (en) | 2016-11-09 | 2019-09-03 | Lam Research Corporation | Active showerhead |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
CN110050333B (zh) * | 2016-12-08 | 2023-06-09 | 应用材料公司 | 时间性原子层沉积处理腔室 |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) * | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
JP6899217B2 (ja) | 2016-12-28 | 2021-07-07 | 株式会社Screenホールディングス | 基板処理装置、基板処理方法および基板処理システム |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
CN106967961A (zh) * | 2017-04-14 | 2017-07-21 | 王宏兴 | 一种去除cvd反应腔体内壁沉积膜的方法 |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
CN109154077A (zh) * | 2017-04-28 | 2019-01-04 | 应用材料公司 | 用于清洁在制造oled装置中使用的真空系统的方法、用于在基板上真空沉积来制造oled装置的方法及用于在基板上真空沉积来制造oled装置的设备 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US20180347037A1 (en) * | 2017-06-05 | 2018-12-06 | Applied Materials, Inc. | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10177017B1 (en) * | 2017-07-05 | 2019-01-08 | Applied Materials, Inc. | Method for conditioning a processing chamber for steady etching rate control |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
CN111372772A (zh) | 2017-08-18 | 2020-07-03 | 康宁股份有限公司 | 使用聚阳离子聚合物的临时结合 |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
WO2019113478A1 (en) | 2017-12-08 | 2019-06-13 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
JP7431160B2 (ja) * | 2017-12-15 | 2024-02-14 | コーニング インコーポレイテッド | 基板を処理するための方法および結合されたシートを含む物品を製造するための方法 |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
JP7126381B2 (ja) * | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
JP7225599B2 (ja) * | 2018-08-10 | 2023-02-21 | 東京エレクトロン株式会社 | 成膜装置 |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11742232B2 (en) * | 2018-08-22 | 2023-08-29 | Tokyo Electron Limited | Substrate processing method and substrate processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11834743B2 (en) | 2018-09-14 | 2023-12-05 | Applied Materials, Inc. | Segmented showerhead for uniform delivery of multiple precursors |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
JP6852040B2 (ja) * | 2018-11-16 | 2021-03-31 | 大陽日酸株式会社 | 半導体製造装置部品の洗浄装置、半導体製造装置部品の洗浄方法、及び半導体製造装置部品の洗浄システム |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
WO2020123082A1 (en) * | 2018-12-13 | 2020-06-18 | Lam Research Corporation | Multilayer coatings of component parts for a work piece processing chamber |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
CN110117779A (zh) * | 2019-04-30 | 2019-08-13 | 信利(仁寿)高端显示科技有限公司 | 一种真空镀膜装置内部件的再生方法及装置 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200141931A (ko) * | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US20210032750A1 (en) * | 2019-07-31 | 2021-02-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Deposition apparatus and method of forming metal oxide layer using the same |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
US11348784B2 (en) | 2019-08-12 | 2022-05-31 | Beijing E-Town Semiconductor Technology Co., Ltd | Enhanced ignition in inductively coupled plasmas for workpiece processing |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20220093181A (ko) * | 2019-11-01 | 2022-07-05 | 램 리써치 코포레이션 | 샤워헤드를 세정하기 위한 시스템들 및 방법들 |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
CN111501024A (zh) * | 2020-05-08 | 2020-08-07 | Tcl华星光电技术有限公司 | 气相沉积装置 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
US11373845B2 (en) * | 2020-06-05 | 2022-06-28 | Applied Materials, Inc. | Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
JP7475232B2 (ja) * | 2020-07-22 | 2024-04-26 | 株式会社ディスコ | 保護部材形成装置 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
CN112317196A (zh) * | 2020-09-30 | 2021-02-05 | 中国科学院长春光学精密机械与物理研究所 | 一种喷淋头清洁装置 |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
JP7499678B2 (ja) | 2020-11-02 | 2024-06-14 | 東京応化工業株式会社 | 半導体製造用プロセスチャンバのコンポーネントの洗浄用組成物及び洗浄方法 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
CN112626496B (zh) * | 2020-11-24 | 2022-04-05 | 鑫天虹(厦门)科技有限公司 | 喷头组件与原子层沉积设备 |
US20220165567A1 (en) * | 2020-11-25 | 2022-05-26 | Applied Materials, Inc. | Systems and methods for deposition residue control |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
WO2022146646A1 (en) * | 2020-12-28 | 2022-07-07 | Mattson Technology, Inc. | Workpiece processing apparatus with thermal processing systems |
US11898245B2 (en) | 2021-02-26 | 2024-02-13 | Applied Materials, Inc. | High throughput and metal contamination control oven for chamber component cleaning process |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN114196998A (zh) * | 2021-12-13 | 2022-03-18 | 拓荆科技股份有限公司 | 半导体反应腔中部件的处理方法以及半导体反应腔 |
TW202340522A (zh) * | 2021-12-17 | 2023-10-16 | 美商蘭姆研究公司 | 具有錐狀表面的遠端電漿清潔(rpc)輸送入口配接器 |
CN114481089A (zh) * | 2021-12-28 | 2022-05-13 | 长江存储科技有限责任公司 | 原子层沉积方法及设备 |
KR20230112304A (ko) | 2022-01-20 | 2023-07-27 | 주식회사 원익큐엔씨 | 반도체 증착공정 장비의 알루미늄계 부품용 세정물 및 이를 이용한 반도체 증착공정 장비의 알루미늄계 부품 세정 방법 |
WO2024097507A1 (en) * | 2022-11-01 | 2024-05-10 | Lam Research Corporation | Reducing particle buildup in processing chambers |
WO2024196745A1 (en) * | 2023-03-20 | 2024-09-26 | Lam Research Corporation | Multi-step thermal chamber clean |
Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3998180A (en) * | 1975-04-07 | 1976-12-21 | Union Carbide Corporation | Vapor deposition apparatus including fluid transfer means |
US6274496B1 (en) * | 1999-04-20 | 2001-08-14 | Tokyo Electron Limited | Method for single chamber processing of PECVD-Ti and CVD-TiN films for integrated contact/barrier applications in IC manufacturing |
US6319822B1 (en) * | 1998-10-01 | 2001-11-20 | Taiwan Semiconductor Manufacturing Company | Process for forming an integrated contact or via |
US20020086153A1 (en) * | 2000-12-29 | 2002-07-04 | O'donnell Robert J. | Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof |
US20030170983A1 (en) * | 2002-03-06 | 2003-09-11 | Cem Basceri | Plasma enhanced chemical vapor deposition methods of forming titanium silicide comprising layers over a plurality of semiconductor substrates |
US20050016568A1 (en) * | 2003-06-16 | 2005-01-27 | Samsung Electronics Co., Ltd. | Apparatus and method for cleaning of semiconductor device manufacturing equipment |
US20090000743A1 (en) * | 2007-06-27 | 2009-01-01 | Tokyo Electron Limited | Substrate processing apparatus and shower head |
US20090095218A1 (en) * | 2007-10-16 | 2009-04-16 | Novellus Systems, Inc. | Temperature controlled showerhead |
US20090095221A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
Family Cites Families (40)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB8332394D0 (en) | 1983-12-05 | 1984-01-11 | Pilkington Brothers Plc | Coating apparatus |
US4763602A (en) | 1987-02-25 | 1988-08-16 | Glasstech Solar, Inc. | Thin film deposition apparatus including a vacuum transport mechanism |
DE69229265T2 (de) | 1991-03-18 | 1999-09-23 | Trustees Of Boston University, Boston | Verfahren zur herstellung und dotierung hochisolierender dünner schichten aus monokristallinem galliumnitrid |
US5306662A (en) | 1991-11-08 | 1994-04-26 | Nichia Chemical Industries, Ltd. | Method of manufacturing P-type compound semiconductor |
US5376580A (en) | 1993-03-19 | 1994-12-27 | Hewlett-Packard Company | Wafer bonding of light emitting diode layers |
JPH07194965A (ja) * | 1993-12-28 | 1995-08-01 | Kanegafuchi Chem Ind Co Ltd | 成膜方法及び成膜装置 |
JP3362552B2 (ja) * | 1995-03-10 | 2003-01-07 | 東京エレクトロン株式会社 | 成膜処理装置 |
US5893846A (en) * | 1996-05-15 | 1999-04-13 | Symbiosis Corp. | Ceramic coated endoscopic scissor blades and a method of making the same |
JP3085364B2 (ja) * | 1997-07-22 | 2000-09-04 | 日本電気株式会社 | Cvd装置のクリーニング方法 |
US6454860B2 (en) * | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
US6475277B1 (en) * | 1999-06-30 | 2002-11-05 | Sumitomo Electric Industries, Ltd. | Group III-V nitride semiconductor growth method and vapor phase growth apparatus |
EP1127957A1 (en) * | 2000-02-24 | 2001-08-29 | Asm Japan K.K. | A film forming apparatus having cleaning function |
ATE528421T1 (de) | 2000-11-30 | 2011-10-15 | Univ North Carolina State | Verfahren zur herstellung von gruppe-iii- metallnitrid-materialien |
US7128804B2 (en) | 2000-12-29 | 2006-10-31 | Lam Research Corporation | Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof |
KR100387242B1 (ko) | 2001-05-26 | 2003-06-12 | 삼성전기주식회사 | 반도체 발광소자의 제조방법 |
US7211833B2 (en) | 2001-07-23 | 2007-05-01 | Cree, Inc. | Light emitting diodes including barrier layers/sublayers |
KR100446318B1 (ko) * | 2001-11-29 | 2004-09-01 | 주식회사 하이닉스반도체 | 챔버 세정기를 구비한 증착장치 및 그를 이용한 챔버 세정방법 |
AUPS240402A0 (en) | 2002-05-17 | 2002-06-13 | Macquarie Research Limited | Gallium nitride |
US7311797B2 (en) | 2002-06-27 | 2007-12-25 | Lam Research Corporation | Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor |
US7204913B1 (en) * | 2002-06-28 | 2007-04-17 | Lam Research Corporation | In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control |
US7560581B2 (en) * | 2002-07-12 | 2009-07-14 | President And Fellows Of Harvard College | Vapor deposition of tungsten nitride |
US7357138B2 (en) * | 2002-07-18 | 2008-04-15 | Air Products And Chemicals, Inc. | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
US6902628B2 (en) * | 2002-11-25 | 2005-06-07 | Applied Materials, Inc. | Method of cleaning a coated process chamber component |
KR20040058819A (ko) * | 2002-12-27 | 2004-07-05 | 삼성전자주식회사 | 파티클 발생률을 줄이는 반도체 제조 설비용 샤워헤드 |
JP4430918B2 (ja) * | 2003-03-25 | 2010-03-10 | 東京エレクトロン株式会社 | 薄膜形成装置の洗浄方法及び薄膜形成方法 |
US7045020B2 (en) * | 2003-05-22 | 2006-05-16 | Applied Materials, Inc. | Cleaning a component of a process chamber |
US7368368B2 (en) | 2004-08-18 | 2008-05-06 | Cree, Inc. | Multi-chamber MOCVD growth apparatus for high performance/high throughput |
EP1809788A4 (en) | 2004-09-27 | 2008-05-21 | Gallium Entpr Pty Ltd | METHOD AND APPARATUS FOR GROWING GROUP (III) METAL NITRIDE FILM AND GROUP (III) METAL FILM |
JP5021907B2 (ja) * | 2005-05-24 | 2012-09-12 | 大陽日酸株式会社 | 窒化物半導体製造装置の洗浄方法と洗浄装置 |
JP2007197302A (ja) * | 2005-12-28 | 2007-08-09 | Sumitomo Electric Ind Ltd | Iii族窒化物結晶の製造方法および製造装置 |
JP4844261B2 (ja) * | 2006-06-29 | 2011-12-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置並びに記憶媒体 |
JP2008311368A (ja) * | 2007-06-13 | 2008-12-25 | Tokyo Electron Ltd | 被処理体の処理方法及び処理システム |
AU2008203209A1 (en) | 2007-07-20 | 2009-02-05 | Gallium Enterprises Pty Ltd | Buried contact devices for nitride-base films and manufacture thereof |
US20090095222A1 (en) | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas spiral channel showerhead |
US20090114245A1 (en) * | 2007-11-02 | 2009-05-07 | Hidehiro Kojiri | In-situ chamber cleaning method |
KR100888440B1 (ko) | 2007-11-23 | 2009-03-11 | 삼성전기주식회사 | 수직구조 발광다이오드 소자의 제조방법 |
JP2009021624A (ja) * | 2008-09-08 | 2009-01-29 | Tokyo Electron Ltd | 処理装置及び処理装置のクリーニング方法 |
US20100139554A1 (en) * | 2008-12-08 | 2010-06-10 | Applied Materials, Inc. | Methods and apparatus for making gallium nitride and gallium aluminum nitride thin films |
CA2653581A1 (en) | 2009-02-11 | 2010-08-11 | Kenneth Scott Alexander Butcher | Migration and plasma enhanced chemical vapour deposition |
US20100273291A1 (en) * | 2009-04-28 | 2010-10-28 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
-
2010
- 2010-08-26 KR KR1020127007605A patent/KR20120090996A/ko not_active Application Discontinuation
- 2010-08-26 WO PCT/US2010/046789 patent/WO2011031521A2/en active Application Filing
- 2010-08-26 US US12/868,899 patent/US20110117728A1/en not_active Abandoned
- 2010-08-26 CN CN2010800195207A patent/CN102414801A/zh active Pending
- 2010-08-27 KR KR1020127003533A patent/KR20120089446A/ko not_active Application Discontinuation
- 2010-08-27 TW TW099128908A patent/TW201118200A/zh unknown
- 2010-08-27 JP JP2012527037A patent/JP2013503490A/ja active Pending
- 2010-08-27 WO PCT/US2010/047009 patent/WO2011031556A2/en active Application Filing
- 2010-08-27 US US12/870,465 patent/US8980379B2/en active Active
- 2010-08-27 TW TW099128898A patent/TW201111050A/zh unknown
- 2010-08-27 CN CN201080019536.8A patent/CN102414799B/zh active Active
-
2014
- 2014-01-07 US US14/149,526 patent/US9932670B2/en active Active
Patent Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3998180A (en) * | 1975-04-07 | 1976-12-21 | Union Carbide Corporation | Vapor deposition apparatus including fluid transfer means |
US6319822B1 (en) * | 1998-10-01 | 2001-11-20 | Taiwan Semiconductor Manufacturing Company | Process for forming an integrated contact or via |
US6274496B1 (en) * | 1999-04-20 | 2001-08-14 | Tokyo Electron Limited | Method for single chamber processing of PECVD-Ti and CVD-TiN films for integrated contact/barrier applications in IC manufacturing |
US20020086153A1 (en) * | 2000-12-29 | 2002-07-04 | O'donnell Robert J. | Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof |
US20030170983A1 (en) * | 2002-03-06 | 2003-09-11 | Cem Basceri | Plasma enhanced chemical vapor deposition methods of forming titanium silicide comprising layers over a plurality of semiconductor substrates |
US20050016568A1 (en) * | 2003-06-16 | 2005-01-27 | Samsung Electronics Co., Ltd. | Apparatus and method for cleaning of semiconductor device manufacturing equipment |
US20090000743A1 (en) * | 2007-06-27 | 2009-01-01 | Tokyo Electron Limited | Substrate processing apparatus and shower head |
US20090095218A1 (en) * | 2007-10-16 | 2009-04-16 | Novellus Systems, Inc. | Temperature controlled showerhead |
US20090095221A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
Cited By (29)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105074049A (zh) * | 2013-02-21 | 2015-11-18 | 应用材料公司 | 用于注射器至基板的空隙控制的装置及方法 |
CN107365976A (zh) * | 2013-02-21 | 2017-11-21 | 应用材料公司 | 用于注射器至基板的空隙控制的装置及方法 |
CN106104775A (zh) * | 2014-01-31 | 2016-11-09 | 应用材料公司 | 腔室涂层 |
CN106104775B (zh) * | 2014-01-31 | 2019-05-21 | 应用材料公司 | 腔室涂层 |
CN103981663A (zh) * | 2014-05-29 | 2014-08-13 | 浙江辰鸿纺织品科技有限公司 | 遮光布布面浆料整理装置 |
CN107112278A (zh) * | 2014-12-15 | 2017-08-29 | 应用材料公司 | 用于先进互连应用的超薄电介质扩散阻挡层与蚀刻终止层 |
CN104498904A (zh) * | 2014-12-29 | 2015-04-08 | 华中科技大学 | 一种用于mocvd设备的喷淋头 |
CN105839074A (zh) * | 2015-02-03 | 2016-08-10 | Lg电子株式会社 | 用于太阳能电池的金属有机化学气相沉积设备 |
US10388820B2 (en) | 2015-02-03 | 2019-08-20 | Lg Electronics Inc. | Metal organic chemical vapor deposition apparatus for solar cell |
CN109075106A (zh) * | 2016-04-11 | 2018-12-21 | 应用材料公司 | 用于晶片放气的等离子体增强退火腔室 |
CN109075106B (zh) * | 2016-04-11 | 2022-08-09 | 应用材料公司 | 用于晶片放气的等离子体增强退火腔室 |
US11348769B2 (en) | 2016-04-11 | 2022-05-31 | Applied Materials, Inc. | Plasma-enhanced anneal chamber for wafer outgassing |
CN110191975A (zh) * | 2017-01-09 | 2019-08-30 | 应用材料公司 | 用于处理基板的方法及设备 |
US12076763B2 (en) | 2017-06-05 | 2024-09-03 | Applied Materials, Inc. | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor |
CN111066121A (zh) * | 2017-09-11 | 2020-04-24 | 应用材料公司 | 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜 |
CN111066121B (zh) * | 2017-09-11 | 2024-03-19 | 应用材料公司 | 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜 |
US11248293B2 (en) | 2018-08-31 | 2022-02-15 | Tokyo Electron Limited | Film-forming apparatus and film-forming method |
CN110872702B (zh) * | 2018-08-31 | 2022-04-12 | 东京毅力科创株式会社 | 成膜装置和成膜方法 |
CN110872702A (zh) * | 2018-08-31 | 2020-03-10 | 东京毅力科创株式会社 | 成膜装置和成膜方法 |
CN111627830A (zh) * | 2019-02-28 | 2020-09-04 | 细美事有限公司 | 基板处理装置的供气单元及基板处理装置 |
CN111627830B (zh) * | 2019-02-28 | 2023-09-05 | 细美事有限公司 | 基板处理装置的供气单元及基板处理装置 |
CN113924386A (zh) * | 2019-05-15 | 2022-01-11 | 应用材料公司 | 用于处理系统的动态多区流动控制 |
US12037713B2 (en) | 2020-01-10 | 2024-07-16 | Kimberly-Clark Worldwide, Inc. | Method of making uniform spunbond filament nonwoven webs |
CN114929953A (zh) * | 2020-01-10 | 2022-08-19 | 金伯利-克拉克环球有限公司 | 制造均匀纺粘长丝非织造幅材的方法 |
TWI790028B (zh) * | 2021-12-09 | 2023-01-11 | 財團法人工業技術研究院 | 沉積設備及沉積方法 |
US11961716B2 (en) | 2021-12-09 | 2024-04-16 | Industrial Technology Research Institute | Atomic layer deposition method |
CN115354305A (zh) * | 2022-08-29 | 2022-11-18 | 西北大学 | 一种金属有机化学气相沉淀反应器喷淋装置 |
CN115354305B (zh) * | 2022-08-29 | 2024-04-19 | 西北大学 | 一种金属有机化学气相沉淀反应器喷淋装置 |
CN115537781A (zh) * | 2022-10-27 | 2022-12-30 | 上海埃延半导体有限公司 | 一种弥漫层流反应腔体及控制方法 |
Also Published As
Publication number | Publication date |
---|---|
TW201111050A (en) | 2011-04-01 |
US20110117728A1 (en) | 2011-05-19 |
KR20120089446A (ko) | 2012-08-10 |
US20140116470A1 (en) | 2014-05-01 |
CN102414801A (zh) | 2012-04-11 |
US8980379B2 (en) | 2015-03-17 |
KR20120090996A (ko) | 2012-08-17 |
US9932670B2 (en) | 2018-04-03 |
CN102414799B (zh) | 2015-06-17 |
US20110052833A1 (en) | 2011-03-03 |
JP2013503490A (ja) | 2013-01-31 |
WO2011031556A2 (en) | 2011-03-17 |
WO2011031556A3 (en) | 2011-05-19 |
WO2011031521A2 (en) | 2011-03-17 |
WO2011031521A3 (en) | 2011-05-19 |
TW201118200A (en) | 2011-06-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102414799A (zh) | 气体分配喷头及清洁方法 | |
CN112251734B (zh) | 衬底基座 | |
KR102458699B1 (ko) | 개선된 프로세스 균일도를 갖는 기판 지지부 | |
KR101177333B1 (ko) | 반도체 재료 프로세싱 장치의 산화이트륨 (yttria)-코팅 세라믹 부품 및 그 부품을 제조하는 방법 | |
TWI616949B (zh) | 混成陶瓷噴淋頭 | |
CN201025611Y (zh) | 用于衬底处理室的带状屏蔽 | |
US6736147B2 (en) | Semiconductor-processing device provided with a remote plasma source for self-cleaning | |
KR102521717B1 (ko) | 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계 | |
US20120052216A1 (en) | Gas distribution showerhead with high emissivity surface | |
US11008655B2 (en) | Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems | |
JP2005517295A (ja) | リアクタ組立体および処理方法 | |
CN107574421B (zh) | 用于处理腔室的陶瓷涂覆的石英盖体 | |
US11232966B2 (en) | Electrostatic chucking pedestal with substrate backside purging and thermal sinking | |
CN109801827B (zh) | 等离子体处理装置 | |
CN109023310B (zh) | 用于半导体处理腔室隔离以实现减少的颗粒和改善的均匀性的方法和设备 | |
US10400323B2 (en) | Ultra-low defect part process | |
CN110634725B (zh) | 喷淋头和等离子体处理装置 | |
CN116941014A (zh) | 使用一个或多个无定形碳硬模层来处理基板的方法、系统和装置 | |
KR20220156066A (ko) | 기판 프로세싱 시스템들에서의 중간-링 부식 보상 | |
US20220199379A1 (en) | High temperature heating of a substrate in a processing chamber | |
US20230335377A1 (en) | Showerhead assembly with heated showerhead | |
TWI849145B (zh) | 基板處理系統用的縮小直徑承載環硬件 | |
US20230377855A1 (en) | Lower deposition chamber ccp electrode cleaning solution | |
KR20030044199A (ko) | 고주파 인가형 반도체 장치 제조 장비 및 이를 이용한공정 챔버 세정 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |