KR20220093181A - 샤워헤드를 세정하기 위한 시스템들 및 방법들 - Google Patents

샤워헤드를 세정하기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR20220093181A
KR20220093181A KR1020227018578A KR20227018578A KR20220093181A KR 20220093181 A KR20220093181 A KR 20220093181A KR 1020227018578 A KR1020227018578 A KR 1020227018578A KR 20227018578 A KR20227018578 A KR 20227018578A KR 20220093181 A KR20220093181 A KR 20220093181A
Authority
KR
South Korea
Prior art keywords
cleaning
cleaning assembly
pillars
cleaning layer
showerhead
Prior art date
Application number
KR1020227018578A
Other languages
English (en)
Inventor
에릭 브램웰 브릿처
제랄드 발타니안
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220093181A publication Critical patent/KR20220093181A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B13/00Accessories or details of general applicability for machines or apparatus for cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B6/00Cleaning by electrostatic means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

샤워헤드를 세정하기 위한 시스템들 및 방법들이 기술된다. 시스템들 중 하나는 지지 섹션 및 지지 섹션에 의해 지지될 지지 섹션 위에 위치된 프레스 플레이트를 포함한다. 시스템은 프레스 플레이트 위에 위치된 세정 층을 더 포함한다. 세정 층은 샤워헤드를 세정하도록 이동한다. 지지 섹션은 암의 운동과 함께 운동하기 위해 스핀들 어셈블리의 암과 콘택트한다.

Description

샤워헤드를 세정하기 위한 시스템들 및 방법들
본 개시에 기술된 실시 예들은 에지 링 포켓을 세정하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적을 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
플라즈마 툴에서, 하나 이상의 RF (radio frequency) 생성기들이 임피던스 매칭 네트워크에 커플링된다. 임피던스 매칭 네트워크는 플라즈마 챔버에 커플링된다. RF 신호들은 RF 생성기들로부터 임피던스 매칭 네트워크로 공급된다. 임피던스 매칭 네트워크는 RF 신호들을 수신할 때 플라즈마 챔버로 RF 신호를 출력한다. 또한, 복수의 프로세스 가스들이 플라즈마 챔버의 샤워헤드를 통해 플라즈마 챔버 내의 갭으로 공급된다. RF 신호가 임피던스 매칭 회로로부터 플라즈마 챔버로 공급되고 프로세스 가스들이 공급될 때, 웨이퍼는 플라즈마 챔버에서 프로세싱된다.
하나 이상의 웨이퍼들이 플라즈마 챔버 내에서 프로세싱되는 특정한 양의 시간 후, 원치 않은 재료들이 샤워헤드의 표면 상에 증착된다. 샤워헤드가 세정되지 않으면, 원치 않은 재료들은 샤워헤드 상에 더 축적되고 (build up) 축적물은 웨이퍼들을 프로세싱하는 것의 효율에 부정적인 영향을 준다. 또한, 축적물을 제거하기 위한 일부 세정 프로세스들은 너무 오래 걸리거나 원치 않은 재료들을 제거하는데 효과적이지 않다.
이러한 맥락에서 본 개시에 기술된 실시 예들이 발생한다.
본 개시의 실시 예들은 샤워헤드를 세정하기 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시 예들은 다수의 방식들, 예를 들어, 프로세스, 장치, 시스템, 하드웨어 부품 (a piece of hardware), 또는 컴퓨터 판독 가능 매체 상의 방법으로 구현될 수 있다는 것이 인식되어야 한다. 몇몇 실시 예들이 이하에 기술된다.
일반적으로, 샤워헤드는 이소프로필 알코올 또는 탈이온수로 샤워헤드의 대면 플레이트를 1 회 이상 와이핑함 (wiping) 으로써 세정된다. 와이핑은 플라즈마 챔버를 개방한 후 기술자들에 의해 수동으로 이루어진다. 와이핑은 대면 플레이트에 이소프로필 알코올 또는 탈이온수를 도포하기 위해 폴리에스테르 직물 패드 (cloth pad) 또는 면 직물 패드와 같은 하나 이상의 패드들을 사용하여 점진적으로 행해진다. 예를 들어, 하나 이상의 패드들은 샤워헤드를 세정하기 위해 이소프로필 알코올 또는 탈이온수로 적셔진다 (wet). 또한, 와이핑은 대략 1lbf (pound-force) 와 같은 낮은 양의 압력을 대면 플레이트에 인가한다. 와이핑은 웨이퍼들의 진행 (run) 동안 두 번 이루어질 수 있고, 웨이퍼들의 진행 후에, 샤워헤드는 교체되어야 한다.
그러나, 수동 와이핑은 플라즈마 챔버에 대한 다운타임 (downtime) 을 생성한다. 예를 들어, 플라즈마 챔버는 48 시간 동안 동작될 수 없다. 플라즈마 챔버는 샤워헤드를 냉각시키고 샤워헤드를 수동으로 와이핑할 수 있도록 벤팅하기 위해 오랫동안 사용될 수 없다. 또한, 폴리에스테르 직물 패드는 대략 295 ℃의 융점을 갖고 따라서 중간 온도 또는 고온에서 샤워헤드를 세정하는데 사용될 수 없다. 이는 또한 챔버 다운타임을 증가시킨다. 면 직물 패드가 사용될 때, 면 직물 패드의 미립자들에 샤워헤드를 노출시킨다. 또한, 샤워헤드로부터 세정되는 오염 물질들은 흡입하면 (inhale) 유해한 독성 분말들이다. 더욱이, 수동 세정을 위해 몇 시간의 노동 시간이 사용되고 매번 수동 세정이 적절하게 행해지지 않을 수도 있다. 샤워헤드에 밀착된 (adhere) 오염 물질들은 적절히 제거되지 않는다면, 플라즈마 챔버 내 웨이퍼들의 프로세싱에 영향을 줄 수 있다.
일 실시 예에서, 플라즈마 챔버의 자가-유지 보수 (self-maintenance) 방법이 기술된다. 이 방법에서, 플라즈마 챔버가 여전히 진공에 있고 프로세스 온도 또는 프로세스 근방에 있는 동안, 생체 모방 마이크로-구조체들 (bio-mimicry micro-structures) 을 갖는 무접착성 (adhesive-less) 폴리머 패드를 갖는 세정 어셈블리가 샤워헤드로부터 입자들을 캡처하고 제거하도록 사용된다. 세정 어셈블리는 상단에 무접착성 폴리머 패드를 갖고 패드는 플라즈마 챔버 내의 수직 운동 메커니즘 (vertical movement mechanism) 에 의해 샤워헤드에 대해 가압된다. 수직 운동 메커니즘의 예들은 웨이퍼 인덱싱 메커니즘, 리프트 핀들 및 페데스탈 리프트들을 포함한다. 수직 운동 메커니즘의 또 다른 예는 세정 어셈블리를 위 또는 아래로 이동시키기 위해 수직 방향으로 상향 또는 하향으로 이동하는 웨이퍼 핸들링 로봇을 포함한다. 세정 어셈블리는 웨이퍼 핸들링 로봇 상에 배치된다. 웨이퍼 핸들링 로봇은 하나의 웨이퍼를 프로세싱하도록 사용되는 플라즈마 챔버를 위해 사용된다. 웨이퍼 인덱싱 메커니즘의 일 예는 복수의 암들을 갖는 스핀들 (spindle) 이다. 오염 물질들은 반 데르 발스 힘들 및/또는 정전기력들에 의해 폴리머 패드들 상의 마이크로-구조체들에 밀착된다. 접착은 잔류물이 없고 고온들에서 이루어질 수 있다.
복수의 세정 어셈블리들은 유지 보수 FOUP (Front End Opening Pod) 를 통해 툴로 가져오고 툴로부터 제거된다. 세정 어셈블리는 툴의 웨이퍼 핸들링 로봇들에 의해 플라즈마 챔버로 전달된다.
일 실시 예에서, 세정 어셈블리가 기술된다. 세정 어셈블리는 하단 측면 및 상부 측면을 갖는 지지 섹션을 포함한다. 하단 측면은 플라즈마 프로세싱 툴의 암에 연결된다. 암은 진공 하에서 플라즈마 프로세싱 툴 내부의 세정 어셈블리의 운동을 핸들링하도록 (handle) 사용된다. 세정 어셈블리는 압축 인터페이스를 통해 지지 섹션의 상부 측면에 커플링된 프레스 플레이트를 더 포함한다. 세정 어셈블리는 프레스 플레이트 위에 배치된 세정 층을 포함한다. 세정 층은 복수의 필라들 (pillars) 을 포함한다. 암은 플라즈마 프로세싱 툴 내부의 표면 상에 배치된 미립자들로 하여금 표면으로부터 세정 층 상으로 마이그레이션하게 (migrate) 하기 위해 표면 상으로 세정 층을 이동시키도록 사용된다.
일 실시 예에서, 플라즈마 프로세싱 툴 내 표면을 세정하기 위한 방법이 기술된다. 방법은 플라즈마 프로세싱 툴의 암 상에 세정 어셈블리를 수용하는 단계를 포함한다. 방법은 세정 층으로 하여금 플라즈마 프로세싱 툴 내부의 표면에 근접하게 하도록 표면 상으로 세정 층을 이동시키도록 암을 상향 방향으로 이동시키는 단계를 더 포함한다.
본 명세서에 기술된 시스템들 및 방법들의 일부 장점들은 챔버 다운타임을 감소시키거나 제거하는 것을 포함한다. 예를 들어, 챔버 다운타임은 세정 어셈블리가 사용될 때 몇 시간 이하로 감소된다. 샤워헤드는 샤워헤드를 세정하기 위해 냉각될 필요가 없다. 오히려, 샤워헤드는 본 명세서에 기술된 세정 어셈블리 또는 임의의 다른 세정 어셈블리를 사용함으로써 중간 온도 및 고온에서 세정될 수 있다. 따라서, 플라즈마 챔버 다운타임이 감소되거나 제거된다.
또한, 플라즈마 챔버를 세정하기 위해 플라즈마 챔버를 개방할 필요가 없다. 수직 운동 메커니즘은 플라즈마 챔버가 폐쇄되고 외부 공기에 노출되지 않는 동안 샤워헤드를 세정하도록 사용될 수 있다. 플라즈마 챔버가 폐쇄될 때 샤워헤드가 세정될 수 있기 때문에, 기술자들이 유해한 오염 물질들을 들이마실 (breathing) 가능성 (chance) 이 감소되거나 제거된다. 이와 같이, 기술자들의 안전이 개선된다.
더욱이, 수직 운동 메커니즘이 사용되기 때문에, 샤워헤드를 세정하는데 소요된 시간은 수동 세정에 소요된 시간과 비교하여 실질적으로 감소된다. 수동 세정과 비교하여 보다 빈번한 세정은 샤워헤드의 수명을 증가시킬 수 있다.
또한, 세정 어셈블리는 복수 회 사용될 때 수동 세정과 비교하여 매번 샤워헤드의 적절한 세정을 제공할 수 있다. 세정 어셈블리의 사용은 샤워헤드에 밀착되는 오염 물질들을 적절히 제거함으로써 샤워헤드의 수명을 증가시킨다.
세정 어셈블리의 사용은 또한 기판이 부적절하게 프로세싱될 가능성들을 감소시킨다. 세정 어셈블리는 재료들이 기판 프로세싱을 방해하지 않도록 오염 물질들을 적절히 제거한다.
세정 어셈블리는 진공에서 사용될 수 있다. 또한, 마이크로 구조체들이 연장하는 마이크로 구조체 시트가 내열성 재료로 만들어진다면, 샤워헤드를 세정하기 위해 플라즈마 챔버 내 온도를 감소시킬 필요가 없다. 예를 들어, 마이크로 구조체 시트가 플루오로 중합체 또는 폴리이미드이면, 샤워헤드는 플라즈마 챔버의 온도를 감소시키지 않고 세정될 수 있다.
다른 양태들은 첨부된 도면들과 함께 취해진, 이하의 상세한 기술로부터 자명해질 것이다.
실시 예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 이해된다.
도 1은 플라즈마 툴의 일 실시 예의 도면이다.
도 2는 인바운드 로드 록 및 아웃바운드 로드 록을 포함하는 복수의 로드 록들을 예시하기 위한 시스템의 일 실시 예의 도면이다.
도 3은 스핀들 및 복수의 암들을 포함하는 회전 메커니즘을 예시하기 위한 시스템의 일 실시 예의 도면이다.
도 4a는 암의 섹션 상에 배치된 세정 어셈블리를 예시하기 위한 시스템의 일 실시 예의 도면이다.
도 4b는 도 4a의 암의 연장된 섹션 및 회전 섹션의 평면도의 일 실시 예의 도면이다.
도 4c는 세정 어셈블리의 일 실시 예의 도면이다.
도 4d는 지지 섹션 상의 복수의 스프링 메커니즘들의 위치들을 예시하기 위한 도 4c의 세정 어셈블리의 지지 섹션의 상단 표면의 평면도이다.
도 5a는 도 4c의 세정 어셈블리의 일 실시 예의 상세도이다.
도 5b는 또 다른 세정 어셈블리의 일 실시 예의 상세도이다.
도 5c는 세정 어셈블리의 일 실시 예의 도면이다.
도 6a는 도 2에 예시된 세정 어셈블리의 하강된 위치를 예시하기 위한 시스템의 일 실시 예의 도면이다.
도 6b는 도 2에 예시된 세정 어셈블리의 상승된 위치를 예시하기 위한 도 6a의 시스템의 또 다른 실시 예의 도면이다.
도 7은 인바운드 로드 록으로 그리고 인바운드 로드 록으로부터 도 2에 예시된 세정 어셈블리의 운송을 예시하기 위한 시스템의 일 실시 예의 도면이다.
도 8은 세정 어셈블리를 상승시키거나 하강시키기 위한 복수의 리프트 핀들의 사용을 예시하기 위한 시스템의 일 실시 예의 도면이다.
도 9a는 세정 어셈블리를 상승시키거나 하강시키기 위해 사용된 복수의 스파이더 포크들을 예시하기 위한 시스템의 일 실시 예의 도면이다.
도 9b는 스핀들의 운동과 함께 스파이더 포크들의 수직 운동을 예시하기 위한 시스템의 일 실시 예의 도면이다.
도 10a는 샤워헤드를 세정하기 위해 도 8의 세정 어셈블리를 향한 샤워헤드의 운동을 예시하기 위한 시스템의 일 실시 예의 도면이다.
도 10b는 샤워헤드를 세정하기 위해 샤워헤드를 향한 페데스탈의 운동을 예시하기 위한 시스템의 일 실시 예의 도면이다.
도 11a는 세정 어셈블리의 일 실시 예의 등각도이다.
도 11b는 도 11a의 세정 어셈블리의 일 실시 예의 측면도이다.
도 12a는 세정 어셈블리의 세정 층의 필라들의 세트의 일 실시 예의 측면도이다.
도 12b는 세정 어셈블리의 세정 층의 필라들의 세트의 또 다른 실시 예의 측면도이다.
도 12c는 세정 어셈블리의 세정 층의 필라들의 세트의 또 다른 실시 예의 측면도이다.
도 12d는 세정 어셈블리의 세정 층의 필라들의 세트의 또 다른 실시 예의 측면도이다.
도 12e는 세정 어셈블리의 세정 층의 필라들의 세트의 또 다른 실시 예의 측면도이다.
도 12f는 세정 어셈블리의 세정 층의 필라들의 세트의 또 다른 실시 예의 측면도이다.
도 12g는 세정 어셈블리의 세정 층의 필라들의 세트의 또 다른 실시 예의 측면도이다.
도 12h는 세정 층의 필라들의 편향을 예시하기 위한 세정 층의 일 실시 예의 측면도이다.
도 12i는 샤워헤드의 하단 표면과 콘택트하는 도 12h의 필라들 중 하나의 상세한 측면도이다.
도 13a는 세정 어셈블리의 필라들의 그리드 패턴을 예시하기 위한 세정 층의 일 실시 예의 평면도이다.
도 13b는 세정 어셈블리의 필라들의 서브-패턴을 예시하기 위한 세정 층의 일 실시 예의 평면도이다.
도 13c는 세정 층이 필라 섹션들 및 비필라 섹션들로 분포된다는 것을 예시하기 위한 세정 층의 일 실시 예의 평면도이다.
도 14a는 돔-상단 필라의 일 실시 예의 측면도이다.
도 14b는 버섯 형상 필라의 일 실시 예의 측면도이다.
도 14c는 원뿔 형상 필라의 일 실시 예의 측면도이다.
도 14d는 편평-상단 필라의 일 실시 예의 측면도이다.
도 14e는 리세스형-상단 필라의 일 실시 예의 측면도이다.
도 14f는 다중-표면 상단 필라의 일 실시 예의 측면도이다.
도 14g는 슬롯형-상단 필라의 일 실시 예의 측면도이다.
도 14h는 돌출부-상단 필라의 일 실시 예의 측면도이다.
도 15a는 도 2의 세정 어셈블리의 움직임의 일 실시 예의 그래프이다.
도 15b는 도 2의 세정 어셈블리의 움직임의 또 다른 실시 예의 그래프이다.
도 15c는 도 2의 세정 어셈블리의 움직임의 또 다른 실시 예의 그래프이다.
도 15d는 도 2의 세정 어셈블리의 움직임의 또 다른 실시 예의 그래프이다.
도 16a는 FOUP (Front End Opening Pod) 의 일 실시 예의 측면도이다.
도 16b는 FOUP의 평면도의 일 실시 예의 도면이다.
도 17a는 복수의 세정 어셈블리들의 저장을 위한 FOUP의 일 실시 예의 평면도이다.
도 17b는 복수의 세정 어셈블리들의 저장을 위한 FOUP의 또 다른 실시 예의 평면도이다.
도 18a는 일 롤러 내의 롤러 시스템을 예시하기 위한 세정 어셈블리의 일 실시 예의 평면도이다.
도 18b는 도 18a의 세정 어셈블리의 일 실시 예의 측면도이다.
도 19a는 2 개의 롤러들을 갖는 롤러 시스템을 예시하기 위한 세정 어셈블리의 일 실시 예의 평면도이다.
도 19b는 도 19a의 세정 어셈블리의 일 실시 예의 측면도이다.
도 20a는 롤러 각각이 2 개의 바들 주위에 랩핑되는 롤러 시스템을 예시하기 위한 세정 어셈블리의 일 실시 예의 평면도이다.
도 20b는 복수의 바들 및 바들 각각 주위에 랩핑된 롤러들을 갖는 롤러 시스템을 예시하기 위한 세정 어셈블리의 일 실시 예의 평면도이다.
도 21a는 세정 층 및 세정 층을 지지하기 위한 프레스 플레이트를 갖는 롤러의 일 실시 예의 측면도이다.
도 21b는 도 21a의 롤러의 일 실시 예의 또 다른 측면도이다.
도 21c는 복수의 돌출된 섹션들을 갖는 프레스 플레이트를 예시하기 위한 롤러의 일 실시 예의 측면도이다.
도 22는 아이들러 롤러를 갖는 세정 어셈블리의 일 실시 예의 측면도이다.
도 23a는 테이퍼링된 롤러를 갖는 세정 어셈블리를 예시하기 위한 시스템의 일 실시 예의 도면이다.
도 23b는 도 23a의 시스템의 일 실시 예의 측면도이다.
도 24는 하나의 롤러 대신 2 개의 테이퍼링된 롤러들이 사용되는 세정 어셈블리를 예시하기 위한 시스템의 일 실시 예의 평면도이다.
도 25a는 세정 어셈블리의 일 실시 예의 등각도이다.
도 25b는 압축 해제된 위치의 도 25a의 세정 어셈블리의 일 실시 예의 측면도이다.
도 25c는 압축된 위치의 도 25a의 세정 어셈블리의 일 실시 예의 측면도이다.
도 26a는 도 25a의 세정 어셈블리의 프레스 플레이트의 일 실시 예의 등각 저면도이다.
도 26b는 도 25a의 세정 어셈블리의 패드의 일 실시 예의 등각 저면도이다.
도 26c는 도 25a의 세정 어셈블리의 지지 플레이트의 일 실시 예의 저면도이다.
도 27은 도 26b의 패드의 측면 풋 연장부의 일 실시 예의 등각도이고 그리고 측면 풋 연장부는 도 26a의 프레스 플레이트의 하단 표면 아래로 연장한다.
도 28은 도 25a의 세정 어셈블리의 리테이닝 링 및 핀을 포함하는 어셈블리의 일 실시 예의 등각 저면도이다.
도 29a는 FOUP에서 도 25a의 세정 어셈블리의 배치를 예시하기 위한 FOUP의 일부의 일 실시 예의 전면도이다.
도 29b는 도 29a의 FOUP의 일 실시 예의 평면도이다.
도 30은 플라즈마 시스템의 일 실시 예의 도면이다.
도 31a는 도 30의 플라즈마 시스템의 이송 스테이션의 일 실시 예의 전면도이다.
도 31b는 이송 스테이션의 일 실시 예의 등각도이다.
다음의 실시 예들은 샤워헤드를 세정하기 위한 시스템들 및 방법들을 기술한다 (describe). 본 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시 예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
막들의 증착은 바람직하게 PECVD (plasma enhanced chemical vapor deposition) 시스템에서 구현된다. PECVD 시스템은 많은 상이한 형태들을 취할 수도 있다. PECVD 시스템은 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 하나 이상의 챔버들 또는 "반응기들" (때때로 복수의 스테이션들을 포함함) 을 포함한다. 챔버 각각은 프로세싱을 위해 하나 이상의 웨이퍼들을 하우징할 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들 (그 위치에서 움직임 (motion), 예를 들어, 회전, 진동, 또는 다른 교반과 함께 또는 이들 없이) 에 웨이퍼를 유지한다. 증착을 겪는 웨이퍼는 프로세스 동안 반응기 내에서 일 스테이션으로부터 또 다른 스테이션으로 이송될 (transfer) 수도 있다. 물론, 막 증착은 전적으로 단일 스테이션에서 일어날 수도 있고, 또는 막의 임의의 분획이 임의의 수의 스테이션들에서 증착될 수도 있다.
프로세스 동안, 웨이퍼 각각은 페데스탈, 예를 들어, 웨이퍼 척, 등 및/또는 다른 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다. 특정한 동작들을 위해, 장치는 웨이퍼를 가열하기 위한 가열 플레이트와 같은 히터, 웨이퍼를 프로세싱하는 동안 온도를 측정하기 위한 열전대들 (thermocouples) 의 세트, 및 웨이퍼의 프로세싱 동안 페데스탈을 회전시키기 위한 모터를 포함할 수도 있다.
도 1은 PECVD 시스템과 같은 플라즈마 시스템 (100) 의 일 실시 예의 도면이다. 플라즈마 시스템 (100) 은 호스트 컴퓨터 (102), 프로세스 가스 공급부 (104), 가스 공급 매니폴드 (106), 플라즈마 챔버 (105), 모터 시스템 (116), 중심 컬럼 (column) (114), 드라이버 시스템 (118), RF 생성기 (120), 임피던스 매칭 회로 (impedance matching circuit; IMC) (122), 및 복수의 진공 펌프들 (127A 및 127B) 을 포함한다. 플라즈마 챔버 (105) 는 때때로 본 명세서에서 플라즈마 프로세싱 툴로 지칭된다. 진공 펌프들 (127A 및 127B) 은 플라즈마 챔버 (105) 의 하단 벽 (131) 에 부착된다.
플라즈마 챔버 (105) 는 하우징을 형성하기 위해 상단 벽 (133), 측벽 또는 측벽들, 및 하단 벽 (131) 을 갖는다. 측벽 또는 측벽들은 하단 벽 (131) 에 실질적으로 수직이거나 수직이고 하단 벽 (131) 에 연결되거나 일체형이다. 유사하게, 측벽 또는 측벽들은 상단 벽 (133) 에 실질적으로 수직이거나 수직이고 상단 벽 (133) 에 연결되거나 통합된다.
플라즈마 챔버 (105) 는 상단 섹션 (105A) 및 하단 섹션 (105B) 을 포함한다. 상단 섹션 (105A) 은 상단 벽 (133) 및 플라즈마 챔버 (105) 의 측벽 또는 측벽들의 일부를 갖는다. 또한, 하단 섹션 (105B) 은 하단 벽 (131) 및 플라즈마 챔버 (105) 의 측벽 또는 측벽들의 나머지 부분을 갖는다.
상단 섹션 (105A) 은 샤워헤드 (108) 를 포함하고 하단 섹션 (105B) 은 페데스탈 (110A), 중심 컬럼 (114), 및 캐리어 링 (112) 을 포함한다. 페데스탈 (110A) 의 예들은 정전 척 (electrostatic chuck; ESC) 과 같은 척을 포함한다. 페데스탈 (110A) 은 하부 전극 (124) 을 포함한다. 중심 컬럼 (114) 내에, 복수의 리프트 핀들 (126A, 126B, 및 126C) 이 위치된다. 리프트 핀들 (126A 내지 126C) 은 리프트 핀들 (126A 내지 126C) 에 의해 형성된 삼각형의 꼭짓점들을 형성하는 운동학적 (kinematic) 핀들이다. 삼각형은 페데스탈 (110A) 의 상단 표면 상에 형성된다.
호스트 컴퓨터 (110) 의 예들은 데스크탑 컴퓨터, 랩탑 컴퓨터, 제어기, 태블릿, 및 스마트 폰을 포함한다. 예시를 위해, 호스트 컴퓨터 (110) 는 프로세서 및 메모리 디바이스를 포함하고, 프로세서는 메모리 디바이스에 커플링된다. 프로세서의 예들은 마이크로프로세서, ASIC (application specific integrated circuit), PLD (programmable logic device) 및 CPU (central processing unit) 를 포함한다. 메모리 디바이스의 예들은 ROM (read-only memory), RAM (random access memory), 플래시 메모리, 저장 디스크 어레이, 하드 디스크 등을 포함한다. 캐리어 링 (112) 은 본 명세서에서 때때로 포커스 링으로 지칭된다.
프로세스 가스 공급부 (104) 의 예들은 캐리어 링 (112) 상에 배치된 기판 (128), 예컨대 반도체 웨이퍼를 프로세싱하기 위한 하나 이상의 프로세스 가스들을 저장하는 하나 이상의 가스 컨테이너들을 포함한다. 하나 이상의 프로세스 가스들의 예들은 산소-함유 가스 및 불소 함유 가스를 포함한다. 가스 공급 매니폴드 (106) 는 미리 설정된 프로세스 가스들의 혼합물 을 달성하기 위해, 프로세스 가스 공급부 (104) 로부터 수용된 하나 이상의 프로세스 가스들의 플로우를 가스 공급 매니폴드 (106) 를 통해 샤워헤드 (108) 로 미리 허용하거나 허용하지 않는 것과 같이 제어하기 위한 하나 이상의 밸브들을 포함한다.
샤워헤드 (108) 는 기판 (128) 을 프로세싱하기 위해 샤워헤드 (108) 와 페데스탈 (110A) 사이의 갭으로 하나 이상의 프로세스 가스들을 분배하기 위한 가스 분배 플레이트를 포함한다. 캐리어 링 (112) 은 기판 (128) 을 지지하기 위해 페데스탈 (110A) 의 에지 상에 배치된다. 예를 들어, 페데스탈 (110A) 은 중앙 부분 (130A) 및 에지 부분 (130B) 을 갖는 상단 표면을 포함한다. 에지 부분 (130B) 은 중앙 부분 (130A) 으로부터 하강된 단차부 (step down) 이고 중앙 부분 (130A) 을 둘러싼다 (surround). 캐리어 링 (112) 은 에지 부분 (130B) 의 상단에 배치된다.
중심 컬럼 (114) 은 리프트 핀들 (126A 내지 126C) 및 RF 송신 라인 (132) 이 통과하는 중공형 공간을 포함한다. RF 송신 라인 (132) 은 페데스탈 (110A) 내에 임베딩된 (embed) 하부 전극 (124) 에 커플링된다. 리프트 핀들 (126A 내지 126C) 은 페데스탈 (110A) 의 바디를 통해 페데스탈 (110A) 의 중앙 부분 (130A) 으로 페데스탈 (110A) 의 하부 표면으로부터 통과하도록 동작되거나 이동 가능하다.
본 명세서에 사용된, 모터 시스템은 하나 이상의 전기 모터들을 포함하고, 본 명세서에 사용된 드라이버 시스템은 하나 이상의 드라이버들을 포함한다. 전기 모터의 예들은 교류 (AC) 모터 및 직류 (DC) 모터를 포함한다. 예시를 위해, 전기 모터는 고정자 (stator) 및 회전자 (rotor) 를 포함하고, 회전자는 고정자에 대해 회전한다. 전기 모터는 전기 에너지를 기계적 에너지로 변환하고, 회전자에 부착된 샤프트의 회전 형태의 힘을 생성하도록 전기 모터의 자기장과 고정자의 와이어 권선의 전류 사이의 상호 작용을 통해 동작하는 전기 머신이다. 본 명세서에 사용된, 드라이버의 예들은 전압이 하나 이상의 트랜지스터들의 입력부에 인가될 때 전류 신호를 출력하기 위해 서로 커플링되는 하나 이상의 트랜지스터들을 포함한다.
본 명세서에 기술된, RF 생성기는 400 ㎑ (킬로헤르츠), 또는 2 ㎒ (메가헤르츠), 또는 27 ㎒, 또는 60 ㎒, RF 생성기이다. 예를 들어, RF 생성기 각각은 2 ㎒ 또는 27 ㎒와 같은 주파수를 갖는 RF 신호를 생성하도록 오실레이팅하는 RF 오실레이터와 같은 RF 전력 공급부를 포함한다. RF 오실레이터는 RF 신호를 생성하기 위해 2 ㎒ 또는 27 ㎒와 같은 동작 주파수 (RF) 에서 동작한다.
본 명세서에 기술된, 임피던스 매칭 회로의 예들은 수정된 RF 신호를 출력하기 위해 임피던스 매칭 회로에 커플링된 RF 생성기로부터 수신된 RF 신호의 전달을 용이하게 하도록 서로 커플링된 하나 이상의 직렬 회로들 (series circuits) 및 하나 이상의 션트 회로들 (shunt circuits) 의 네트워크를 포함한다. 직렬 회로의 예들은 커패시터, 인덕터 및 레지스터를 포함한다. 유사하게, 션트 회로의 예들은 커패시터, 인덕터 및 레지스터를 포함한다. 커패시터의 예들은 고정 커패시턴스를 갖는 고정 커패시터 및 가변 커패시턴스를 갖는 가변 커패시터를 포함한다. 더욱이, 인덕터의 예들은 고정 인덕턴스를 갖는 고정 인덕터 및 가변 인덕턴스를 갖는 가변 인덕터를 포함한다. 레지스터의 예들은 고정 저항을 갖는 고정 레지스터 및 가변 저항을 갖는 가변 레지스터를 포함한다. 커패시턴스, 또는 인덕턴스, 또는 저항, 또는 이들 중 둘 이상의 조합은 본 명세서에서 때때로 파라미터로서 지칭된다는 것을 주의해야 한다.
호스트 컴퓨터 (102) 는 프로세스 가스 공급부 (104), 드라이버 시스템 (118), 및 RF 생성기 (120) 에 커플링된다. 프로세스 가스 공급부 (104) 는 샤워헤드 (108) 에 커플링되는 가스 공급 매니폴드 (106) 에 커플링된다. 드라이버 시스템 (118) 은 모터 시스템 (116) 에 커플링된다. 모터 시스템 (116) 의 모터 각각은 각각의 연결 메커니즘 (107A, 107B, 또는 107C) 을 통해 각각의 리프트 핀 (126A, 126B, 또는 126C) 에 커플링된다. 예를 들어, 모터 시스템 (116) 의 제 1 모터는 연결 메커니즘 (107A) 을 통해 리프트 핀 (126A) 에 커플링되고, 모터 시스템 (116) 의 제 2 모터는 연결 메커니즘 (107B) 을 통해 리프트 핀 (126B) 에 커플링되고, 모터 시스템 (116) 은 연결 메커니즘 (107C) 을 통해 리프트 핀 (126C) 에 커플링된다. 본 명세서에 사용된, 연결 메커니즘의 예는 하나 이상의 샤프트들을 포함한다. 연결 메커니즘의 또 다른 예는 하나 이상의 기어들을 통해 서로 커플링되는 복수의 샤프트들을 포함한다.
호스트 컴퓨터 (102) 는 드라이버 (129A) 에 커플링되고, 진공 펌프 (127A) 에 더 커플링된다. 또한, 호스트 컴퓨터 (102) 는 드라이버 (129B) 에 커플링되고, 진공 펌프 (127B) 에 더 커플링된다.
호스트 컴퓨터 (102) 는 드라이버 시스템 (118) 에 리프트 핀 제어 신호를 전송한다. 리프트 핀 제어 신호는 리프트 핀들 (126A 내지 126C) 이 상승되거나 하강되는 높이를 포함한다. 리프트 핀 제어 신호를 수신하면, 드라이버 시스템 (118) 은 모터 시스템 (116) 으로 전송되는 하나 이상의 전류 신호들을 생성한다. 모터 시스템 (116) 의 하나 이상의 모터들은 하나 이상의 리프트 핀들 (126A 내지 126C) 을 각각 상승시키거나 하강시키도록 연결 메커니즘들 (107A 내지 107C) 을 각각 이동시키도록 회전한다. 리프트 핀들 (126A 내지 126C) 은 캐리어 링 (112) 으로부터 미리 결정된 높이로 수직 방향, 예를 들어 y-축 방향으로 웨이퍼 (128) 를 상승시키도록 상승되거나 웨이퍼 (128) 를 하강시키도록 하강된다. y-축은 z-축에 수직인 x-축에 수직이다. 또한, z-축은 y-축에 수직이다.
더욱이, 호스트 컴퓨터 (102) 는 제어 신호를 RF 생성기 (120) 로 전송한다. 제어 신호를 수신하는 것에 응답하여, RF 생성기 (120) 는 RF 신호 (134) 를 생성하고 RF 신호 (134) 를 임피던스 매칭 회로 (122) 에 공급한다. 임피던스 매칭 회로 (122) 는 수정된 RF 신호 (136) 를 출력하기 위해 RF 신호 (134) 의 임피던스를 변화시키는 것과 같이, RF 신호 (134) 를 수정한다. 예를 들어, 임피던스 매칭 회로 (122) 의 파라미터는 수정된 RF 신호 (136) 를 출력하기 위해 RF 신호 (134) 의 임피던스를 수정한다. 수정된 RF 신호 (136) 는 임피던스 매칭 회로 (122) 로부터 RF 송신 라인 (132) 을 통해 하부 전극 (124) 으로 공급된다.
호스트 컴퓨터 (102) 는 또한 하나 이상의 프로세스 가스들을 공급하도록 프로세스 가스 공급부 (104) 로 제어 신호를 전송하고 샤워헤드 (108) 로의 하나 이상의 프로세스 가스들의 양들을 제어하도록 제어 신호를 가스 공급 매니폴드 (106) 로 전송한다. 하나 이상의 프로세스 가스들이 샤워헤드 (108) 의 가스 분배 플레이트를 통해 샤워헤드 (108) 와 페데스탈 (110A) 사이의 갭으로 공급되고 수정된 RF 신호 (134) 가 하부 전극 (124) 에 공급될 때, 기판 (128) 을 프로세싱하기 위해 플라즈마가 스트라이킹되거나 (strike) 갭 내에 담긴다.
기판 (128) 의 프로세싱 전, 프로세싱 동안 및/또는 프로세싱 후, 진공이 플라즈마 챔버 (105) 내에서 유지된다. 예를 들어, 호스트 컴퓨터 (102) 는 제어 신호를 드라이버 (129A) 로 전송한다. 제어 신호를 수신하면, 드라이버 (129A) 는 진공 펌프 (127A) 에 제공되는 전류 신호를 생성한다. 진공 펌프 (127A) 는 진공을 남기도록 플라즈마 챔버 (105) 의 시일링된 (seal) 인클로저인 하우징으로부터 가스 분자들을 추출하도록 동작한다. 유사하게, 또 다른 예로서, 호스트 컴퓨터 (102) 는 제어 신호를 드라이버 (129B) 로 전송한다. 제어 신호를 수신하면, 드라이버 (129B) 는 진공 펌프 (127B) 에 제공되는 전류 신호를 생성한다. 진공 펌프 (127B) 는 진공을 남기도록 플라즈마 챔버 (105) 의 하우징으로부터 가스 분자들을 추출하도록 동작한다.
일 실시 예에서, 기판 (128) 은 캐리어 링 (112) 상에 배치되는 대신 페데스탈 (110A) 의 상단 표면 상에 배치된다.
일 실시 예에서, 샤워헤드 (108) 는 상단 전극에 커플링된 임피던스 매칭 회로로부터 수정된 RF 신호를 수신하는 상단 전극을 포함한다. 상단 전극에 커플링된 임피던스 매칭 회로는 수정된 RF 신호를 출력하기 위해 각각의 하나 이상의 RF 생성기들로부터 하나 이상의 RF 신호들을 수신한다.
일 실시 예에서, 샤워헤드 (108) 는 샤워헤드 (108) 의 온도를 변화시키기 위해, 레지스터들과 같은 복수의 가열 엘리먼트들을 포함한다.
일 실시 예에서, RF 생성기 (120) 대신, 복수의 RF 생성기들이 임피던스 매칭 회로 및 RF 송신 라인 (132) 을 통해 하부 전극 (124) 에 커플링된다.
일 실시 예에서, 호스트 컴퓨터 (102) 에 의해 전송되는 것으로 본 명세서에 기술된 임의의 제어 신호는 호스트 컴퓨터 (102) 의 프로세서에 의해 생성되고 전송된다는 것을 주의해야 한다.
일 실시 예에서, 2 개의 진공 펌프들 (127A 및 127B) 대신, 임의의 다른 수의 진공 펌프들이 플라즈마 챔버 (105) 의 하단 벽 (131) 에 부착된다.
도 2는 세정 어셈블리들 (220 및 222) 을 운송하기 (transport) 위한 인바운드 로드 록 (202A) 및 아웃바운드 로드 록 (202B) 을 포함하는, 복수의 로드 록들을 예시하기 위한 시스템 (200) 의 일 실시 예의 도면이다. 예로서, 세정 어셈블리 (220 및 222) 각각은 교체 가능하거나 재사용 가능한 부품이다.
교체 가능한 부품은 본 명세서에서 때때로 소모성 부품으로서 지칭된다. 예를 들어, 세정 어셈블리 (220 및 222) 각각은 샤워헤드 (108) 를 1 회 또는 복수 회 세정하도록 사용되고 미리 결정된 횟수 (number of times) 후에 폐기된다. 예로서, 세정 어셈블리 (220 및 222) 각각이 사용되는 횟수는 세정 어셈블리가 인바운드 로드 록 (202A) 으로부터 플라즈마 챔버 (105) 내로 들어가는 횟수 및 세정 어셈블리는 플라즈마 챔버 (105) 로부터 아웃바운드 로드 록 (202B) 으로 나가는 횟수로 카운팅된다.
시스템 (200) 은 때때로 본 명세서에서 EFEM (Equipment Front End Module) 으로 지칭된다. 시스템 (200) 은 플라즈마 챔버 (105), 인바운드 로드 록 (202A), 아웃바운드 로드 록 (202B), 로봇 (206), 및 포드들 (204A 및 204B) 을 포함한다. 본 명세서에 기술된 바와 같이, 포드의 예들은 FOUP (Front End Opening Pod) 를 포함한다. 포드들 (204A 및 204B) 은 EFEM의 로드 포트들 상에 배치된다. 플라즈마 챔버 (105) 는 복수의 샤워헤드들 및 복수의 페데스탈들 (110A, 110B, 110C, 및 110D) 을 포함한다. 플라즈마 챔버 (105) 는 벽들 (218A, 218B, 218C, 및 218D) 에 의해 인클로징된 (enclose) 인클로저이다. 샤워헤드들 각각은 샤워헤드 (108) 가 갭을 형성하도록 페데스탈 (110A) 과 대면하여 페데스탈 (110A) 위에 배치되는 도 1에 대해 예시된 방식으로 페데스탈들 (110A 내지 110D) 각각에 대해 배치된다.
플라즈마 챔버 (105) 는 4 개의 스테이션들, 예컨대 4 개의 페데스탈들 (110A 내지 110D) 및 4 개의 샤워헤드들을 갖고, 이들 각각은 샤워헤드 (108) 와 동일한 구조 및 기능을 갖는다. 또한, 페데스탈들 (110B 내지 110C) 각각은 페데스탈 (110A) 과 동일한 구조 및 기능을 갖는다. 프로세싱 동안, 플라즈마 챔버 (105) 는 기판들이 진공 파괴 (vacuum break) 및/또는 공기 노출을 경험하지 않고 페데스탈들 (110A 내지 110D) 사이에서 복수의 캐리어 링들을 사용하여 이송되도록 저압 분위기를 유지한다.
로드 록 (202A 및 202B) 각각은 인클로징된 챔버이다. 로봇 (206) 의 예들은 하나 이상의 모터들로 제어되는 엔드 이펙터 (end effector) 를 포함한다. 로드 록 (202A 및 202B) 각각은 엔드 이펙터를 포함한다. 예를 들어, 로드 록 (202A) 은 엔드 이펙터 (210A) 및 또 다른 엔드 이펙터 (210B) 로서 로드 록 (202B) 을 갖는다.
플라즈마 챔버 (105) 는 회전 메커니즘 (208) 을 포함한다. 회전 메커니즘 (208) 은 스핀들 (212) 및 복수의 암들 (214A, 214B, 214C, 및 214D) 을 포함한다. 스핀들 (212) 은 본 명세서에서 때때로 스핀들 어셈블리 (spindle assembly) 로 지칭된다. z-축을 따라 볼 때, 스핀들 (212) 은 4 개의 스테이션들 사이에서 회전하도록 이하에 기술된 4 개의 스테이션들 사이에 위치된다. 스핀들 (212) 은 암들 (214A 내지 214D) 을 동시에 회전시키도록 z-축에 평행한 축 (216) 에 대해 회전한다. 축 (216) 은 스핀들 (212) 의 중심을 통과하고 스핀들 (212) 의 길이를 따른다. 암 (214A 내지 214D) 각각은 스핀들 (212) 에 부착되고 스핀들 (212) 의 축 (216) 으로부터 플라즈마 챔버 (105) 의 벽들 (218A 내지 218D) 을 향해 수평 평면에서 연장한다. 수평 평면은 z-축에 수직이거나 실질적으로 수직이다. 예를 들어, 수평 평면은 z-축에 대해 90 °의 각도 또는 90 ° 각도로부터 미리 결정된 범위, 예를 들어, ± 5 ° 내의 각도를 형성한다.
일 실시 예에서, 플라즈마 챔버 (105) 의 일 컴포넌트 (component) 는 부착 메커니즘을 통해 플라즈마 챔버 (105) 의 또 다른 컴포넌트에 부착된다. 예를 들어, 암들 (214A 내지 214D) 은 스핀들 (212) 내에 형성된 슬롯에 결합되거나 (bond), 나사 결합되거나 (screw), 슬롯과 일체화되거나 삽입된다. 예시를 위해, 암들 (214A 내지 214D) 은 스핀들 (212) 및 암들 (214A 내지 214D) 을 갖는 하나의 통합된 컴포넌트가 되도록 몰딩 머신 (molding machine) 내에서 제조된다. 또 다른 예시로서, 복수의 스크루들 및 너트들이 암들 (214A 내지 214D) 을 스핀들 (212) 에 나사 결합하도록 사용된다.
암 각각은 연장된 (elongated) 섹션 및 회전 섹션을 갖는다. 예를 들어, 암 (214A) 은 연장된 섹션 (230A) 및 회전 섹션 (232A) 을 갖는다. 또한, 암 (214B) 은 연장된 섹션 (230B) 및 회전 섹션 (232B) 을 갖고, 암 (214C) 은 연장된 섹션 (230C) 및 회전 섹션 (232C) 을 갖고, 그리고 암 (214D) 은 연장된 섹션 (230D) 및 회전 섹션 (232D) 을 갖는다.
연장된 섹션 각각은 대응하는 회전 섹션에 부착된다. 예를 들어, 연장된 섹션 (230A) 은 회전 섹션 (232A) 에 부착되고, 연장된 섹션 (230B) 은 회전 섹션 (232B) 에 부착되고, 연장된 섹션 (230C) 은 회전 섹션 (232C) 에 부착되고, 연장된 섹션 (230D) 은 회전 섹션 (232D) 에 부착된다.
포드 (204A) 는 클린 룸의 오버헤드 이송 차량 (overhead transfer vehicle) 을 통해 플라즈마 시스템 (200) 의 스테이션으로 운송되고 플라즈마 시스템 (200) 의 로드 포트들 중 하나 상에 배치된다. 로봇 (206) 은 대기압에서, 로드 포트 상에 배치된 포드 (204A) 로부터 세정 어셈블리 (220) 를 획득하거나 회수하도록 y-축을 따라 연장하고, y-축을 따라 철수되고 (retract), 대기 포트 (224) 를 통해 인바운드 로드 록 (202A) 으로 세정 어셈블리 (220) 를 운송하도록 z-축에 대해 회전한다. 예를 들어, 로봇 (206) 은 y-축을 따라 연장하도록 y-축에 평행하거나 실질적으로 평행한 방향으로 연장한다. 예시를 위해, y-축에 실질적으로 평행한 방향은 y-축으로부터 미리 결정된 범위, 예를 들어, ± 5 ° 내에 있는 각도를 형성한다. z-축에 대해 회전한 후, 로봇 (206) 은 인바운드 로드 록 (202A) 내에 세정 어셈블리 (220) 를 배치하도록 다시 연장한다.
인바운드 로드 록 (202A) 은 대기 포트 (224) 가 폐쇄될 때, 인바운드 로드 록 (202A) 이 펌핑 다운되도록 진공 소스에 커플링된다. 인바운드 로드 록 (202A) 은 또한 프로세싱 챔버 시스템 (206) 과 인터페이싱된 챔버 운송 포트 (226A) 를 포함한다. 따라서, 챔버 운송 포트 (226A) 가 개방될 때, 엔드 이펙터 (210A) 는 인바운드 로드 록 (202A) 내에 배치된 세정 어셈블리 (220) 를 획득하도록 연장하고, 철수되고 인바운드 로드 록 (202A) 으로부터 플라즈마 챔버 (105) 내로 세정 어셈블리 (220) 를 이송하기 위해 z-축에 대해 회전한다. 예를 들어, 엔드 이펙터 (210A) 는 페데스탈 (110A) 의 상단 표면 (130A) (도 1) 으로부터 상향으로 돌출하는 리프트 핀들 (126A 내지 126C) (도 1) 상에 세정 어셈블리 (220) 를 배치하도록 세정 어셈블리 (220) 를 전달하고 이어서 엔드 이펙터 (210A) 는 플라즈마 챔버 (105) 로부터 철수된다.
일단 세정 어셈블리 (220) 가 리프트 핀들 (126A 내지 126C) 상에 배치되면, 스핀들 (212) 은 세정 어셈블리 (220) 를 페데스탈 (110A) 상의 리프트 핀들 (126A 내지 126C) 로부터 리프팅하기 위해 세정 어셈블리 (220) 아래에 있도록 암 (114C) 의 회전 섹션 (232C) 을 이동시키도록 시계 방향 또는 반시계 방향으로 회전한다. 세정 어셈블리 (220) 는 샤워헤드 (108) 를 세정하기 위해 수직 방향으로 리프팅된다. 세정 어셈블리 (220) 는 z-방향으로 회전 섹션 (232C) 을 이동시키도록, z-축을 따른 z-방향으로 위로 스핀들 (212) 을 이동시킴으로써 리프팅된다.
유사하게, 복수의 세정 어셈블리들은 플라즈마 챔버 (105) 의 샤워헤드들이 세정되기 전에 로봇 (206) 및 엔드 이펙터 (210A) 에 의해 포드 (204A) 로부터 플라즈마 챔버 (105) 내로 이송된다. 예를 들어, 세정 어셈블리 (240) 를 갖는 암 (214C) 은 회전 섹션 (232C) 이 회전 섹션 (232D) 이 페데스탈 (110A) 위에 있을 때까지 회전 섹션 (232C) 을 페데스탈 (110A) 위에 있는 것으로부터 제거하도록 회전된다. 세정 어셈블리 (220) 가 회수되는 것과 동일한 방식으로 포드 (204A) 로부터 회수된 또 다른 세정 어셈블리는 엔드 이펙터 (210A) 로부터 페데스탈 (110A) 위로 연장하는 리프트 핀들 (126A 내지 126C) 로 그리고 또한 리프트 핀들 (126A 내지 126C) 로부터 회전 섹션 (232D) 으로 이송된다. 유사한 방식으로, 2 개의 추가 세정 어셈블리들이 회전 섹션들 (232A 및 232B) 의 상단에 배치된다. 일단 세정 어셈블리들이 회전 섹션들 (232A 내지 232D) 의 상단에 배치되면, 암들 (214A 내지 214D) 은 플라즈마 챔버 (105) 의 4 개의 샤워헤드들을 동시에 세정하기 위해 z-방향으로 리프팅된다. 예를 들어, 세정 어셈블리들은 샤워헤드 (108) 를 세정하기 위해 z-방향으로 암들 (214A 내지 214D) 을 동시에 이동시키도록 z-방향으로 스핀들 (212) 을 이동시킴으로써 리프팅된다.
4 개의 샤워헤드들 중 하나 이상이 세정된 후, 세정 어셈블리 (220) 는 엔드 이펙터 (210B) 에 의해 플라즈마 챔버 (105) 로부터 제거된다. 예를 들어, 세정 어셈블리 (220) 는 페데스탈 (110A) 의 리프트 핀들 (126A 내지 126C) 로부터 엔드 이펙터 (210B) 에 의해 제거된다. 예시를 위해, 4 개의 샤워헤드들 중 하나 이상이 세정된 후, 스핀들 (212) 은 페데스탈 (110A) 의 리프트 핀들 (126A 내지 126C) 상에 세정 어셈블리 (220) 를 배치하도록 z-축을 따라 수직으로 하향으로 이동하고 엔드 이펙터 (210B) 는 리프트 핀들 (126A 내지 126C) 로부터 세정 어셈블리 (220) 를 제거하기 위해 플라즈마 챔버 (105) 내로 연장한다.
세정 어셈블리 (220) 가 상부에 배치되는 엔드 이펙터 (210B) 는 로봇 (206) 과 대면하도록 z-축을 중심으로 회전하고 로봇 (206) 을 향해 연장한다. 로봇 (206) 은 또한 세정 어셈블리 (220) 를 획득하기 위해 엔드 이펙터 (210B) 를 향해 연장하고 포드 (204B) 와 대면하도록 z-축을 중심으로 회전한다. 로봇 (206) 은 이제 사용된 상태인 세정 어셈블리 (220) 를 포드 (204B) 내로 배치하도록 포드 (204B) 를 향해 연장한다.
일 실시 예에서, 샤워헤드 (108) 는 세정 어셈블리 (220) 가 엔드 이펙터 (210A) 에 의해 플라즈마 챔버 (105) 로 제공되고 플라즈마 챔버 (105) 로부터 엔드 이펙터 (210B) 에 의해 제거되는 시간 기간을 포함하여, 플라즈마 챔버 (105) 가 진공 하에 있을 때 항상 세정된다는 것을 주의해야 한다. 예를 들어, 진공 펌프들 (127A 및 127B) (도 1) 은 플라즈마 챔버 (105) 를 진공 하에 유지하도록 동작된다. 하단 섹션 (105B) (도 1) 의 하단 벽 (131) 에 부착된 진공 펌프들 (127A 및 127B) 은 동작하도록 호스트 컴퓨터 (102) 에 의해 제어된다. 동작될 때, 진공 펌프들 (127A 및 127B) 은 플라즈마 챔버 (105) 내에 진공을 생성하도록 플라즈마 챔버 (105) 로부터 공기, 플라즈마 잔여물들, 및 다른 재료들을 추출한다. 또한, 인바운드 로드 록 (202A) 은 세정 어셈블리 (220) 가 챔버 운송 포트 (226A) 를 통해 플라즈마 챔버 (105) 내로 이송되기 전에 진공 상태가 된다. 이어서 챔버 운송 포트 (226A) 가 개방되고 세정 어셈블리 (220) 는 인바운드 로드 록 (202A) 으로부터 플라즈마 챔버 (105) 로 이송된다. 이어서 챔버 이송 포트 (226A) 는 세정 어셈블리 (220) 를 사용하여 샤워헤드 (108) 를 세정하기 위해 폐쇄되고 인바운드 로드 록 (202A) 은 또 다른 세정 어셈블리 또는 또 다른 FOUP를 획득하도록 벤팅된다.
일 실시 예에서, 샤워헤드 (108) 는 플라즈마 챔버 (105) 를 개방하지 않고 기온 (atmospheric temperature) 에서 세정된다. 예를 들어, 샤워헤드 (108) 를 세정하기 위해 플라즈마 챔버 (105) 를 외부 온도에 노출시키도록 플라즈마 챔버 (105) 의 하단 섹션 (105B) (도 1) 으로부터 플라즈마 챔버 (105) 의 상단 섹션 (105A) (도 1) 을 리프팅할 필요가 없다. 하나 이상의 기판들을 프로세싱한 후, 샤워헤드 (108) 는 시간이 흐름에 따라 기온으로 돌아간다. 샤워헤드는 기온에서 100 ℃ 미만의 온도를 갖는다. 또한, 플라즈마 챔버 (105) 는 벤팅되고 기온에서 진공 하가 아니다.
일 실시 예에서, 샤워헤드 (108) 는 플라즈마 챔버 (105) 를 개방하지 않고 중간 온도에서 세정된다. 샤워헤드 (108) 의 중간 온도의 예는 200 ℃ 또는 대략 300 ℃ 예컨대 200 ℃의 ± 10 % 이내의 온도이다. 샤워헤드가 중간 온도에 있을 때, 페데스탈 (110A) 은 또한 300 ℃ 또는 대략 300 ℃와 같은 중간 온도에 있다. 예시를 위해, 페데스탈 (110A) 은 온도가 300 ℃의 ± 10 % 이내일 때 대략 300 ℃의 온도를 갖는다. 플라즈마 챔버 (105) 의 섹션들 (105A 및 105B) 사이에 갭이 없도록 플라즈마 챔버 (105) 가 폐쇄될 때, 플라즈마 챔버 (105) 내에 진공이 존재하고 플라즈마 챔버 (105) 내에 외부 공기가 존재하지 않는다. 또한, 플라즈마 챔버 (105) 는 중간 온도에서 진공 하에 있다.
일 실시 예에서, 샤워헤드 (108) 는 플라즈마 챔버 (105) 를 개방하지 않고 고온에서 세정된다. 샤워헤드 (108) 의 고온의 예는 350 ℃ 또는 대략 350 ℃ 예컨대 350 ℃의 ± 10 % 이내의 온도이다. 샤워헤드 (108) 가 고온에 있을 때, 페데스탈 (110A) 은 또한 550 ℃ 또는 대략 550 ℃와 같은 고온에 있다. 예시를 위해, 페데스탈 (110A) 은 온도가 550 ℃의 ± 10 % 이내일 때 대략 550 ℃의 온도를 갖는다. 샤워헤드 (108) 는 기판 (128) (도 1) 을 프로세싱한 직후 고온에 있다. 또한, 플라즈마 챔버 (105) 는 고온에서 진공 하에 있다.
일 실시 예에서, 회전 섹션들 (232A 내지 232D) 각각은 연장된 섹션들 (230A 내지 230D) 각각에 대해 회전하지 않는다. 예를 들어, 회전 섹션 (232A) 은 연장된 섹션 (230A) 에 대해 고정되고 회전 섹션 (232B) 은 연장된 섹션 (230B) 에 대해 고정된다.
일 실시 예에서, 암 (214A 내지 214D) 각각은 회전 섹션들 (232A 내지 232D) 을 배제한다. 오히려, 암들 (214A 내지 214D) 은 연장된 섹션들 (230A 내지 230D) 을 갖고 회전 섹션들 (232A 내지 232D) 상에 형성되는 것으로 본 명세서에 기술된 리세스부들 (recessions) 과 같은 피처들이 대신 연장된 섹션들 (230A 내지 230D) 내에 형성된다.
일 실시 예에서, 로봇 (206) 이 포드 (204A) 로부터 세정 어셈블리 (220) 를 획득하고 세정 어셈블리 (220) 를 인바운드 로드 록 (202A) 으로 이송하는 대신, 오버헤드 이송 차량이 포드 (204A) 를 인바운드 로드 록 (202A) 으로 전달한다.
일 실시 예에서, 포드 (204A) 는 작업자에 의해 수동으로 플라즈마 시스템 (200) 의 로드 포트들 중 하나에 배치된다.
일 실시 예에서, 4 개의 샤워헤드들을 세정하기 위해 복수의 세정 어셈블리들을 사용하는 대신, 하나의 세정 어셈블리가 모든 4 개의 샤워헤드들을 세정하는데 사용된다.
일 실시 예에서, 세정 어셈블리 (220) 는 리프트 핀들 (126A 내지 126C) 로부터 제거되는 대신 암들 (214A 내지 214D) 중 하나로부터 엔드 이펙터 (210B) 에 의해 제거된다.
도 3은 회전 메커니즘 (208) 을 예시하기 위한 시스템 (300) 의 일 실시 예의 도면이다. 시스템 (300) 은 호스트 컴퓨터 (102), 복수의 드라이버들 (302A, 302B, 302C, 및 302D), 드라이버 시스템 (306), 및 복수의 모터들 (304A, 304B, 304C, 304D), 및 모터 시스템 (308) 을 포함한다. 시스템 (300) 은 페데스탈들 (110A 내지 110D) 을 더 포함한다. 드라이버 시스템은 하나 이상의 드라이버들을 포함하고 모터 시스템은 하나 이상의 모터들을 포함한다.
호스트 컴퓨터 (102) 는 드라이버들 (302A 내지 302D) 및 드라이버 시스템 (306) 에 커플링된다. 더욱이, 드라이버 (302A) 는 모터 (304A) 에 커플링되고, 드라이버 (302B) 는 모터 (304B) 에 커플링되고, 드라이버 (302C) 는 모터 (304C) 에 커플링되고, 그리고 드라이버 (302D) 는 모터 (304D) 에 커플링된다. 또한, 드라이버 시스템 (306) 은 모터 시스템 (308) 에 커플링된다.
모터 (304A) 는 연결 메커니즘 (310A) 을 통해 회전 섹션 (232A) 에 커플링된다. 유사하게, 모터 (304B) 는 연결 메커니즘 (310B) 을 통해 회전 섹션 (232B) 에 커플링되고, 모터 (304C) 는 연결 메커니즘 (310C) 을 통해 회전 섹션 (232C) 에 커플링되고, 그리고 모터 (304D) 는 연결 메커니즘 (310D) 을 통해 회전 섹션 (232D) 에 커플링된다. 또한, 모터 시스템 (308) 은 연결 메커니즘 시스템 (312) 을 통해 스핀들 (212) 에 커플링된다. 연결 메커니즘 시스템 (312) 은 하나 이상의 연결 메커니즘들을 포함한다.
호스트 컴퓨터 (102) 는 수직 운동 제어 신호를 생성하고 드라이버 시스템 (306) 에 전송한다. 수직 운동 제어 신호는 위 또는 아래와 같은 스핀들 (212) 이 z-축 또는 축 (216) 을 따라 이동하는 수직 방향, 및 운동 후에 도달될 미리 설정된 위치를 포함한다. 수직 운동 제어 신호를 수신하면, 드라이버 시스템 (306) 은 모터 시스템 (308) 으로 전송되는 수직 운동 전류 신호를 생성한다. 모터 시스템 (308) 은 수직 운동 전류 신호를 수신하고 미리 설정된 위치에 도달할 때까지 수직 방향, 위 또는 아래로 스핀들 (212) 을 더 이동시키도록 연결 메커니즘 시스템 (312) 의 연결 메커니즘을 이동시키도록 회전한다. 스핀들 (212) 의 운동은 미리 설정된 위치에 도달할 때까지 동시에 수직 방향으로 암들 (214A 내지 214D) 을 이동시킨다.
수직 운동 제어 신호에 더하여 또는 대신에, 호스트 컴퓨터 (102) 는 스핀들 회전 제어 신호를 생성하고 드라이버 시스템 (306) 으로 전송한다. 스핀들 회전 제어 신호는 스핀들 (212) 이 회전하는, 시계 방향 또는 반시계 방향과 같은 각도 방향 및 회전 후에 도달될 미리 결정된 배향을 포함한다. 스핀들 회전 제어 신호의 수신에 응답하여, 드라이버 시스템 (306) 은 스핀들 회전 전류 신호를 생성하고 모터 시스템 (308) 으로 전송한다. 스핀들 회전 전류 신호에 응답하여, 모터 시스템 (308) 은 미리 결정된 배향에 도달할 때까지 축 (216) 에 대해 스핀들 (212) 을 회전시키도록 연결 메커니즘 시스템 (312) 의 연결 메커니즘을 이동시키도록 회전한다. 스핀들 (212) 의 회전은 스핀들 (212) 의 미리 결정된 배향에 도달할 때까지 동시에 축 (216) 에 대해 암들 (214A 내지 214D) 을 회전시킨다.
스핀들 회전 제어 신호를 전송하는 대신 또는 전송하는 것에 더하여, 회전 섹션들 (232A 내지 232D) 중 하나 이상은 회전 섹션들 (232A 내지 232D) 중 하나 이상을 회전시키도록 호스트 컴퓨터 (102) 에 의해 제어된다. 예를 들어, 호스트 컴퓨터 (102) 는 회전 섹션 제어 신호를 생성하고 드라이버 (302A) 로 전송한다. 회전 섹션 제어 신호는 회전 섹션 (232A) 이 암 (214A) 의 연장된 섹션 (230A) 에 대해 회전하고 회전 후에 도달될 미리 결정된 배향인, 시계 방향 또는 반시계 방향과 같은 각도 방향 θA를 포함한다. 회전 섹션 제어 신호를 수신한 것에 응답하여, 드라이버 (302A) 는 회전 섹션 전류 신호를 생성하고 모터 (304A) 로 전송한다. 회전 섹션 전류 신호에 응답하여, 모터 시스템 (304A) 은 미리 결정된 배향에 도달할 때까지 암 (214A) 에 대해 축 (234A) 을 중심으로 회전 섹션 (232A) 을 회전시키도록 연결 메커니즘 (310A) 을 이동시키도록 회전한다. 회전 섹션 (232A) 의 회전은 회전 섹션 (232A) 의 미리 결정된 배향에 도달할 때까지 축 (234A) 에 대해 회전 섹션 (232A) 의 상단 상에 배치된 세정 어셈블리를 회전시킨다. 축 (234A) 은 회전 섹션 (232A) 의 중심을 통과하고 스핀들 (212) 의 길이를 따른다.
또 다른 예로서, 호스트 컴퓨터 (102) 는 회전 섹션 제어 신호를 생성하고 드라이버 (302B) 로 전송한다. 회전 섹션 제어 신호는 회전 섹션 (232B) 이 암 (214B) 의 연장된 섹션 (230B) 에 대해 회전하고 회전 후에 도달될 미리 결정된 배향인, 시계 방향 또는 반시계 방향과 같은 각도 방향 θB를 포함한다. 회전 섹션 제어 신호를 수신한 것에 응답하여, 드라이버 (302B) 는 회전 섹션 전류 신호를 생성하고 모터 (304B) 로 전송한다. 회전 섹션 전류 신호에 응답하여, 모터 (304B) 는 미리 결정된 배향에 도달할 때까지 암 (214B) 에 대해 축 (234B) 을 중심으로 회전 섹션 (232B) 을 회전시키도록 연결 메커니즘 (310B) 을 이동시키도록 회전한다. 회전 섹션 (232B) 의 회전은 회전 섹션 (232B) 의 미리 결정된 배향에 도달할 때까지 축 (234B) 에 대해 회전 섹션 (232B) 의 상단 상에 배치된 세정 어셈블리를 회전시킨다. 축 (234B) 은 회전 섹션 (232B) 의 중심을 통과하고 스핀들 (212) 의 길이를 따른다.
또 다른 예로서, 호스트 컴퓨터 (102) 는 회전 섹션 제어 신호를 생성하고 드라이버 (302C) 로 전송한다. 회전 섹션 제어 신호는 회전 섹션 (232C) 이 암 (214C) 의 연장된 섹션 (230C) 에 대해 회전하고 회전 후에 도달될 미리 결정된 배향인, 시계 방향 또는 반시계 방향과 같은 각도 방향 θC를 포함한다. 회전 섹션 제어 신호를 수신한 것에 응답하여, 드라이버 (302C) 는 회전 섹션 전류 신호를 생성하고 모터 (304C) 로 전송한다. 회전 섹션 전류 신호에 응답하여, 모터 (304C) 는 미리 결정된 배향에 도달할 때까지 암 (214C) 에 대해 축 (234C) 을 중심으로 회전 섹션 (232C) 을 회전시키도록 연결 메커니즘 (310C) 을 이동시키도록 회전한다. 회전 섹션 (232C) 의 회전은 회전 섹션 (232C) 의 미리 결정된 배향에 도달할 때까지 축 (234C) 에 대해 회전 섹션 (232C) 의 상단 상에 배치된 세정 어셈블리를 회전시킨다. 축 (234C) 은 회전 섹션 (232C) 의 중심을 통과하고 스핀들 (212) 의 길이를 따른다.
또 다른 예로서, 호스트 컴퓨터 (102) 는 회전 섹션 제어 신호를 생성하고 드라이버 (302D) 로 전송한다. 회전 섹션 제어 신호는 회전 섹션 (232D) 이 암 (214D) 의 연장된 섹션 (230D) 에 대해 회전하고 회전 후에 도달될 미리 결정된 배향인, 시계 방향 또는 반시계 방향과 같은 각도 방향 θD를 포함한다. 회전 섹션 제어 신호를 수신하는 것에 응답하여, 드라이버 (302D) 는 회전 섹션 전류 신호를 생성하고 모터 (304D) 로 전송한다. 회전 섹션 전류 신호에 응답하여, 모터 (304D) 는 미리 결정된 배향에 도달할 때까지 암 (214D) 에 대해 축 (234D) 을 중심으로 회전 섹션 (232D) 을 회전시키도록 연결 메커니즘 (310D) 을 이동시키도록 회전한다. 회전 섹션 (232D) 의 회전은 회전 섹션 (232D) 의 미리 결정된 배향에 도달할 때까지 축 (234D) 에 대해 회전 섹션 (232D) 의 상단 상에 배치된 세정 어셈블리를 회전시킨다. 축 (234D) 은 회전 섹션 (232D) 의 중심을 통과하고 스핀들 (212) 의 길이를 따른다.
일 실시 예에서, 시스템 (300) 은 제 1 모터, 제 2 모터, 및 제 3 모터를 포함하는 3 개의 모터들을 포함한다. 제 1 모터는 스핀들 (212) 을 z-축을 따라 수직으로 상향 또는 하향으로 이동시키도록 동작한다. 제 2 모터는 축 (413) 을 중심으로 스핀들 (212) 을 회전시키도록 동작하고, 제 3 모터는 복수의 연결 메커니즘들을 통해 동시에 4 개의 암들 (214A 내지 214D) 의 회전 섹션들 (232A 내지 232D) 을 회전시키도록 동작한다. 연결 메커니즘들은 제 3 모터를 4 개의 암들 (214A 내지 214D) 에 커플링한다.
일 실시 예에서, 토크 피드백 (torque feedback) 은 제 1 모터의 토크의 변화를 검출하고, 토크의 변화는 호스트 컴퓨터 (102) 에 제공된다. 호스트 컴퓨터 (102) 는 세정 어셈블리 (220) 가 샤워헤드 (108) 와 콘택트하는지 또는 인접하는지 (abut) 여부를 토크의 변화에 기초하여 결정한다.
도 4a는 암 (404) 의 일부 상에 배치된 세정 어셈블리 (220) 를 예시하기 위한 시스템 (400) 의 일 실시 예의 도면이다. 시스템 (400) 은 암 (404) 및 세정 어셈블리 (220) 를 포함한다. 암 (404) 은 임의의 암들 (214A 내지 214D) (도 3) 의 일 예이다.
암 (404) 은 연장된 섹션 (406) 및 회전 섹션 (408) 을 포함한다. 연장된 섹션 (406) 은 연장된 섹션들 (230A 내지 230D) (도 3) 중 임의의 예이고 회전 섹션 (408) 은 회전 섹션들 (232A 내지 232D) (도 3) 중 임의의 예이다.
세정 어셈블리 (220) 는 상단 표면 (410A), 측표면 부분 (410B), 하단 표면 (410C), 및 측표면 부분 (410D) 을 갖는다. 예로서, 세정 어셈블리 (220) 는 z-방향에서 보았을 때 원형 단면을 갖고, 세정 어셈블리 (220) 는 측표면 부분들 (410B 및 410D) 을 갖는 일 원형 측표면을 갖는다.
상단 표면 (410A) 은 측표면 부분들 (410B 및 410D) 에 수직이거나 실질적으로 수직이다. 예를 들어, 상단 표면 (410A) 은 측표면 부분들 (410B 및 410D) 에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내에 있는 각도를 형성한다. 유사하게, 하단 표면 (410C) 은 측표면 부분들 (410B 및 410D) 에 수직이거나 실질적으로 수직이다. 또한, 상단 표면 (410A) 은 하단 표면 (410C) 에 평행하거나 실질적으로 평행하다.
하단 표면 (410C) 은 복수의 돌출부들 (protrusions) (412A, 412B, 및 412C) 을 포함한다. 예로서, 돌출부들 (412A 내지 412C) 은 돌출부들 (412A 내지 412C) 에 의해 하단 표면 (410C) 상에 형성된 삼각형의 꼭짓점들에 위치된다는 점에서 운동학적이다.
돌출부 (412A 내지 412C) 각각은 편평한 (flat) 부분에 대해 하향 방향으로 볼록한 형상을 형성하도록 하단 표면 (410C) 의 평면형 (planar) 부분과 같은, 편평한 부분으로부터 외측으로 연장한다. 편평한 부분은 하단 표면 (410C) 의 수평 부분 또는 실질적으로 수평 부분이다. 예로서, 하단 표면 (410C) 의 편평한 부분은 돌출부들 (412A 내지 412C) 을 제외한 하단 표면 (410C) 의 나머지 부분이다. 하단 표면 (410C) 의 수평 부분의 예는 x-축에 평행한 부분이다. 하단 표면 (410C) 의 실질적으로 수평 부분의 예는 x-축에 실질적으로 평행한 부분이다. 예시를 위해, 하단 표면 (410C) 의 실질적으로 수평 부분은 x-축에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내의 각도를 형성한다. 용어 돌출부들, 범프들, 돌기들 (projections), 험프들 (humps), 옹이 (outgrowth), 및 연장부들은 본 명세서에서 때때로 상호 교환 가능하게 사용된다.
예로서, 돌출부들 (412A 내지 412C) 은 하단 부분 (410C) 의 편평한 부분에 부착, 예컨대 접착되거나 나사 결합된다. 다른 예로서, 이하에 기술된 세정 어셈블리 (220) 의 지지 섹션은 지지 섹션의 하단 층 아래에 돌출부들 (412A 내지 412C) 을 생성하도록 몰딩 머신에서 제조된다. 또 다른 예로서, 이하에 기술된, 세정 어셈블리 (220) 의 프레스 플레이트 (press plate) 는 프레스 플레이트의 하단 층 아래에 돌출부들 (412A 내지 412C) 을 생성하도록 몰딩 머신에서 제조된다. 프레스 플레이트는 본 명세서에서 때때로 강성 (rigid) 층으로 지칭된다.
세정 어셈블리 (220) 는 리프트 핀들 (126A 내지 126C) 에 의해 지지되는 것으로 예시된다. 회전 섹션 (408) 은 샤워헤드 (108) (도 1) 를 세정하기 위해 연장된 섹션 (406) 에 대해 세정 어셈블리 (220) 를 회전시키도록 연장된 섹션 (406) 에 대해 각도 방향 θ400으로 회전한다.
회전 섹션 (408) 은 회전 섹션 (408) 의 상단 표면 (416A) 상에 복수의 리세스부들 (414A, 414B, 및 414C) 을 포함한다. 예로서, 리세스부들 (414A, 414B, 및 414C) 은 리세스부들 (414A, 414B, 및 414C) 에 의해 상단 표면 (416A) 상에 형성된 삼각형의 꼭짓점들에 위치된다.
각각의 리세스부 (414A, 414B, 및 414C) 각각은 편평한 부분에 대해 오목한 형상을 형성하도록 상단 표면 (416A) 의 편평한 부분, 예컨대, 평면형 부분으로부터 내측으로 또는 하향으로 연장한다. 상단 표면 (416A) 의 편평한 부분은 상단 표면 (416A) 의 수평 부분 또는 실질적으로 수평 부분이다. 예로서, 상단 표면 (416A) 의 편평한 부분은 리세스부들 (414A, 414B, 및 414C) 을 제외한 상단 표면 (416A) 의 나머지 부분이다. 상단 표면 (416A) 의 수평 부분의 예는 x-축에 평행한 부분이다. 상단 표면 (416A) 의 실질적으로 수평 부분의 예는 x-축에 실질적으로 평행한 부분이다. 예시를 위해, 상단 표면 (416A) 의 실질적으로 수평 부분은 x-축에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내의 각도를 형성한다. 용어 리세스부들 (recessions), 캐비티들 (cavities), 덴트들 (dents), 인덴테이션들 (indentations), 리세스들 (recesses), 크레이터들 (craters), 및 소켓들 (sockets) 은 본 명세서에서 때때로 상호 교환 가능하게 사용된다.
예로서, 리세스부들 (414A, 414B, 및 414C) 은 리세스부 (414A, 414B, 및 414C) 각각을 측표면 부분들 (410B 및 410D) 을 따라 미리 결정된 깊이로 연장하지만 측표면 부분들 (410B 및 410D) 의 전체 깊이를 따라 리세스부 (414A, 414B, 및 414C) 각각을 연장하지 않도록 상단 표면 (416A) 내로 드릴링함으로써 제조된다. 예시를 위해, 리세스부들 (414A, 414B, 및 414C) 중 어느 것도 상단 표면 (416A) 의 편평한 부분의 수평 레벨로부터 회전 섹션 (408) 의 하단 표면 (416C) 의 수평 레벨로 연장하지 않는다. 예로서, 측표면 부분들 (410B 및 410D) 의 깊이들은 z-축을 따라 측정된다.
회전 섹션 (408) 은 상단 표면 (416A), 측표면 (416B), 하단 표면 (416C), 및 측표면 (416D) 을 갖는다. 예로서, 회전-섹션 (408) 은 z-방향에서 볼 때 삼각형 단면을 갖고, 측표면 (416D) 은 삼각형 단면의 꼭짓점을 형성한다.
상단 표면 (416A) 은 측표면들 (416B 및 416D) 에 수직이거나 실질적으로 수직이다. 예를 들어, 상단 표면 (416A) 은 측표면들 (416B 및 416D) 에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내인 각도를 형성한다. 유사하게, 하단 표면 (416C) 은 측표면들 (416B 및 416D) 에 수직이거나 실질적으로 수직이다. 또한, 상단 표면 (416A) 은 하단 표면 (416C) 에 평행하거나 실질적으로 평행하다.
세정 어셈블리 (220) 가 암 (414) 의 회전 섹션 (408) 의 상단 표면 (416A) 상에 배치될 때, 돌출부 (412A) 는 리세스부 (414A) 내부에 위치되거나, 내부에서 연장하거나, 내부로 연장하거나, 리세스부 (414A) 와 매이팅하거나 (mate) 리세스부 (414A) 에 기계적으로 연결되거나 리세스부 (414A) 와 기계적으로 연결되도록 리세스부 (414A) 에 피팅된다 (fit). 유사하게, 돌출부 (412B) 는 리세스부 (414B) 에 피팅되고 돌출부 (412C) 는 리세스부 (414C) 에 피팅된다. 돌출부 (412A) 가 리세스부 (414A) 에 피팅되고, 돌출부 (412B) 가 리세스부 (414B) 에 피팅될 때, 그리고 돌출부 (412C) 가 리세스부 (414C) 에 피팅될 때, 세정 어셈블리 (220) 는 회전 섹션 (408) 에 대한 세정 어셈블리 (220) 의 실질적인 운동을 방지하기 위해 회전 섹션 (408) 의 상단부 상에 안정하게 배치된다. 예를 들어, 회전 섹션 (408) 의 상단 상에 안정하게 배치된 세정 어셈블리 (220) 의 각 운동 (angular movement) 이 없거나 최소이다. 최소 각 운동의 예는 회전 섹션 (408) 에 대해 1 내지 2 °의 각도 회전과 같은, 몇 도의 회전이 있는 것이다.
세정 어셈블리 (220) 가 샤워헤드 (108) 와 콘택트하거나 샤워헤드 (108) 에 근접할 때, 스핀들 (212) 은 축 (216) (도 3) 을 중심으로 회전하거나 회전 섹션 (408) 은 회전 섹션 (408) 의 중심을 통과하는 축 (413) 을 중심으로 회전하거나 스핀들 (212) 및 회전 섹션 (408) 모두 각각의 축들 (216 및 413) 을 중심으로 회전한다. 스핀들 (212) 또는 회전 섹션 (408) 또는 모두가 회전할 때, 세정 어셈블리 (410A) 는 샤워헤드 (108) 의 하단 표면을 세정한다.
일 실시 예에서, 세정 어셈블리 (220) 대신에, z-방향 볼 때 다각형 단면, 또는 직사각형 단면 또는 정사각형 단면 또는 삼각형 단면 또는 타원형 단면을 갖는 또 다른 세정 어셈블리가 사용된다.
일 실시 예에서, 도 4a에 예시된 것 이외의 임의의 수, 예컨대 4 개 또는 5 개 또는 7 개의 돌출부들이 세정 어셈블리 (220) 의 하단 표면 (410C) 아래에 형성된다. 예를 들어, 하단 표면 (410C) 아래에 형성된 돌출부들의 수는 홀수이다.
일 실시 예에서, 리프트 핀들 (126A 내지 126C) 대신, 돌과 같은 또 다른 타입의 MCA (minimum contact area) 지지부들이 세정 어셈블리 (220) 를 지지하도록 사용된다.
일 실시 예에서, 세정 어셈블리 (220) 를 지지하기 위한 리프트 핀들 (126A 내지 126C) 에 대한 필요가 없다. 예를 들어, 리프트 핀들 (126A 내지 126C) 은 세정 어셈블리 (220) 의 하단 표면 (410C) 과 접촉하지 (touch) 않게 하강되도록 호스트 컴퓨터 (102) (도 1) 에 의해 제어된다. 세정 어셈블리 (220) 는 회전 섹션 (408) 의 상단 표면 (416A) 에 의해 지지된다.
도 4b는 암 (404) (도 4a) 의 회전 섹션 (408) 및 연장된 섹션 (406) 의 평면도의 일 실시 예의 도면이다. 리세스부들 (414A 내지 414C) 은 리세스부들 (414A 내지 41C) 에 의해 형성된 삼각형 (430) 의 꼭짓점들에 있다. 삼각형 (430) 은 리프트 핀들 (126A 내지 126C) 에 의해 형성된 또 다른 삼각형 (432) 의 면적보다 낮은 면적을 갖는다. 예를 들어, 리세스부들 (414A 내지 414C) 은 원형 영역 (434) 에 의해 예시된 디스크 상에 형성되고, 디스크는 회전 섹션 (408) 의 상단 표면 (416A) (도 4a) 의 일부가 되도록 회전 섹션 (408) 의 일부에 부착된다. 또 다른 예로서, 리프트 핀들 (126A 내지 126C) 중 임의의 2 개의 인접한 리프트 핀들 사이의 거리는 리세스부들 (414A 내지 414C) 중 임의의 2 개의 인접한 리프트 핀들 사이의 거리보다 크다. 예시를 위해, 리프트 핀들 (126A 및 126B) 사이의 거리는 리세스부들 (414A 및 414B) 사이의 거리보다 크다.
도 4c는 세정 어셈블리 (450) 의 일 실시 예의 도면이다. 세정 어셈블리 (450) 는 세정 어셈블리 (220) (도 4b) 또는 세정 어셈블리 (222) 의 일 예이다. 세정 어셈블리 (450) 는 지지 섹션 (452), 프레스 플레이트 (454), 및 세정 층 (456) 을 포함한다. 예로서, 본 명세서에 기술된 프레스 플레이트는 플라즈마 챔버 (105) 의 중간 온도 또는 고온에 노출될 때 프레스 플레이트의 열 팽창을 허용하는 복수의 슬롯들을 갖는다.
프레스 플레이트 (454) 는 세정 층 (456) 과 비교하여 보다 강성이다. 예를 들어, 프레스 플레이트 (454) 는 덜 구부러질 (less bendable) 수 있고 세정 층 (456) 보다 덜 가요성이다.
세정 어셈블리 (450) 는 복수의 스프링 메커니즘들 (458A, 458B, 458C, 458D, 및 458E) 을 더 포함한다. 본 명세서에 기술된 세정 어셈블리 (450) 의 스프링 메커니즘들 (458A 내지 458E) 또는 임의의 다른 세정 어셈블리의 스프링 메커니즘들은 때때로 본 명세서에서 압축 인터페이스로서 지칭된다. 스프링 메커니즘들 (458A 내지 458E) 은 세정 어셈블리 (450) 가 수직 방향으로 상향으로 이동되고 세정 어셈블리 (450) 의 세정 층 (456) 의 필라들 (pillars) 이 샤워헤드 (108) 와 콘택트할 때 압축된다. 다른 한편으로, 스프링 메커니즘들 (458A 내지 458E) 은 세정 어셈블리 (450) 가 수직 방향으로 하향으로 이동되고 세정 층 (456) 의 필라들이 샤워헤드 (108) 와 콘택트하지 않을 때 압축 해제된다 (decompress).
스프링 메커니즘의 예는 하나 이상의 금속 스프링들 및 하나 이상의 금속 스프링들 각각의 단부들에 커플링된 금속 플레이트들을 포함한다. 예를 들어, 스프링의 제 1 단부는 제 1 플레이트에 피팅되거나 부착되고 스프링의 제 2 단부는 제 2 플레이트에 부착된다. 예시를 위해, 제 1 플레이트는 스프링의 제 1 단부가 스프링을 제 1 플레이트에 피팅하도록 연장하는 개구부를 갖는 금속 슬롯을 갖고 제 2 플레이트는 스프링의 제 2 단부가 스프링을 제 2 플레이트에 피팅하도록 연장하는 개구부를 갖는 금속 슬롯을 갖는다. 금속 스프링의 예는 스테인리스 웨이브 스프링을 포함한다.
금속 스프링들은 암 (404) (도 4a) 이 샤워헤드 (108) 의 하단 표면과 콘택트하도록 본 명세서에 기술된 세정 어셈블리의 세정 층을 수직으로 리프팅할 때 샤워헤드 (108) 의 하단 표면에 쿠션을 제공한다. 예를 들어, 금속 스프링들은 세정 어셈블리가 하단 표면과 콘택트하도록 수직으로 상향 이동될 때 샤워헤드 (108) 의 하단 표면 상에 인가되는 힘과 같은 충격을 약화시킨다 (soften). 유사하게, 샤워헤드 (108) 가 세정 어셈블리와 콘택트하도록 수직으로 하향 이동될 때, 금속 스프링들은 세정 어셈블리에 대한 샤워헤드 (108) 의 충격을 약화시킨다. 금속 스프링 각각은 충격을 약화시키는 각각의 스프링 상수 (spring constant) k를 갖는다. 또한, 금속 스프링 각각은 세정 어셈블리의 세정 층으로 하여금 샤워헤드 (108) 의 하단 표면과 동일 평면 상 (coplanar) 이게 한다.
지지 섹션 (452) 은 세라믹 또는 금속으로 제조된다. 세라믹의 예는 알루미나이다. 더욱이, 프레스 플레이트 (454) 는 나일론 또는 합성 섬유 또는 세라믹 또는 알루미나와 같은 재료로 제조된다. 세정 층 (456) 은 폴리디메틸실록산 (polydimethylsiloxane; PDMS), 또는 폴리메틸 메타크릴레이트 (polymethyl methacrylate; PMMA), 폴리이미드, 퍼플루오로엘라스토머들 (Perfluoroelastomers; FFKM), 또는 이들의 조합과 같은 세정 재료로부터 제조된다. 예를 들어, 본 명세서에 기술된, 필라들을 갖는 세정 층 (456) 또는 임의의 다른 세정 층은 무접착성 택 (adhesive-less tack) 을 위해 복수의 필라들을 갖는 폴리이미드 시트를 에칭함으로써 제조된다. 폴리이미드는 이미드 모노머들의 폴리머이다. 폴리이미드의 예시는 Kapton™이다. 또 다른 예로서, 본 명세서에 기술된, 필라들을 갖는 세정 층 (456) 또는 임의의 다른 세정 층은 나노임프린트 리소그래피 (nanoimprint lithography) 를 사용하여 제조된다. 나노임프린트 리소그래피는 나노미터 스케일의 필라들을 제조하기 위한 방법이다. 나노임프린트 리소그래피에서, 세정 재료는 임프린팅 동안 열 또는 UV 광에 의해 경화된다. 세정 재료와 템플릿 사이의 접착은 적절한 방출을 허용하도록 제어된다. 또 다른 예로서, 샤워헤드 (108) 의 무접착 세정을 제공하기 위해, 본 명세서에 기술된 임의의 다른 세정 층 또는 세정 층 (456) 의 상단 표면 상에 접착제가 없다. 무접착성 세정 층은 접착성 오염 물질들이 샤워헤드 (108) 상에 남아 있을 가능성들 (chances) 을 감소시킨다.
지지 섹션 (452) 은 인클로저 내부에 중공 부분이 없는 중실형 (solid) 일 수 있거나 중공형 부분을 인케이싱하는 (encase) 인클로저일 수 있다. 지지 섹션 (452) 은 상단 표면 (462A), 측표면 부분 (462B), 하단 표면 (462C), 및 측표면 부분 (462D) 을 갖는다. 예로서, z-방향에서 볼 때, 지지 섹션 (452) 이 원형 단면을 가질 때, 지지 섹션 (452) 은 측표면 부분들 (462B 및 462D) 을 갖는 하나의 원형 측표면을 갖는다. 때때로, 상단 표면은 본 명세서에서 상단 측면 또는 상부 측면으로 지칭된다. 또한, 때때로, 하단 표면은 본 명세서에서 하단 측면 또는 하단 측면으로서 지칭된다. 하단 표면 (462C) 은 세정 어셈블리 (220) (도 4a) 의 하단 표면 (410C) (도 4a) 의 일 예이다.
상단 표면 (462A) 은 측표면 부분들 (462B 및 462D) 에 수직이거나 실질적으로 수직이다. 예를 들어, 상단 표면 (462A) 은 측표면 부분들 (462B 및 462D) 에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내에 있는 각도를 형성한다. 유사하게, 하단 표면 (462C) 은 측표면 부분들 (462B 및 462D) 에 수직이거나 실질적으로 수직이다. 또한, 상단 표면 (462A) 은 하단 표면 (462C) 에 평행하거나 실질적으로 평행하다.
하단 표면 (462C) 은 돌출부들 (412A, 412B, 및 412C) 을 포함한다. 또 다른 예로서, 돌출부들 (412A 내지 412C) 은 지지 섹션 (452) 을 제조하기 위해 사용되는 몰딩 머신을 사용하여 형성된다. 또 다른 예로서, 돌출부들은 하단 표면 (462C) 의 편평한 부분에 부착, 예컨대 나사 결합되거나 화학적으로 결합된다. 하단 표면 (462C) 의 편평한 부분은 x-축에 평행하다.
복수의 슬롯들 (460A, 460B, 460C, 460D, 및 460E) 이 상단 표면 (462A) 상에 형성된다. 예를 들어, 슬롯들 (460A, 460B, 460C, 460D, 및 460E) 은 측표면 부분들 (462B 및 462D) 의 전체 깊이를 따라 슬롯 (458A, 458B, 458C, 458D, 및 458E) 각각을 연장하지 않고 슬롯들 (460A, 460B, 460C, 460D, 및 460E) 각각을 측표면 부분들 (462B 및 462D) 을 따라 미리 설정된 깊이로 연장하도록 상단 표면 (462A) 내에서 드릴링된다. 예시를 위해, 슬롯들 (460A, 460B, 460C, 460D, 및 460E) 중 어느 것도 상단 표면 (462A) 의 편평한 부분의 수평 레벨로부터 지지 섹션 (452) 의 하단 표면 (462C) 의 수평 레벨로 연장하지 않는다.
스프링 메커니즘 (458A 내지 458E) 각각은 스프링 메커니즘의 일부가 슬롯 내에서 연장하고 나머지 부분이 슬롯 외부로 연장하는 방식으로 슬롯들 (460A 내지 460E) 각각에 피팅된다. 예를 들어, 스프링 메커니즘 (458A) 은 스프링 메커니즘 (458A) 의 제 1 플레이트를 슬롯 (460A) 에 부착함으로써 슬롯 (460A) 에 피팅된다. 스프링 메커니즘 (458A) 의 일부는 상단 표면 (462A) 으로부터 슬롯 (458A) 외부로 연장한다. 유사하게, 스프링 메커니즘 (458B) 은 슬롯 (460B) 에 피팅되고, 스프링 메커니즘 (458C) 은 슬롯 (460C) 에 피팅되고, 스프링 메커니즘 (458D) 은 슬롯 (460D) 에 피팅되고, 스프링 메커니즘 (458E) 은 슬롯 (460E) 에 피팅된다.
프레스 플레이트 (454) 는 상단 표면 (464A), 측표면 부분 (464B), 하단 표면 (464C), 및 측표면 부분 (464D) 을 갖는다. 예로서, z-방향에서 볼 때, 프레스 플레이트 (454) 가 원형 단면을 가질 때, 프레스 플레이트 (454) 는 측표면 부분들 (464B 및 464D) 을 갖는 일 원형 측표면을 갖는다.
상단 표면 (464A) 은 측표면 부분들 (464B 및 464D) 에 수직이거나 실질적으로 수직이다. 예를 들어, 상단 표면 (464A) 은 측표면 부분들 (464B 및 464D) 에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내인 각도를 형성한다. 유사하게, 하단 표면 (464C) 은 측표면 부분들 (464B 및 464D) 에 수직이거나 실질적으로 수직이다. 또한, 상단 표면 (464A) 은 하단 표면 (464C) 에 평행하거나 실질적으로 평행하다.
프레스 플레이트 (454) 는 복수의 연장 부분들 (465A 및 465B) 을 갖는다. 예로서, z-방향에서 볼 때, 프레스 플레이트 (454) 가 원형 단면을 가질 때, 프레스 플레이트 (454) 는 연장 부분들 (465A 및 465B) 을 갖는 일 연장부를 갖고, 연장부는 z-방향에서 볼 때 원형 단면을 갖는다. 연장 부분 (465A) 은 측표면 부분 (464A) 으로부터 z-축을 따라 연장하고 그리고 연장 부분 (465B) 은 측표면 부분 (464B) 으로부터, z-축을 따라 연장한다. 예로서, 연장 부분 (465A) 은 하단 표면 (464C) 으로부터 지지 섹션 (452) 의 측표면 부분 (462D) 의 높이의 부분으로 연장하고 그리고 연장 부분 (465B) 은 하단 표면 (464C) 으로부터 지지 섹션 (452) 의 측표면 부분 (462B)의 높이의 부분으로 연장한다.
프레스 플레이트 (454) 의 하단 표면 (464C) 은 지지 섹션 (452) 의 상단 표면 (462A) 과 대면하고 위에 위치된다. 또한, 스프링 메커니즘 (458A 내지 458E) 각각은 하단 표면 (464C) 에 피팅된다. 예를 들어, 스프링 메커니즘 (458A) 은 스프링 메커니즘 (458A) 의 제 2 플레이트를 하단 표면 (464C) 에 부착함으로써 하단 표면 (464C) 에 피팅된다. 유사하게, 스프링 메커니즘들 (458B 내지 458D) 은 프레스 플레이트 (454) 의 하단 표면 (464C) 에 피팅된다.
세정 층 (456) 은 프레스 플레이트 (454) 위 (over) 또는 위 (above) 에 위치된다. 예를 들어, 세정 층 (456) 은 프레스 플레이트 (454) 의 상단 표면 (464A) 에 부착된다. 예시를 위해, 프레스 플레이트 (454) 는 복수의 홀들을 포함하고 세정 층 (456) 은 프레스 플레이트 (454) 를 세정 층 (456) 에 부착하기 위해 홀들 내로 연장하는, 돌출부들 또는 노브들 (knobs) 과 같은 복수의 연결 피처들을 갖는다. 연결 피처 각각은 대응하는 홀의 폭보다 큰 직경을 갖는다. 연결 피처는 대응하는 홀을 통과할 때, 직경이 홀의 폭보다 작게 감소시키도록 압축된다. 연결 피처의 직경 및 홀의 폭 각각은 x-축을 따라 측정된다. 홀을 통과한 후 연결 피처는 원래 직경으로 확장된다. 이 예시에서, 연결 피처들은 몰딩 머신을 사용하여 세정 층 (456) 내로 몰딩되고 연결 피처 각각은 프레스 플레이트 (454) 의 대응하는 홀을 통과한다. 세정 층 (456) 을 프레스 플레이트 (454) 에 부착하기 위해 프레스 플레이트 (454) 와 세정 층 (456) 사이에 접착제가 없다. 또 다른 예로서, 접착제가 세정 층 (456) 을 프레스 플레이트 (454) 에 부착하도록 사용된다.
세정 층 (456) 은 상단 표면 (466A), 측표면 부분 (466B), 하단 표면 (466C), 및 측표면 부분 (466D) 을 갖는다. 예로서, z-방향에서 볼 때, 세정 층 (456) 이 원형 단면을 가질 때, 세정 층 (456) 은 측표면 부분들 (466B 및 466D) 을 갖는 일 원형 측표면을 갖는다.
상단 표면 (466A) 은 측표면 부분들 (466B 및 466D) 에 수직이거나 실질적으로 수직이다. 예를 들어, 상단 표면 (466A) 은 측표면 부분들 (466B 및 466D) 에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내에 있는 각도를 형성한다. 유사하게, 하단 표면 (466C) 은 측표면 부분들 (466B 및 466D) 에 수직이거나 실질적으로 수직이다. 또한, 상단 표면 (466A) 은 하단 표면 (466C) 에 평행하거나 실질적으로 평행하다. 상단 표면 (466A) 은 상단 표면 (466A) 의 필라 (468F) 및 필라 (468G) 와 같은, 복수의 필라들을 제조하도록 패터닝된다. 필라들은 필라들을 갖지 않고 인덴테이션들이 없이 편평한 세정 층의 콘택트 표면적과 비교하여 세정 층 (456) 과 샤워헤드 (108) 사이의 콘택트 표면적을 감소시킨다. 이 감소된 표면적은 샤워헤드 (108) 를 세정한 후 또는 샤워헤드 (108) 의 세정 동안 샤워헤드 (108) 로부터 세정 층 (456) 을 분리하기 위한 힘을 감소시킨다. 2 개의 인접한 필라들 사이의 거리는 상단 표면 (466A) 상에 인덴테이션 또는 편평한 층 (1102) 을 생성한다. 편평한 층 (1102) 은 때때로 샤워헤드 (108) 의 하단 표면과 콘택트하지 않는 하부 비콘택트 표면으로서 본 명세서에서 지칭된다. 필라들은 하단 표면을 세정하기 위해 샤워헤드 (108) 의 하단 표면과 콘택트하는, 필라 (468F) 의 상부 표면 (527F) (도 5a) 및 필라 (468G) 의 또 다른 상부 표면 (527G) (도 5a) 과 같은 상부 표면들을 갖는다. 필라들의 상부 표면들은 편평한 층 (1102) 의 수평 레벨과 비교하여, x-축을 따라 보다 높은 수평 레벨에 위치된다.
필라들이 형성될 때, 상단 표면 (466A) 은 2 개의 레벨들 (470A 및 470B) 로 분할되고, 각각은 수평 평면 또는 실질적으로 수평 평면에 놓인다. 실질적으로 수평 평면의 예는 수평 평면에 대한 응답으로 ± 5 °와 같은 미리 결정된 각도를 형성하는 평면이다. 레벨 (470A) 은 필라들의 상단 표면들이 위치되거나 상단 표면 (466A) 의 모든 필라들 중 가장 높은 필라의 상단 표면이 위치되는 레벨이다. 레벨 (470B) 은 상단 표면 (466A) 의 필라들이 방출되거나 (emanate) 발생하는 상단 표면 (466A) 의 레벨이다. 레벨 (470B) 은 편평한 층 (1102) 의 레벨이다.
지지 섹션 (452) 의 하단 표면 (462C) 과 레벨 (470A) 사이의 거리는 d이고, 6 ㎜ (밀리미터) 내지 14 ㎜의 범위이다. 예를 들어, 거리 d는 6 ㎜ 내지 12 ㎜의 범위이다. 또 다른 예로서, 거리 d는 6 ㎜ 내지 8 ㎜의 범위이다. 또 다른 예로서, 거리 d는 8 ㎜이다.
일 실시 예에서, 세정 어셈블리 (450) 는 임의의 다른 수, 예컨대 3, 4, 또는 6, 또는 7 개의 스프링 메커니즘을 포함한다. 예를 들어, 세정 어셈블리 (450) 는 홀수 개의 스프링 메커니즘들을 포함한다. 예시를 위해, 3 개의 스프링 메커니즘들이 사용되고 스프링 메커니즘 각각은 스프링 메커니즘들에 의해 형성된 삼각형의 꼭짓점에 위치된다. 삼각형은 상단 표면 (462A) 상에 형성된다.
일 실시 예에서, 필라들 대신에, 마이크로필라들이 세정 어셈블리에 사용된다.
도 4d는 스프링 메커니즘들 (458A 내지 458E) 의 위치들을 예시하기 위한 지지 섹션 (452) 의 상단 표면 (462A) 의 평면도이다. 스프링 메커니즘들 (458A 내지 458E) 은 스프링 메커니즘들 (458A 내지 458E) 에 의해 형성되는 오각형의 꼭짓점들에 위치된다. 유사하게, 스프링 메커니즘들 (458A 내지 458E) 을 수용하는 슬롯들 (460A 내지 460E) 은 슬롯들 (460A 내지 460E) 에 의해 형성되는 오각형의 꼭짓점들에 위치된다.
도 5a는 세정 어셈블리 (450) 의 일 실시 예의 상세도이다. 프레스 플레이트 (454) 의 하단 표면 (464C) 과 지지 섹션 (452) 의 상단 표면 (462A) 사이의 거리는 d1이다. 프레스 플레이트 (454) 의 연장 부분 (465A) 은 내측 표면 (506A) 및 외측 표면 (506B) 을 갖는다. 연장 부분 (465A) 의 내측 표면 (506A) 의 일부는 지지 섹션 (462) 의 측표면 부분 (462D) 과 대면한다.
슬롯 (504) 이 내측 표면 (506A) 내에 형성되지만 내측 표면 (506A) 의 전체 폭을 통해 연장하지 않는다. 예로서, 슬롯 (504) 은 x-축을 따른 방향인, x-방향으로 직사각형 단면을 갖는다. 또 다른 예로서, 슬롯 (504) 은 내측 표면 (506A) 내로 드릴링된다. 슬롯 (504) 의 깊이는 프레스 플레이트 (454) 의 연장 부분 (465A) 의 폭보다 작다. 예로서, 연장 부분 (465A) 의 폭 및 슬롯 (504) 의 깊이는 x-축을 따라 측정된다. 슬롯 (504) 의 길이는 d2이다. 예를 들어, 슬롯 (504) 의 상단 표면 (512A) 과 하단 표면 (512B) 사이의 거리는 d2이다. 슬롯 (504) 의 길이는 z-축을 따른다.
슬롯 (504) 은 상단 표면 (512A), 중간 표면 (514), 및 하단 표면 (512B) 을 갖는다. 상단 표면 (512A) 은 하단 표면 (512B) 과 대면하고, 상단 표면 및 하단 표면 (512A 및 512B) 은 x-축에 평행하거나 실질적으로 평행하다. 예를 들어, 상단 표면 및 하단 표면 (512A 및 512B) 각각은 x-축으로부터 미리 결정된 각도, 예를 들어, ± 5 °를 형성한다. 중간 표면 (514) 은 상단 표면 (512A) 으로부터 하단 표면 (512B) 으로 연장한다.
돌출부 (508) 는 지지 섹션 (452) 의 측표면 부분 (462D) 의 편평한 부분으로부터 연장하도록 제조된다. 예로서, 돌출부 (508) 는 지지 섹션 (452) 을 제조하도록 사용되는 몰딩 머신을 사용하여 형성된다. 또 다른 예로서, 돌출부 (508) 는 측표면 부분 (462D) 의 편평한 부분에 부착, 예컨대 나사 결합되거나 화학적으로 결합된다. 측표면 부분 (462D) 의 편평한 부분은 z-축에 평행하거나 실질적으로 평행하다. 예를 들어, 측표면 부분 (462D) 의 편평한 부분은 z-축으로부터 미리 결정된 각도, 예를 들어, ± 5 °를 형성한다.
또한, 슬롯 (502A) 및 슬롯 (502B) 과 같은 복수의 슬롯들이 프레스 플레이트 (454) 의 하단 표면 (464C) 내에 형성된다. 예로서, 슬롯들은 하단 표면 (464C) 내로 드릴링된다. 하단 표면 (464C) 내의 슬롯들 (502A 및 502B) 은 프레스 플레이트 (454) 의 측표면 부분 (464D) 의 높이를 따라 연장하지만 측표면 부분 (464D) 의 전체 높이를 따라 연장하지 않는다. 스프링 메커니즘들 (458C 내지 458E) 을 수용하도록 하단 표면 (464C) 내에 형성된 나머지 3 개의 슬롯들은 도 5a에 도시되지 않는다.
스프링 메커니즘 (458A) 은 슬롯 (502A) 의 상단 표면 (508A) 에 부착되도록 슬롯 (502A) 내로 그리고 슬롯 (460A) 의 하단 표면 (510A) 에 연결되도록 슬롯 (460A) 내로 연장한다. 유사하게, 스프링 메커니즘 (458B) 은 슬롯 (502B) 의 상단 표면 (508B) 에 부착되도록 슬롯 (502B) 내로 그리고 슬롯 (460B) 의 하단 표면 (510B) 에 연결되도록 슬롯 (460B) 내로 연장한다.
스프링 메커니즘 (458A) 의 일부는 슬롯 (502A) 내에 있고, 스프링 메커니즘 (458A) 의 또 다른 부분은 슬롯들 (502A 및 460A) 외부에 그리고 프레스 플레이트 (454) 의 하단 표면 (464C) 과 지지 섹션 (452) 의 상단 표면 (462A) 사이에 있고, 그리고 스프링 메커니즘 (458A) 의 나머지 부분은 슬롯 (460A) 내에서 연장한다. 유사하게, 스프링 메커니즘 (458B) 의 일부는 슬롯 (502B) 내에 있고, 스프링 메커니즘 (458B) 의 또 다른 부분은 슬롯들 (502B 및 460B) 외부에 그리고 하단 표면 (464C) 과 상단 표면 (462A) 사이에 있고, 그리고 스프링 메커니즘 (458B) 의 나머지 부분은 슬롯 (460B) 내에서 연장한다. 슬롯들 (460A 내지 460E) 은 스프링 메커니즘들 (458A 내지 458E) 의 파손 가능성을 감소시키고 유사하게 슬롯들, 예컨대 하단 표면 (464C) 내에 형성된 슬롯들 (502A 및 502B) 은 파손 가능성을 감소시킨다.
거리 d2는 스프링 메커니즘들 (458A 내지 458E) 의 수명을 연장하기 위해 지지 섹션 (452) 의 상단 표면 (462A) 의 강성 부재 (454) 의 하단 표면 (464C) 과의 콘택트 가능성들을 감소시키도록 거리 d1보다 작다. 예를 들어, 세정 어셈블리 (450) 가 샤워헤드 (108) (도 1) 를 세정하기 위해 샤워헤드 (108) 에 근접하거나 콘택트하도록 리프팅될 때, 스프링 메커니즘들 (458A 내지 458E) 의 스프링들은 압축되고 돌출부 (508) 가 슬롯 (504) 의 상단 표면 (512A) 과 콘택트할 때까지 z-방향에서, 돌출부 (508) 는 위로 이동한다. 이는 스프링 메커니즘들 (458A 내지 458E) 의 수명을 연장하도록 스프링 메커니즘들 (458A 내지 458E) 의 최대 압축을 방지한다.
일 실시 예에서, 세정 어셈블리 (450) 는 지지 섹션 (452) 의 하단 표면 (462A) 내에 형성된 슬롯들 (460A 내지 460E) 을 배제하고 프레스 플레이트 (454) 의 하단 표면 (464C) 내에 형성된 슬롯들, 예컨대 슬롯들 (502A 및 502B) 을 배제한다. 게다가, 세정 어셈블리 (450) 는 연장 부분들 (465A 및 465B) 을 배제한다. 스프링 메커니즘들 (458A 내지 458E) 은 지지 섹션 (452) 의 상단 표면 (452A) 및 프레스 플레이트 (454) 의 하단 표면 (464C) 에 부착된다. 또한, 지지 섹션 (452) 은 돌출부 (508) 를 배제한다.
도 5b는 또 다른 세정 어셈블리 (520) 의 일 실시 예의 상세도이다. 세정 어셈블리 (520) 는 세정 어셈블리 (502) 가 세정 층 (456) 과 프레스 플레이트 (454) 사이에 압축 층 (compressible layer) (522) 을 포함하는 것을 제외하고 세정 어셈블리 (450) (도 5a) 와 동일하다. 압축 층 (522) 의 예들은 플라즈마 챔버 (105) (도 2) 내에서 생성된 열을 흡수하도록 세라믹 또는 또 다른 열 흡수 재료로부터 제조된 층을 포함한다.
압축 층 (522) 은 본 명세서에서 때때로 쿠션 층 (cushioning layer) 으로 지칭된다. 압축 층 (522) 은 세정 층 (456) 이 하단 표면과 콘택트하도록 세정 어셈블리 (520) 가 샤워헤드 (108) 의 하단 표면 상으로 또는 하단 표면에 대고 (against) 가압될 때 부가적인 압축 흡수도 (degree of compression absorption) 를 제공하도록 압축된다. 부가적인 압축 흡수도는 세정 어셈블리 (520) 의 세정 층 (456) 이 샤워헤드 (108) 의 하단 표면과 콘택트할 때 스프링 메커니즘들 (458A 내지 458E) (도 4c) 의 스프링들의 압축에 의해 제공되는 압축 흡수량에 부가 된다.
압축 층 (522) 은 상단 표면 (524A), 측표면 부분 (미도시), 하단 표면 (524C), 및 또 다른 측표면 부분 (524D) 을 갖는다. 예로서, 압축 층 (522) 은 z-방향에서 볼 때 원형 단면을 갖고, 압축 층 (522) 은 측표면 부분 (524D) 을 포함하는 측표면 부분들을 갖는 일 원형 측표면을 갖는다.
압축 층 (522) 의 상단 표면 (524A) 은 세정 층 (456) 의 하단 표면 (466C) 에 부착, 예컨대 접착 또는 화학적으로 결합된다. 또한, 압축 층 (522) 의 하단 표면 (524C) 은 프레스 플레이트 (454) 의 상단 표면 (464A) 에 부착되거나, 예컨대 접착 또는 화학적으로 결합된다.
도 5c는 세정 어셈블리 (550) 의 일 실시 예의 도면이다. 세정 어셈블리 (550) 는 세정 어셈블리 (550) 가 지지 섹션 (452) 내 연장 부분들 (465A 및 465B) (도 4c), 슬롯들 (460A 내지 460E) (도 4c) 및 돌출부 (508) (도 5a), 및 슬롯들, 예컨대, 프레스 플레이트 (454) 내 슬롯들 (502A 및 502B) (도 5a) 을 제외하는 것을 제외하고, 세정 어셈블리 (450) (도 4c) 와 구조가 동일하다.
세정 어셈블리 (550) 는 지지 섹션 (552) 및 프레스 플레이트 (554) 를 포함한다. 지지 섹션 (552) 은 지지 섹션 (552) 이 슬롯들 (460A 내지 460E) 및 돌출부 (508) 를 포함하지 않는 것을 제외하고 지지 섹션 (452) (도 4c) 과 동일한 구조를 갖는다. 지지 섹션 (452) 은 지지 섹션 (452) 의 상단 표면 (462A) 대신 편평-상단 표면 (556) 을 갖는다.
복수의 플레이트들 (558A, 558B, 558C, 558D, 및 558E) 은 상단 표면 (556) 에 부착, 예컨대 나사 결합되거나, 화학적으로 결합되거나 접착된다. 본 명세서에 기술된 바와 같이, 플레이트 각각은 알루미늄과 같은 금속으로 이루어진다. 스프링 메커니즘들 (458A 내지 458E) 의 스프링들은 플레이트들 (558A 내지 558E) 에 부착된다. 예를 들어, 플레이트 (558A 내지 558E) 각각은 스프링 메커니즘들 (458A 내지 458E) 의 스프링들 각각의 스프링이 시계 방향 또는 반시계 방향 움직임을 통해 연장하는 홈을 포함한다. 또 다른 예로서, 스프링 메커니즘 (458A) 의 스프링은 플레이트 (558A) 에 부착되고, 스프링 메커니즘 (458B) 의 스프링은 플레이트 (558B) 에 부착되고, 스프링 메커니즘 (458C) 의 스프링은 플레이트 (558C) 에 부착되고, 스프링 메커니즘 (458D) 의 스프링은 플레이트 (558D) 에 부착되고, 스프링 메커니즘 (458E) 의 스프링은 플레이트 (558E) 에 부착된다.
프레스 플레이트 (554) 는 프레스 플레이트 (554) 가 프레스 플레이트 (454) 의 하단 표면 (464C) (도 4c) 내에 형성된 슬롯들 (502A 내지 502B) (도 5a) 과 같은 슬롯들을 포함하지 않고 연장 부분들 (465A 및 465B) 을 포함하지 않는 것을 제외하고, 프레스 플레이트 (454) (도 4c) 와 동일한 구조를 갖는다. 프레스 플레이트 (554) 는 프레스 플레이트 (454) 의 하단 표면 (464C) 대신 하단 표면 (560) 을 갖는다.
복수의 플레이트들 (562A, 562B, 562C, 562D, 및 562E) 은 하단 표면 (560) 에 부착, 예컨대 나사 결합되거나, 화학적으로 결합되거나 접착된다. 스프링 메커니즘들 (458A 내지 458E) 의 스프링들은 플레이트들 (562A 내지 562E) 에 부착된다. 예를 들어, 플레이트 (562A 내지 562E) 각각은 스프링 메커니즘들 (458A 내지 458E) 의 스프링들 각각의 스프링이 시계 방향 또는 반시계 방향 움직임을 통해 연장하는 홈을 포함한다. 또 다른 예로서, 스프링 메커니즘 (458A) 의 스프링은 플레이트 (562A) 에 부착되고, 스프링 메커니즘 (458B) 의 스프링은 플레이트 (562B) 에 부착되고, 스프링 메커니즘 (458C) 의 스프링은 플레이트 (562C) 에 부착되고, 스프링 메커니즘 (458D) 의 스프링은 플레이트 (562D) 에 부착되고, 스프링 메커니즘 (458E) 의 스프링은 플레이트 (562E) 에 부착된다.
도 6a는 세정 어셈블리 (220) 의 하강된 위치를 예시하기 위한 시스템 (600) 의 일 실시 예의 도면이다. 하강된 위치는 본 명세서에서 때때로 철수된 위치로 지칭된다.
시스템 (600) 은 페데스탈 (110A) 을 포함한다. 페데스탈 (110A) 은 상단 표면 (602A), 측표면 부분 (602B), 하단 표면 (602C), 및 또 다른 측표면 부분 (602D) 을 갖는다. 예로서, 페데스탈 (110A) 은 z-방향에서 볼 때 원형 단면을 갖고, 페데스탈 (110A) 은 측표면 부분들 (602B 및 602D) 을 갖는 일 원형 측표면을 갖는다.
상단 표면 (602A) 은 측표면 부분들 (602B 및 602D) 에 수직이거나 실질적으로 수직이다. 예를 들어, 상단 표면 (602A) 은 측표면 부분들 (602B 및 602D) 에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내인 각도를 형성한다. 유사하게, 하단 표면 (602C) 은 측표면 부분들 (602B 및 602D) 에 수직이거나 실질적으로 수직이다. 또한, 상단 표면 (602A) 은 하단 표면 (602C) 에 평행하거나 실질적으로 평행하다.
유사하게, 샤워헤드 (108) 는 상단 표면 (604A), 측표면 부분 (604B), 하단 표면 (604C), 및 또 다른 측표면 부분 (604D) 을 갖는다. 예로서, 샤워헤드 (108) 는 z-방향에서 볼 때 원형 단면을 갖고, 샤워헤드 (108) 는 측표면 부분들 (604B 및 604D) 을 갖는 일 원형 측표면을 갖는다. 예로서, 하단 표면 (604C) 은 샤워헤드 (108) 의 대면 플레이트이다. 예시를 위해, 대면 플레이트는 샤워헤드 (108) 와 페데스탈 (110A) (도 1) 사이의 갭 내로 하나 이상의 프로세스 가스들의 통과를 허용하기 위한 다수의 홀들 또는 천공들을 포함한다.
샤워헤드 (108) 의 상단 표면 (604A) 의 일부는 측표면 부분들 (604B 및 604D) 에 수직이거나 실질적으로 수직이다. 예를 들어, 상단 표면 (604A) 은 측표면 부분들 (604B 및 604D) 에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내인 각도를 형성한다. 유사하게, 샤워헤드 (108) 의 하단 표면 (604C) 은 샤워헤드 (108) 의 측표면 부분들 (604B 및 604D) 에 수직이거나 실질적으로 수직이다. 또한, 샤워헤드 (108) 의 상단 표면 (604A) 은 샤워헤드 (108) 의 하단 표면 (604C) 에 평행하거나 실질적으로 평행하다.
샤워헤드 (108) 의 동작으로 인해, 입자들, 예컨대 입자들 (606A 및 606B) 이 샤워헤드 (108) 의 하단 표면 (604C) 아래에 증착된다. 하단 표면 (604C) 아래에 증착된 입자들의 예들은 플라즈마 또는 프로세스 가스 입자들의 잔여물들 또는 기판 (128) (도 1) 상에 증착된 재료들의 입자들 또는 오염 물질들을 포함한다.
세정 어셈블리 (220) 는 인바운드 로드 록 (202A) 의 엔드 이펙터 (210A) (도 2) 에 의해 회전 섹션 (408) 상에 배치된다. 예를 들어, 세정 어셈블리 (220) 는 돌출부 (412A) 를 리세스부 (414A) 와 정렬시키고, 돌출부 (412B) 를 리세스부 (414B) 와 정렬시키고, 그리고 돌출부 (412C) 를 리세스부 (414C) 와 정렬시키도록 회전 섹션 (408) 위에 있도록 엔드 이펙터 (210A) 에 의해 이동된다. 정렬들은 수직 방향이다. 리세스부 (414A) 와 정렬되는 돌출부 (412A) 는 돌출부 (412A) 가 리세스부 (414A) 내로 연장하도록 이어서 엔드 이펙터 (210A) 에 의해 하강된다. 동시에, 리세스부 (414B) 와 정렬되는 돌출부 (412B) 는 돌출부 (412B) 가 리세스부 (414B) 내로 연장하도록 이어서 엔드 이펙터 (210A) 에 의해 하강되고 리세스부 (414C) 와 정렬되는 돌출부 (412C) 는 돌출부 (412C) 가 리세스부 (414C) 내로 연장하도록 이어서 엔드 이펙터 (210A) 에 의해 하강된다.
회전 섹션 (408) 상에 세정 어셈블리 (220) 를 배치하기 위해 돌출부들 (412A 내지 412C) 이 리세스부들 (414A 내지 414C) 내로 연장한 후, 스핀들 (208) 은 높이 H1에서 도 6b에 이하에 예시된, 높이 H2와 같은 상승된 높이로 세정 어셈블리 (220) 를 상승시키도록 호스트 컴퓨터 (102) (도 1) 에 의해 제어된다. 스핀들이 상승된 높이에 있을 때, 세정 어셈블리 (220) 는 하단 표면 (604C) 아래의 입자들을 세정, 예컨대 제거하거나 감소시키기 위해 샤워헤드 (108) 의 하단 표면 (604C) 에 근접하거나 콘택트한다. 높이 H1은 페데스탈 (110A) 의 하단 표면 (602C) 으로부터 세정 어셈블리 (220) 의 상단 표면 (410A) 까지의 높이이다. 세정 어셈블리 (220) 가 높이 H1에 있을 때, 세정 어셈블리 (220) 는 샤워헤드 (208) 에 근접하지 않다. 예를 들어, 세정 어셈블리 (220) 에 의해 샤워헤드 (108) 의 하단 표면 (604C) 에 인가되는 정전기력들 또는 반 데르 발스 (Van der Waals) 힘들이 없다. 높이 H1은 엔드 이펙터 (210A) (도 2) 가 회전 섹션 (408) 상에 배치되도록 인바운드 로드 록 (202A) (도 2) 으로부터 세정 어셈블리 (220) 를 이송하는 높이이다.
도 6b는 세정 어셈블리 (220) 의 상승된 높이를 예시하기 위한 시스템 (600) 의 또 다른 실시 예의 도면이다. 호스트 컴퓨터 (102) (도 1) 는 세정 어셈블리 (220) 의 높이 H1 (도 6a) 을 높이 H2로 상승시키도록 스핀들 (208) 을 제어한다. 높이 H2는 높이 H1보다 크다. 높이 H2는 페데스탈 (110A) 의 하단 표면 (602C) 으로부터 세정 어셈블리 (220) 의 상단 표면 (410A) 까지의 높이이다. 세정 어셈블리 (220) 가 높이 H2에 있을 때, 세정 어셈블리 (220) 의 상단 표면 (410A) 은 샤워헤드 (108) 를 세정하기 위해 샤워헤드 (108) 의 하단 표면 (604C) 에 근접하거나, 예컨대 미리 결정된 거리 내에 있거나, 샤워헤드 (108) 의 하단 표면 (604C) 과 접촉한다. 예를 들어, 정전기력 또는 반 데르 발스 힘은 샤워헤드 (108) 를 세정하기 위해 세정 층 (456) (도 4c) 과 같은 세정 어셈블리 (220) 에 의해, 샤워헤드 (108) 에 인가된다. 샤워헤드 (108) 는 샤워헤드 (108) 의 하단 표면 (604C) 에 밀착된 (adhere) 입자들이 정전기력 또는 반 데르 발스 힘에 의해 세정 층 (456) 을 향하여 유인될 (attract) 때 세정된다.
도 7은 인바운드 로드 록 (202A) 으로 그리고 인바운드 로드 록 (202A) 으로부터 세정 어셈블리 (220) 의 운송을 예시하기 위한 시스템 (700) 의 일 실시 예의 도면이다. 시스템 (700) 은 인바운드 로드 록 (202A), 엔드 이펙터 (210A), 세정 어셈블리 (220), 및 암 (404) 을 포함한다. 엔드 이펙터 (210A) 는 돌출부 (412A) 를 회전 섹션 (408) 의 리세스부 (414A) (도 6a) 와 정렬시키고, 돌출부 (412B) 를 회전 섹션 (408) 의 리세스부 (414B) (도 6a) 와 정렬시키고, 그리고 돌출부 (412C) 를 회전 섹션 (408) 의 리세스부 (414C) (도 6a) 와 정렬시키도록 로드 록 (202A) 으로부터 암 (404) 을 향해 x-방향으로 연장한다. 엔드 이펙터 (210A) 가 x-방향으로 연장할 때, 엔드 이펙터 (210A) 는 암 (404) 상에 세정 어셈블리 (220) 를 배치하기 위해 세정 어셈블리 (202) 의 하단 표면 (410C) 과 페데스탈 (110A) 의 상단 표면 (602A) (도 6a) 사이의 공간 내로 연장한다. 엔드 이펙터 (210A) 는 회전 섹션 (408) 에 의해 지지될 회전 섹션 (408) 상에 세정 어셈블리 (220) 를 배치하도록, z-축을 따라 하향 방향으로 하강한다.
반대로, 샤워헤드 (108) 가 세정 어셈블리 (220) 에 의해 세정된 후, 엔드 이펙터 (210B) (도 2) 는 세정 어셈블리 (202) 의 하단 표면 (410C) 과 페데스탈 (110A) 의 상단 표면 (602A) 사이의 공간 내로 연장하도록 암 (404) 을 향해 x-축을 따라, 수평 방향으로 연장하고 세정 어셈블리 (220) 를 지지하도록 수직 방향으로 약간 상향으로 이동한다. 일단 세정 어셈블리 (220) 가 엔드 이펙터 (210B) 에 의해 지지되면, 엔드 이펙터 (210B) 는 플라즈마 챔버 (105) (도 1) 로부터 아웃바운드 로드 록 (202B) (도 2) 으로 세정 어셈블리 (220) 를 이동시키도록 철수된다.
도 8은 세정 어셈블리 (802) 를 상승시키거나 하강시키기 위한 리프트 핀들 (126A 내지 126C) 의 사용을 예시하기 위한 시스템 (800) 의 일 실시 예의 도면이다. 세정 어셈블리 (802) 는 세정 어셈블리 (220 또는 222) (도 2) 의 일 예이다.
세정 어셈블리 (802) 는 프레스 플레이트 (806) 및 세정 층 (804) 을 포함한다. 프레스 플레이트 (806) 는 프레스 플레이트 (456) (도 4c) 의 재료와 동일한 재료로 이루어진다. 프레스 플레이트 (806) 는 프레스 플레이트 (454) 가 세정 층 (456) (도 4c) 에 부착되는, 상기 기술된 방식과 동일한 방식으로 세정 층 (804) 에 부착된다. 예시를 위해, 프레스 플레이트 (806) 는 복수의 홀들을 포함하고 세정 층 (804) 은 프레스 플레이트 (806) 를 세정 층 (804) 에 부착하기 위해 홀들 내로 연장하는, 돌출부들 또는 노브들과 같은 복수의 연결 피처들을 갖는다. 이 예시에서, 연결 피처들은 몰딩 머신을 사용하여 세정 층 (804) 내로 몰딩되고 연결 피처 각각은 프레스 플레이트 (806) 의 대응하는 홀을 통과한다. 세정 층 (804) 을 프레스 플레이트 (806) 에 부착하기 위해 프레스 플레이트 (806) 와 세정 층 (804) 사이에 접착제가 없다. 또 다른 예로서, 접착제가 세정 층 (804) 을 프레스 플레이트 (806) 에 부착하도록 사용된다.
또 다른 예로서, 프레스 플레이트 (806) 는 하나의 층으로서 나타나도록 (manifest) 세정 층 (802) 과 일체화되고 2 개의 층들 사이에 형성된 화학적 결합이 없다. 예로서, 프레스 플레이트 (806) 는 프레스 플레이트 (454) 의 연장 부분들 (465A 및 465B) (도 4a) 이 없고 슬롯들, 예컨대 슬롯들 (502A 및 502B) (도 5a) 이 없는, 프레스 플레이트 (454) (도 4c) 의 일 예이다.
세정 층 (804) 의 일 예는 세정 층 (456) (도 4c) 이다. 또 다른 예로서, 세정 층 (804) 은 폴리머 패드이다. 프레스 플레이트 (806) 는 세정 층 (804) 과 비교하여 보다 강성이다. 예를 들어, 프레스 플레이트 (806) 는 덜 구부러질 수 있고 세정 층 (804) 보다 덜 가요성이다.
세정 층 (804) 은 상단 표면 (808A), 측표면 부분 (808B), 하단 표면 (808C), 및 측표면 부분 (808D) 을 갖는다. 예로서, 세정 층 (804) 은 z-방향에서 볼 때 원형 단면을 갖고, 세정 층 (804) 은 측표면 부분들 (808B 및 808D) 을 갖는 일 원형 측표면을 갖는다.
상단 표면 (808A) 은 측표면 부분들 (808B 및 808D) 에 수직이거나 실질적으로 수직이다. 예를 들어, 상단 표면 (808A) 은 측표면 부분들 (808B 및 808D) 에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내인 각도를 형성한다. 유사하게, 하단 표면 (808C) 은 측표면 부분들 (808B 및 808D) 에 수직이거나 실질적으로 수직이다. 또한, 상단 표면 (808A) 은 하단 표면 (808C) 에 평행하거나 실질적으로 평행하다.
유사하게, 프레스 플레이트 (806) 는 상단 표면 (810A), 측표면 부분 (810B), 하단 표면 (810C), 및 측표면 부분 (810D) 을 갖는다. 예로서, 프레스 플레이트 (806) 는 z-방향에서 볼 때 원형 단면을 갖고, 프레스 플레이트 (806) 는 측표면 부분들 (810B 및 810D) 을 갖는 일 원형 측표면을 갖는다.
상단 표면 (810A) 은 측표면 부분들 (810B 및 810D) 에 수직이거나 실질적으로 수직이다. 예를 들어, 상단 표면 (810A) 은 측표면 부분들 (810B 및 810D) 에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내인 각도를 형성한다. 유사하게, 하단 표면 (810C) 은 측표면 부분들 (810B 및 810D) 에 수직이거나 실질적으로 수직이다. 또한, 상단 표면 (810A) 은 하단 표면 (810C) 에 평행하거나 실질적으로 평행하다. 세정 층 (804) 의 하단 층 (808C) 은 프레스 플레이트 (806) 의 상단 표면 (810A) 에 부착된다.
호스트 컴퓨터 (102) 는 높이 H3에서 높이 H4로 세정 어셈블리 (802) 를 상승시키도록 리프트 핀들 (126A 내지 126C) 을 제어한다. 높이 H4는 높이 H3보다 크고 페데스탈 (110A) 의 하단 표면 (602C) 으로부터 세정 층 (804) 의 상단 표면 (808A) 까지의 높이이다. 또한, 높이 H3은 페데스탈 (110A) 의 하단 표면 (602C) 으로부터 세정 층 (804) 의 상단 표면 (808A) 까지의 높이이다. 높이 H3은 엔드 이펙터 (210A) (도 2) 가 리프트 핀들 (126A 내지 126C) 상에 배치되도록 인바운드 로드 록 (202A) 으로부터 세정 어셈블리 (802) 를 이송하는 높이이다. 예로서, 높이 H3에서, 세정 어셈블리 (802) 는 캐리어 링 (112) 상에 배치되고 캐리어 링 (112) 에 의해 지지된다.
세정 어셈블리 (802) 가 높이 H4에 있을 때, 세정 어셈블리 (802) 의 상단 표면 (808A) 은 샤워헤드 (108) 를 세정하기 위해 샤워헤드 (108) 의 하단 표면 (604C) 에 근접하거나, 예컨대 미리 결정된 거리 내에 있거나, 샤워헤드 (108) 의 하단 표면 (604C) 과 접촉한다. 예를 들어, 정전기력 또는 반 데르 발스 힘은 샤워헤드 (108) 를 세정하기 위해 세정 어셈블리 (802), 예컨대 세정 층 (804) 에 의해 샤워헤드 (108) 에 인가된다. 샤워헤드 (108) 는 샤워헤드 (108) 의 하단 표면 (604C) 에 밀착된 입자들이 정전기력 또는 반 데르 발스 힘에 의해 세정 층 (804) 을 향하여 유인될 때 세정된다. 샤워헤드 (108) 를 세정한 후, 리프트 핀들 (126A 내지 126B) 은 세정 어셈블리 (802) 를 높이 H3으로 하강시키기 위해 수직 방향으로 하강되도록 호스트 컴퓨터 (102) 에 의해 제어된다.
호스트 컴퓨터 (102) 는 드라이버 시스템 (118) 에 리프트 핀 제어 신호를 전송한다. 리프트 핀 제어 신호는 리프트 핀들 (126A 내지 126C) 이 수직 방향으로 상승되거나 하강되는 높이를 포함한다. 리프트 핀들 (126A 내지 126C) 이 상승될 높이의 예는 높이 H4이고 리프트 핀들 (126A 내지 126C) 이 하강될 높이 H3이다.
리프트 핀 제어 신호를 수신하면, 드라이버 시스템 (118) 은 모터 시스템 (116) 으로 전송되는 하나 이상의 전류 신호들을 생성한다. 모터 시스템 (116) 의 하나 이상의 모터들은 리프트 핀들 (126A 내지 126C) 각각을 상승시키거나 하강시키도록 연결 메커니즘들 (107A 내지 107C) 중 하나 이상을 이동시키도록 회전한다. 리프트 핀들 (126A 내지 126C) 은 세정 어셈블리 (802) 를 높이 H4로 상승시키도록 상승되거나 높이 H3으로 수직 방향으로 세정 어셈블리 (802) 를 하강시키도록 하강된다.
도 9a는 스파이더 포크들 (908A, 908B, 910A, 910B, 912A, 912B, 914A, 및 914B) 의 사용을 예시하기 위한 시스템 (900) 의 일 실시 예의 도면이다. 시스템 (900) 은 플라즈마 챔버 (105) 를 포함한다. 스파이더 포크들 (908A, 908B, 910A, 910B, 912A, 912B, 914A, 및 914B) 은 x-축과 y-축 사이에 형성된 xy-평면을 따라 스핀들 (212) 로부터 연장한다. 예를 들어, 스파이더 포크들 (908A, 908B, 910A, 910B, 912A, 912B, 914A, 및 914B) 은 스핀들 (212) 의 바디에 커플링, 예컨대 나사 결합된다. 또 다른 예로서, 스파이더 포크들 (908A, 908B, 910A, 910B, 912A, 912B, 914A 및 914B) 은 스핀들 (212) 과 통합되고 스핀들 (212) 의 일체형 부품이다. 예시로서, 스파이더 포크 (908A, 908B, 910A, 910B, 912A, 912B, 914A, 및 914B) 각각은 프로세싱 동안 고 레벨들의 열을 견디도록 세라믹 재료로 제조된다.
플라즈마 챔버 (105) 는 복수의 캐리어 링들 (112, 902, 904, 및 906) 을 갖는다. 캐리어 링 (112) 은 페데스탈 (110A) 의 에지 상에 배치되고, 캐리어 링 (902) 은 페데스탈 (110B) 의 에지 상에 배치되고, 캐리어 링 (904) 은 페데스탈 (110C) 의 에지 상에 배치되고, 캐리어 링 (906) 은 페데스탈 (110D) 의 에지 상에 배치된다. 더욱이, 플라즈마 챔버 (105) 는 복수의 세정 어셈블리들 (916A, 916B, 916C, 및 916D) 을 갖는다. 세정 어셈블리 (916A 내지 916D) 각각은 동일한 구조를 갖고 세정 어셈블리 (802) (도 8) 와 동일한 기능을 수행한다.
세정 어셈블리 (916A) 는 캐리어 링 (112) 의 상단에 배치되고, 세정 어셈블리 (916B) 는 캐리어 링 (902) 의 상단에 배치되고, 세정 어셈블리 (916C) 는 캐리어 링 (906) 의 상단에 배치되고, 세정 어셈블리 (916D) 는 4 개의 스테이션들의 대응하는 샤워헤드들을 세정하기 위해 캐리어 링 (906) 의 상단에 배치된다. 4 개의 스테이션들 중 제 1 스테이션은 페데스탈 (110A) 및 샤워헤드 (108) (도 1) 를 포함한다. 샤워헤드 (108) 는 본 명세서에서 때때로 샤워헤드들 중 제 1 샤워헤드로서 지칭된다. 4 개의 스테이션들 중 제 2 스테이션은 페데스탈 (110B) 및 샤워헤드들 중 제 2 샤워헤드를 포함하고, 4 개의 스테이션들 중 제 3 스테이션은 페데스탈 (110C) 및 샤워헤드들 중 제 3 스테이션을 포함하고, 그리고 4 개의 스테이션들 중 제 4 스테이션은 페데스탈 (110D) 및 샤워헤드들 중 제 4 샤워헤드를 포함한다. 제 1 샤워헤드, 제 2 샤워헤드 및 제 3 샤워헤드 각각은 제 1 샤워헤드와 동일한 구조를 갖고 동일한 기능을 수행한다. 제 2 샤워헤드는 페데스탈 (110B) 위에 위치되고, 제 3 샤워헤드는 페데스탈 (110C) 위에 위치되고, 제 4 샤워헤드는 페데스탈 (110D) 위에 위치된다.
상기 기술된 바와 같이, 스핀들 (212) 이 수직 방향으로 이동할 때, 스핀들 (212) 의 운동은 캐리어 링들 (112, 902, 904, 및 906) 및 세정 어셈블리들 (916A, 916B, 916C, 및 916D) 을 수직 방향으로 리프팅하도록 동시에 수직 방향으로 스파이더 포크들 (908A, 908B, 910A, 910B, 912A, 912B, 914A, 및 914B) 을 이동시킨다. 예를 들어, 스파이더 포크들 (908A 및 908B) 은 세정 어셈블리 (916A) 를 리프팅하도록 세정 어셈블리 (916A) 의 하단 표면과 콘택트하는 캐리어 링 (112) 의 하단 표면과 콘택트한다. 유사하게, 스파이더 포크들 (910A 및 910B) 은 세정 어셈블리 (916B) 를 리프팅하기 위해 세정 어셈블리 (916B) 의 하단 표면과 콘택트하는 캐리어 링 (902) 의 하단 표면과 콘택트한다. 또한, 스파이더 포크들 (912A 및 912B) 은 세정 어셈블리 (916C) 를 리프팅하도록 세정 어셈블리 (916C) 의 하단 표면과 콘택트하는 캐리어 링 (904) 의 하단 표면과 콘택트한다. 스파이더 포크들 (914A 및 914B) 은 세정 어셈블리 (916D) 를 리프팅하기 위해 세정 어셈블리 (916D) 의 하단 표면과 콘택트하는 캐리어 링 (906) 의 하단 표면과 콘택트한다.
도 9b는 스핀들 (212) 의 운동과 함께 스파이더 포크들 (908A 및 908B) 의 수직 운동을 예시하기 위한 시스템 (950) 의 일 실시 예의 도면이다. 시스템 (950) 은 샤워헤드 (108), 세정 장치 (802), 캐리어 링 (112), 페데스탈 (110A), 스핀들 (212), 및 스파이더 포크들 (908A 및 908B) 을 포함한다.
캐리어 링 (112) 은 상단 표면 (952A), 측표면 부분 (952B), 하단 표면 (952C), 및 도 9b에 도시되지 않은 또 다른 측표면 부분을 갖는다. 예로서, 캐리어 링 (112) 은 z-방향에서 볼 때 원형 단면을 갖고, 캐리어 링 (112) 은 측표면 부분 (952B) 을 포함하는 측표면 부분들을 갖는 일 원형 측표면을 갖는다.
상단 표면 (952A) 은 캐리어 링 (112) 의 측표면 부분들에 수직이거나 실질적으로 수직이다. 예를 들어, 상단 표면 (952A) 은 캐리어 링 (112) 의 측표면 부분들에 대해 ± 5 내지 7 °와 같은 미리 결정된 범위 내에 있는 각도를 형성한다. 유사하게, 하단 표면 (952C) 은 캐리어 링 (112) 의 측표면 부분들에 수직이거나 실질적으로 수직이다. 또한, 상단 표면 (952A) 은 하단 표면 (952C) 에 평행하거나 실질적으로 평행하다.
스파이더 포크들 (908A 및 908B) 은 페데스탈 (110A) 의 에지에 도달하도록 연장하고 캐리어 링 (112) 의 에지와 페데스탈 (110A) 의 에지 사이에 위치된다. 예를 들어, 스파이더 포크들 (908A 및 908B) 은 캐리어 링 (112) 을 리프팅하도록 페데스탈 (110) 의 에지 부분 (130B) 과 캐리어 링 (112) 의 하단 표면 (952C) 사이의 공간 내로 연장한다. 캐리어 링 (112) 의 상단 상에, 세정 장치 (802) 가 위치된다. 프레스 플레이트 (806) 의 하단 표면 (810C) 은 캐리어 링 (112) 에 의해 지지될 캐리어 링 (112) 의 상단 표면 (952A) 에 인접하고 상단 표면 (952A) 상에 놓인다.
호스트 컴퓨터 (102) 는 수직 방향으로 스파이더 포크들 (908A 및 908B) 을 상승시키도록 스핀들 (212) 을 제어한다. 스파이더 포크들 (908A 및 908B) 이 상승될 때, 캐리어 링 (112) 은 수직 방향으로 상승된다. 캐리어 링 (112) 이 상승될 때, 높이 H3에서 높이 H4로 세정 어셈블리 (802) 를 상승시킨다. 샤워헤드 (108) 를 세정한 후, 스핀들 (212) 은 수직 방향으로 스파이더 포크들 (908A 및 908B) 을 하강시키기 위해 수직 방향으로 하강되도록 호스트 컴퓨터 (102) 에 의해 제어된다. 스파이더 포크들 (908A 및 908B) 이 하강될 때, 캐리어 링 (112) 은 z-축을 따라 하향 방향으로 하강되고, 캐리어 링 (112) 의 하강과 함께, 세정 어셈블리 (802) 는 높이 H3으로부터 높이 H4로 하강된다.
도 10a는 샤워헤드 (108) 를 세정하기 위해 세정 어셈블리 (802) 를 향한 샤워헤드 (108) 의 운동을 예시하기 위한 시스템 (1000) 의 일 실시 예의 도면이다. 시스템 (1000) 은 호스트 컴퓨터 (102), 샤워헤드 (108), 드라이버 (1002), 모터 (1004), 세정 어셈블리 (802), 및 페데스탈 (110A) 을 포함한다.
호스트 컴퓨터 (102) 는 모터 (1004) 에 커플링된 드라이버 (1002) 에 커플링된다. 모터 (1004) 는 연결 메커니즘 (1006) 을 통해 샤워헤드 (108) 에 커플링된다. 호스트 컴퓨터 (102) 는 수직 운동 제어 신호를 생성하고 드라이버 (1002) 로 전송한다. 수직 운동 제어 신호는 샤워헤드 (108) 가 z-축을 따라 이동하는 방향, 예컨대 상향 또는 하향을 포함하고, 운동 후에 샤워헤드 (108) 에 의해 도달될 미리 결정된 위치를 포함한다. 수직 운동 제어 신호를 수신하면, 드라이버 (1002) 는 모터 (1004) 로 전송되는 수직 운동 전류 신호를 생성한다. 모터 (1004) 는 수직 운동 전류 신호를 수신하고 미리 결정된 위치에 도달할 때까지 수직 방향, 상향 또는 하향으로 샤워헤드 (108) 를 더 이동시키도록 연결 메커니즘 (1006) 을 이동시키도록 회전한다.
호스트 컴퓨터 (102) 는 높이 H6에서 높이 H5로 샤워헤드 (108) 를 하강시키도록 샤워헤드 (108) 를 제어한다. 높이 H6은 높이 H5보다 크고 샤워헤드 (108) 의 하단 표면 (604C) 으로부터 페데스탈 (110A) 의 하단 표면 (602C) 까지의 높이이다. 예로서, 높이 H6은 높이 H4 (도 9b) 와 동일하다. 또한, 높이 H5는 샤워헤드 (108) 의 하단 표면 (604C) 으로부터 페데스탈 (110A) 의 하단 표면 (602C) 까지의 높이이다. 예로서, 높이 H5에서, 세정 어셈블리 (802) 는 캐리어 링 (112) 상에 배치되고 캐리어 링 (112) 에 의해 지지된다. 또 다른 예로서, 높이 H5는 높이 H3 (도 9b) 과 동일하다.
샤워헤드 (108) 가 높이 H5에 있을 때, 세정 어셈블리 (802) 의 상단 표면 (808A) 은 샤워헤드 (108) 를 세정하기 위해 샤워헤드 (108) 의 하단 표면 (604C) 에 근접하거나, 예컨대 미리 결정된 거리 내에 있거나, 샤워헤드 (108) 의 하단 표면 (604C) 과 접촉한다. 예를 들어, 정전기력 또는 반 데르 발스 힘은 샤워헤드 (108) 를 세정하기 위해 세정 어셈블리 (802), 예컨대 세정 층 (804) 에 의해 샤워헤드 (108) 의 하단 표면 (604C) 에 인가된다. 샤워헤드 (108) 를 세정한 후, 샤워헤드 (108) 는 높이 H5로부터 높이 H6으로 수직 방향으로 상승되도록 호스트 컴퓨터 (102) 에 의해 제어된다.
일 실시 예에서, 세정 어셈블리 (802) 대신, 세정 어셈블리 (220) (도 6a) 가 사용된다. 세정 어셈블리 (220) 는 암 (404) (도 6a) 상에 놓이고 샤워헤드 (108) 는 높이 H6으로부터 최종 높이까지 하강된다. 최종 높이에서, 샤워헤드 (108) 는 샤워헤드 (108) 를 세정하기 위해 높이 H1로부터 미리 설정된 거리이다. 미리 설정된 거리의 예는 높이 H1의 0.01 내지 5 % 이내인 수직 방향의 거리이다. 샤워헤드 (802) 가 높이 H1로부터 미리 설정된 거리에 있을 때, 샤워헤드 (108) 를 세정하기 위해 세정 어셈블리 (220) 의 상단 표면 (410A) (도 6a) 은 샤워헤드 (108) 의 하단 표면 (604C) 에 근접하거나, 예컨대 미리 결정된 거리 내에 있거나, 샤워헤드 (108) 의 하단 표면 (604C) 과 접촉한다. 예를 들어, 정전기력 또는 반 데르 발스 힘은 샤워헤드 (108) 를 세정하기 위해 세정 층 (456) (도 5b) 에 의해 샤워헤드 (108) 에 인가된다. 샤워헤드 (108) 를 세정한 후, 샤워헤드 (108) 는 높이 H1로부터 미리 설정된 거리로부터 높이 H6으로 수직 방향으로 상승되도록 호스트 컴퓨터 (102) 에 의해 제어된다.
도 10b는 샤워헤드 (108) 를 세정하기 위해 샤워헤드 (108) 를 향한 페데스탈 (110A) 의 운동을 예시하기 위한 시스템 (1050) 의 일 실시 예의 도면이다. 시스템 (1050) 은 호스트 컴퓨터 (102), 샤워헤드 (108), 드라이버 (1052), 모터 (1054), 세정 어셈블리 (802), 및 페데스탈 (110A) 을 포함한다.
호스트 컴퓨터 (102) 는 모터 (1054) 에 커플링되는 드라이버 (1052) 에 커플링된다. 모터 (1054) 는 연결 메커니즘 (1056) 을 통해 페데스탈 (110A) 에 커플링된다. 호스트 컴퓨터 (102) 는 수직 운동 제어 신호를 생성하고 드라이버 (1052) 로 전송한다. 수직 운동 제어 신호는 페데스탈 (110A) 이 z-축을 따라 이동하는 방향, 예컨대 상향 또는 하향을 포함하고, 운동 후에 페데스탈 (110A) 에 의해 도달될 미리 결정된 위치를 포함한다. 수직 운동 제어 신호를 수신하면, 드라이버 (1052) 는 모터 (1054) 로 전송되는 수직 운동 전류 신호를 생성한다. 모터 (1054) 는 수직 운동 전류 신호를 수신하고 미리 결정된 위치에 도달할 때까지 수직 방향, 상향 또는 하향으로 페데스탈 (110A) 을 더 이동시키도록 연결 메커니즘 (1056) 을 이동시키도록 회전한다. 캐리어 링 (112) 상에 놓인 세정 어셈블리 (802) 는 샤워헤드 (108) 의 하단 표면 (604C) 과 콘택트하거나 근접하도록 페데스탈 (110A) 의 상향 운동과 함께 리프팅된다.
호스트 컴퓨터 (102) 는 높이 H3으로부터 높이 H4로 리프팅된 세정 어셈블리 (802) 를 상승시키기 위해 페데스탈 (110A) 을 리프팅하도록 페데스탈 (110A) 을 제어한다. 세정 어셈블리 (802) 가 높이 H4에 있을 때, 세정 어셈블리 (802) 의 상단 표면 (808A) 은 샤워헤드 (108) 를 세정하기 위해 샤워헤드 (108) 의 하단 표면 (604C) 에 근접하거나, 예컨대 미리 결정된 거리 내에 있거나, 샤워헤드 (108) 의 하단 표면 (604C) 과 접촉한다. 예를 들어, 정전기력 또는 반 데르 발스 힘은 샤워헤드 (108) 를 세정하기 위해 세정 어셈블리 (802), 예컨대 세정 층 (804) 에 의해 샤워헤드 (108) 에 인가된다. 샤워헤드 (108) 를 세정한 후, 페데스탈 (110A) 은 세정 어셈블리 (802) 를 z-축을 따라 높이 H4로부터 높이 H3으로 하향으로 하강시키도록 호스트 컴퓨터 (102) 에 의해 제어된다.
도 11a는 세정 어셈블리 (1100) 의 일 실시 예의 등각도 (isometric view) 이다. 세정 어셈블리 (1110) 는 세정 층 (456) 및 프레스 플레이트 (806) 를 포함한다. 세정 층 (456) 은 프레스 플레이트 (806) 에 부착, 예컨대 화학적으로 결합되거나 접착된다. 세정 층 (456) 은 필라들 (468F 및 468G) 을 포함하는 복수의 필라들을 갖고, 프레스 플레이트 (806) 의 상단 상에 있다. 필라들은 본 명세서에서 때때로 마이크로 구조체들로 지칭된다. 세정 층 (456) 의 필라들은 z-방향으로 세정 층 (456) 의 편평한 층 (1102) 으로부터 연장한다. 편평한 층 (1102) 의 일 예는 플루오로폴리머 또는 폴리이미드 또는 이들의 조합으로 이루어진 패드와 같은 폴리머 패드이다. 세정 층 (456) 의 필라들은 편평한 층 (1102) 의 재료와 동일한 재료로 이루어진다. 편평한 층 (1102) 은 xy-평면 내에 놓인 편평한 표면을 갖는다. 세정 층 (456) 은 편평한 층 (1102) 및 필라들을 포함한다.
도 11b는 세정 어셈블리 (1100) 의 일 실시 예의 측면도이다. 세정 층 (456) 의 필라들 각각의 직경 D는 샤워헤드 (108) (도 1) 로부터 세정될 입자 각각의 직경의 n 배, 예컨대 5 내지 9 배이다. 세정 층 (456) 의 필라들은 샤워헤드 (108) 를 세정하기 위해 샤워헤드 (108) 에 근접하거나 콘택트할 때 x-축을 따른 방향으로 이동한다. 편평한 층 (1102) 은 프레스 플레이트 (806) 로부터 측정되는, z-방향으로 두께 T를 갖는다.
세정 층 (456) 의 필라들 각각은 z-축을 따라 측정된 길이를 갖는다. 예로서, 세정 층 (456) 의 필라들 각각의 길이는 편평한 층 (1102) 의 두께 T보다 크다. 또 다른 예로서, 세정 층 (456) 의 필라들 각각의 길이는 편평한 층 (1102) 의 두께 T보다 작다.
일 실시 예에서, 세정 층 (456) 의 하나 이상의 필라들의 직경은 세정 층 (456) 의 남아 있는 필라들 중 하나 이상의 직경과 상이하다.
일 실시 예에서, 세정 층 (456) 의 하나 이상의 필라들의 길이는 세정 층 (456) 의 남아 있는 필라들 중 하나 이상의 길이와 상이하다.
도 12a는 세정 층 (1202) 의 필라들의 세트의 일 실시 예의 측면도이다. 세정 층 (1202) 은 세정 층 (456) (도 11a) 대신 사용된다. 예를 들어, 세정 층 (1202) 은 프레스 플레이트 (806) (도 11b) 에 부착된다. 세정 층 (1202) 은 교번하는 높이들의 필라들을 포함한다. 예를 들어, 세정 층 (1202) 의 필라 (1204A) 는 세정 층 (1202) 의 또 다른 필라 (1204B) 보다 보다 짧다. 필라 (1204B) 는 필라 (1204A) 에 인접하고 2 개의 필라들 (1204A 및 1204B) 사이에 다른 필라가 없다. 또 다른 예로서, 세정 층 (1202) 의 필라 (1204C) 는 세정 층 (1202) 의 또 다른 필라 (1204D) 보다 보다 짧다. 필라 (1204C) 는 필라 (1204B) 에 인접하고 2 개의 필라들 (1204B 및 1204C) 사이에 다른 필라가 없다. 유사하게, 필라 (1204D) 는 필라 (1204C) 에 인접하고 2 개의 필라들 (1204C 및 1204D) 사이에 다른 필라가 없다. 세정 층 (1202) 의 필라들의 세트는 세정 층 (1202) 의 편평한 층 (1102) 으로부터 연장한다.
도 12a에 예시된 교번하는-높이 패턴은 y-축을 따라 y-방향으로 반복된다. 예를 들어, 도 12a에 예시된 필라들의 세트와 동일한 패턴을 갖는 복수의 필라 세트들은 세정 층 (1202) 의 편평한 층 (1102) 으로부터 y-축을 따라 연장한다.
또한, 세정 층 (1202) 의 임의의 2 개의 인접한 필라들 사이에, 편평한 층 (1102) 의 일부가 있다. 일 실시 예에서, 편평한 층 (1102) 상의 세정 층 (1202) 의 필라들의 밀도는 도 12a에 예시된 것과 상이하다. 예를 들어, 세정 층 (1202) 의 임의의 2 개의 인접한 필라들 사이의 거리는 도 12a에 예시된 것보다 보다 크거나 보다 작다.
도 12b는 세정 층 (1206) 의 필라들의 세트의 일 실시 예의 측면도이다. 세정 층 (1206) 은 세정 층 (456) (도 11a) 대신 사용된다. 예를 들어, 세정 층 (1206) 은 프레스 플레이트 (806) (도 11b) 에 부착된다. 세정 층 (1206) 은 교번하는 높이들의 필라들을 포함하고 2 개의 보다 짧은 필라들에 이어 하나의 키가 큰 필라들이 이어진다. 예를 들어, 세정 층 (1206) 의 필라들 (1208A 및 1208B) 은 세정 층 (1206) 의 또 다른 필라 (1208C) 보다 보다 짧다. 필라 (1208B) 는 필라 (1208A) 에 인접하고 2 개의 필라들 (1208A 및 1208B) 사이에 다른 필라가 없다. 또한, 필라 (1208C) 는 필라 (1208B) 에 인접하고 2 개의 필라들 (1208B 및 1208C) 사이에 다른 필라가 없다. 또 다른 예로서, 세정 층 (1206) 의 필라들 (1208D 및 1208E) 은 세정 층 (1206) 의 또 다른 필라 (1208F) 보다 보다 짧다. 필라 (1208D) 는 필라 (1208C) 에 인접하고 2 개의 필라들 (1208C 및 1208D) 사이에 다른 필라가 없다. 유사하게, 필라 (1208E) 는 필라 (1208D) 에 인접하고 2 개의 필라들 (1208D 및 1208E) 사이에 다른 필라가 없고, 필라 (1208F) 는 필라 (1208E) 에 인접하고 2 개의 필라들 (1208E 및 1208F) 사이에 다른 필라가 없다. 세정 층 (1206) 의 필라들의 세트는 세정 층 (1202) 의 편평한 층 (1102) 으로부터 연장한다.
도 12b에 예시된 교번하는-높이 패턴은 y-방향으로 반복된다. 예를 들어, 도 12b에 예시된 필라들의 세트와 동일한 패턴을 갖는 복수의 필라들의 세트들은 세정 층 (1202) 의 편평한 층 (1102) 으로부터 y-축을 따라 연장한다.
또한, 세정 층 (1206) 의 임의의 2 개의 인접한 필라들 사이에, 편평한 층 (1102) 의 일부가 있다. 일 실시 예에서, 편평한 층 (1102) 상의 세정 층 (1206) 의 필라들의 밀도는 도 12b에 예시된 것과 상이하다. 예를 들어, 세정 층 (1206) 의 임의의 2 개의 인접한 필라들 사이의 거리는 도 12b에 예시된 것보다 보다 크거나 보다 작다.
도 12c는 세정 층 (1210) 의 필라들의 세트의 일 실시 예의 측면도이다. 세정 층 (1210) 은 세정 층 (456) (도 11a) 대신 사용된다. 예를 들어, 세정 층 (1210) 은 프레스 플레이트 (806) (도 11b) 에 부착된다. 세정 층 (1210) 은 교번하는 높이들의 필라들을 포함하고 2 개의 보다 큰 필라들에 이어 하나의 짧은 필라들이 이어진다. 예를 들어, 세정 층 (1210) 의 필라들 (1212A 및 1212B) 은 세정 층 (1210) 의 또 다른 필라 (1212C) 보다 보다 길다. 필라 (1212B) 는 필라 (1212A) 에 인접하고 2 개의 필라들 (1212A 및 1212B) 사이에 다른 필라가 없다. 또한, 필라 (1212C) 는 필라 (1212B) 에 인접하고 2 개의 필라들 (1212B 및 1212C) 사이에 다른 필라가 없다. 또 다른 예로서, 세정 층 (1210) 의 필라들 (1212D 및 1212E) 은 세정 층 (1210) 의 또 다른 필라 (1212F) 보다 보다 크다. 필라 (1212D) 는 필라 (1212C) 에 인접하고 2 개의 필라들 (1212C 및 1212D) 사이에 다른 필라가 없다. 유사하게, 필라 (1212E) 는 필라 (1212D) 에 인접하고 2 개의 필라들 (1212D 및 1212E) 사이에 다른 필라가 없고, 필라 (1212F) 는 필라 (1212E) 에 인접하고 2 개의 필라들 (1212E 및 1212F) 사이에 다른 필라가 없다. 세정 층 (1210) 의 필라들의 세트는 세정 층 (1210) 의 편평한 층 (1102) 으로부터 연장한다.
도 12c에 예시된 교번하는 높이 패턴은 y-방향으로 반복된다. 예를 들어, 도 12c에 예시된 필라들의 세트와 동일한 패턴을 갖는 복수의 필라들의 세트들은 세정 층 (1210) 의 편평한 층 (1102) 으로부터 y-축을 따라 연장한다.
또한, 세정 층 (1210) 의 임의의 2 개의 인접한 필라들 사이에, 편평한 층 (1102) 의 일부가 있다. 일 실시 예에서, 편평한 층 (1102) 상의 세정 층 (1210) 의 필라들의 밀도는 도 12c에 예시된 것과 상이하다. 예를 들어, 세정 층 (1210) 의 임의의 2 개의 인접한 필라들 사이의 거리는 도 12c에 예시된 것보다 보다 크거나 보다 작다.
도 12d는 세정 층 (1214) 의 필라들의 세트의 일 실시 예의 측면도이다. 세정 층 (1214) 은 세정 층 (456) (도 11a) 대신 사용된다. 예를 들어, 세정 층 (1214) 은 프레스 플레이트 (806) (도 11b) 에 부착된다. 세정 층 (1214) 은 교번하는 높이들의 필라들을 포함하고 2 개의 보다 길거나 보다 큰 필라들에 이어 2 개의 짧은 필라들이 이어진다. 예를 들어, 세정 층 (1214) 의 필라들 (1216A 및 1216B) 은 세정 층 (1214) 의 필라들 (1216C 및 1216D) 보다 짧다. 필라 (1216B) 는 필라 (1216A) 에 인접하고 2 개의 필라들 (1216A 및 1216B) 사이에 다른 필라가 없다. 또한, 필라 (1216C) 는 필라 (1216B) 에 인접하고 2 개의 필라들 (1216B 및 1216C) 사이에 다른 필라가 없고 필라 (1216D) 는 필라 (1216C) 에 인접하고 2 개의 필라들 (1216C 및 1216D) 사이에 다른 필라가 없다. 또 다른 예로서, 세정 층 (1210) 의 필라들 (1212E 및 1212F) 은 세정 층 (1214) 의 필라들 (1216G 및 1216H) 보다 보다 짧다. 필라 (1216E) 는 필라 (1216D) 에 인접하고 2 개의 필라들 (1216D 및 1216E) 사이에 다른 필라가 없다. 유사하게, 필라 (1216F) 는 필라 (1216E) 에 인접하고, 필라 (1216G) 는 필라 (1212F) 에 인접하고, 그리고 필라 (1216H) 는 필라 (1216G) 에 인접하다. 세정 층 (1214) 의 필라들의 세트는 세정 층 (1214) 의 편평한 층 (1102) 으로부터 연장한다.
도 12d에 예시된 교번하는 높이 패턴은 y-방향으로 반복된다. 예를 들어, 도 12d에 예시된 필라들의 세트와 동일한 패턴을 갖는 복수의 필라들의 세트들은 세정 층 (1214) 의 편평한 층 (1102) 으로부터 y-축을 따라 연장한다.
또한, 세정 층 (1214) 의 임의의 2 개의 인접한 필라들 사이에, 편평한 층 (1102) 의 일부가 있다. 일 실시 예에서, 편평한 층 (1102) 상의 세정 층 (1214) 의 필라들의 밀도는 도 12d에 예시된 것과 상이하다. 예를 들어, 세정 층 (1214) 의 임의의 2 개의 인접한 필라들 사이의 거리는 도 12d에 예시된 것보다 보다 크거나 보다 작다.
도 12e는 세정 층 (1218) 의 필라들의 세트의 일 실시 예의 측면도이다. 세정 층 (1218) 은 세정 층 (456) (도 11a) 대신 사용된다. 예를 들어, 세정 층 (1218) 은 프레스 플레이트 (806) (도 11b) 에 부착된다. 세정 층 (1218) 은 도 12a에 예시된 바와 같이 교번하는 높이들의 필라들을 포함하고 세정 층 (1218) 의 보다 큰 필라들은 일 배향으로 구부러진다. 예를 들어, 세정 층 (1218) 의 필라 (1220A) 는 세정 층 (1218) 의 또 다른 필라 (1220B) 보다 보다 크고 x-방향으로 구부러진다. 필라 (1220B) 는 필라 (1220A) 에 인접하고 2 개의 필라들 (1220A 및 1220B) 사이에 다른 필라가 없다. 또 다른 예로서, 세정 층 (1218) 의 필라 (1220C) 는 세정 층 (1218) 의 또 다른 필라 (1220D) 보다 보다 크고 x-방향으로 구부러진다. 필라 (1220C) 는 필라 (1220B) 에 인접하고 2 개의 필라들 (1220B 및 1220C) 사이에 다른 필라가 없다. 유사하게, 필라 (1220D) 는 필라 (1220C) 에 인접하고 2 개의 필라들 (1220C 및 1220D) 사이에 다른 필라가 없다. 세정 층 (1218) 의 필라들의 세트는 세정 층 (1218) 의 편평한 층 (1102) 으로부터 연장한다.
도 12e에 예시된 교번하는 높이 패턴은 y-방향으로 반복된다. 예를 들어, 도 12e에 예시된 필라들의 세트와 동일한 패턴을 갖는 복수의 필라 세트들은 세정 층 (1218) 의 편평한 층 (1102) 으로부터 y-축을 따라 연장한다.
또한, 세정 층 (1218) 의 임의의 2 개의 인접한 필라들 사이에, 편평한 층 (1102) 의 일부가 있다. 일 실시 예에서, 편평한 층 (1102) 상의 세정 층 (1218) 의 필라들의 밀도는 도 12e에 예시된 것과 상이하다. 예를 들어, 세정 층 (1218) 의 임의의 2 개의 인접한 필라들 사이의 거리는 도 12e에 예시된 것보다 보다 크거나 보다 작다.
일 실시 예에서, 세정 층 (1218) 의 보다 큰 필라들은 도 12e에 예시된 것과 상이한 배향을 갖는다. 예를 들어, 필라들 (1220A 및 1220C) 은 x-방향 대신 y-방향을 대면하도록 배향된 상단 표면들과 같은 상단부들을 갖는다. 또 다른 예로서, 필라들 (1220A 및 1220C) 은 x-방향으로 대면하지만, 우측 대신 좌측을 대면하는 것과 같이 음의 x-방향으로 배향되는 상단부들을 갖는다.
일 실시 예에서, 세정 층 (1218) 의 보다 큰 필라들 중 하나 이상은 보다 큰 필라들의 나머지 필라들 중 하나 이상과 상이한 방향으로 대면하도록 배향된 상단부들을 갖는다. 예를 들어, 필라 (1220A) 는 x-방향을 대면하도록 배향된 상단부를 갖도록 구부러지고 필라 (1220C) 는 y-방향을 대면하도록 배향된 상단부를 갖도록 구부러진다.
일 실시 예에서, 세정 층 (1218) 의 보다 짧은 필라들은 하나 이상의 방향들, 예컨대 x-방향, y-방향, 및 z-방향으로 구부러진다.
도 12f는 세정 층 (1222) 의 필라들의 세트의 일 실시 예의 측면도이다. 세정 층 (1222) 은 세정 층 (456) (도 11a) 대신 사용된다. 예를 들어, 세정 층 (1222) 은 프레스 플레이트 (806) (도 11b) 에 부착된다. 세정 층 (1222) 은 도 12a에 예시된 바와 같이 교번하는 높이들의 필라들을 포함하고 세정 층 (1222) 의 보다 큰 필라들은 일 배향으로 구부러진다. 또한, 세정 층 (1222) 의 보다 큰 필라들은 세정 층 (1222) 의 보다 짧은 필라들보다 보다 얇다. 예를 들어, 세정 층 (1222) 의 필라 (1224A) 는 세정 층 (1222) 의 또 다른 필라 (1224B) 보다 보다 크고, x-방향으로 구부러지고, 필라 (1224B) 보다 보다 얇다. 필라 (1224B) 는 필라 (1224A) 에 인접하고 2 개의 필라들 (1224A 및 1224B) 사이에 다른 필라가 없다. 또 다른 예로서, 세정 층 (1222) 의 필라 (1224C) 는 세정 층 (1222) 의 또 다른 필라 (1224D) 보다 보다 크고, x-방향으로 구부러지고, 필라 (1224D) 보다 보다 얇다. 필라 (1224C) 는 필라 (1224B) 에 인접하고 2 개의 필라들 (1224B 및 1224C) 사이에 다른 필라가 없다. 유사하게, 필라 (1224D) 는 필라 (1224C) 에 인접하고 2 개의 필라들 (1224C 및 1224D) 사이에 다른 필라가 없다. 세정 층 (1222) 의 필라들의 세트는 세정 층 (1222) 의 편평한 층 (1102) 으로부터 연장한다.
도 12f에 예시된 교번하는-높이 패턴은 y-방향으로 반복된다. 예를 들어, 도 12f에 예시된 필라들의 세트와 동일한 패턴을 갖는 복수의 필라들의 세트들은 세정 층 (1222) 의 편평한 층 (1102) 으로부터 y-축을 따라 연장한다.
또한, 세정 층 (1222) 의 임의의 2 개의 인접한 필라들 사이에, 편평한 층 (1102) 의 일부가 있다. 일 실시 예에서, 편평한 층 (1102) 상의 세정 층 (1222) 의 필라들의 밀도는 도 12f에 예시된 것과 상이하다. 예를 들어, 세정 층 (1222) 의 임의의 2 개의 인접한 필라들 사이의 거리는 도 12f에 예시된 것보다 보다 크거나 보다 작다.
일 실시 예에서, 세정 층 (1222) 의 보다 큰 필라들은 도 12f에 예시된 것과 상이한 배향을 갖는다. 예를 들어, 필라들 (1224A 및 1224C) 은 x-방향 대신 y-방향으로 대면하도록 배향된 상단 표면들과 같은 상단부들을 갖는다. 또 다른 예로서, 필라들 (1224A 및 1224C) 은 x-방향으로 대면하지만 음의 x-방향으로 대면하도록 배향되는 상단부들을 갖는다.
일 실시 예에서, 세정 층 (1222) 의 보다 큰 필라들 중 하나 이상은 보다 큰 필라들의 나머지 필라들 중 하나 이상과 상이한 방향으로 대면하도록 배향된 상단부들을 갖는다. 예를 들어, 필라 (1224A) 는 x-방향을 대면하도록 배향된 상단부를 갖도록 구부러지고 필라 (1224C) 는 y-방향을 대면하도록 배향된 상단부를 갖도록 구부러진다.
일 실시 예에서, 세정 층 (1222) 의 보다 짧은 필라들은 x-방향, y-방향, 및 z-방향과 같은 하나 이상의 방향들로 구부러진다.
도 12g는 세정 층 (1226) 의 필라들의 세트의 일 실시 예의 측면도이다. 세정 층 (1226) 은 세정 층 (456) (도 11a) 대신 사용된다. 예를 들어, 세정 층 (1226) 은 프레스 플레이트 (806) (도 11b) 에 부착된다. 세정 층 (1226) 은 도 12a에 예시된 바와 같이 교번하는 높이들의 필라들을 포함하고 세정 층 (1226) 의 보다 큰 필라들은 일 배향으로 구부러진다. 또한, 세정 층 (1226) 의 보다 큰 필라들은 세정 층 (1226) 의 보다 짧은 필라들보다 보다 두껍다. 예를 들어, 세정 층 (1226) 의 필라 (1228A) 는 세정 층 (1226) 의 또 다른 필라 (1228B) 보다 보다 크고, x-방향으로 구부러지고, 필라 (1228B) 보다 보다 두껍다. 필라 (1228B) 는 필라 (1228A) 에 인접하고 2 개의 필라들 (1228A 및 1228B) 사이에 다른 필라가 없다. 또 다른 예로서, 세정 층 (1226) 의 필라 (1228C) 는 세정 층 (1226) 의 또 다른 필라 (1228D) 보다 보다 크고, x-방향으로 구부러지고, 필라 (1228D) 보다 보다 두껍다. 필라 (1228C) 는 필라 (1228B) 에 인접하고 2 개의 필라들 (1228B 및 1228C) 사이에 다른 필라가 없다. 유사하게, 필라 (1228D) 는 필라 (1228C) 에 인접하고 2 개의 필라들 (1228C 및 1228D) 사이에 다른 필라가 없다. 세정 층 (1226) 의 필라들의 세트는 세정 층 (1226) 의 편평한 층 (1102) 으로부터 연장한다.
도 12g에 예시된 교번하는 높이 패턴은 y-방향으로 반복된다. 예를 들어, 도 12g에 예시된 필라들의 세트와 동일한 패턴을 갖는 복수의 필라 세트들은 세정 층 (1226) 의 편평한 층 (1102) 으로부터 y-축을 따라 연장한다.
또한, 세정 층 (1226) 의 임의의 2 개의 인접한 필라들 사이에, 편평한 층 (1102) 의 일부가 있다. 일 실시 예에서, 편평한 층 (1102) 상의 세정 층 (1226) 의 필라들의 밀도는 도 12g에 예시된 것과 상이하다. 예를 들어, 세정 층 (1226) 의 임의의 2 개의 인접한 필라들 사이의 거리는 도 12g에 예시된 것보다 보다 크거나 보다 작다.
일 실시 예에서, 세정 층 (1226) 의 보다 큰 필라들은 도 12g에 예시된 것과 상이한 배향을 갖는다. 예를 들어, 필라들 (1228A 및 1228C) 은 x-방향 대신 y-방향으로 대면하도록 배향되는, 상단 표면들과 같은 상단부들을 갖는다. 또 다른 예로서, 필라들 (1228A 및 1228C) 은 x-방향으로 대면하지만 음의 x-방향으로 배향되는 상단부들을 갖는다.
일 실시 예에서, 세정 층 (1226) 의 보다 큰 필라들 중 하나 이상은 보다 큰 필라들의 나머지 필라들 하나 이상과 상이한 방향으로 대면하도록 배향된 상단부들을 갖는다. 예를 들어, 필라 (1228A) 는 x-방향을 대면하도록 배향된 상단부를 갖도록 구부러지고 필라 (1228C) 는 y-방향을 대면하도록 배향된 상단부를 갖도록 구부러진다.
일 실시 예에서, 세정 층 (1226) 의 보다 짧은 필라들은 x-방향, y-방향, 및 z-방향과 같은 하나 이상의 방향들로 구부러진다.
도 12h는 세정 층 (1214) 의 필라들의 편향을 예시하기 위한 세정 층 (1214) 의 일 실시 예의 측면도이다. 필라들 (1216C 및 1216D) 이 샤워헤드 (108) 의 하단 표면 (604C) 과 콘택트할 때, 필라들 (1216C 및 1216D) 은 샤워헤드 (108) 를 세정하기 위해, x-방향으로 구부러지는 것과 같이 편향된다. 더욱이, 필라들 (1216C 및 1216D) 이 하단 표면 (604C) 과 콘택트할 때, 필라들 (1216A 및 1216B) 은 샤워헤드 (108) 와 콘택트하지 않고, 하단 표면 (604C) 으로부터 입자들을 유인하기 위해 정전기력을 인가한다.
도 12i는 샤워헤드 (108) 의 하단 표면 (604C) 과 콘택트하는 필라 (1216C) 의 상세한 측면도이다. 필라 (1216C) 가 하단 표면 (604C) 과 콘택트하도록 수직 방향으로 상승되고 샤워헤드 (108) 와 콘택트할 때, 힘 F1이 샤워헤드 (108) 를 세정하기 위해 수직 방향으로 필라 (1216C) 에 의해 인가된다. 더욱이, 스핀들 (212) (도 3) 이 축 (216) (도 3) 을 중심으로 회전하거나 회전 섹션 (408) 이 축 (413) (도 4a) 을 중심으로 회전하거나 (도 4a) 스핀들 (212) 및 회전 섹션 (408) 모두가 각각의 축들 (216 및 413) 을 중심으로 동시에 회전할 때, x-방향 및 y-방향의 수평 힘이 샤워헤드 (108) 의 하단 표면 (604C) 에 밀착되는 입자들을 제거하도록 세정 층 (1214) 에 의해 인가된다. 입자들 (606A) (도 6a) 중 일부와 같은 입자들은 필라 (1216C) 의 상단 표면 (1223) 이 샤워헤드 (108) 를 세정하기 위해 계속해서 정전기력을 인가할 수 있도록 필라 (1216C) 의 측면 (1262) 아래로 편평한 층 (1102) 으로 이동한다. 입자들은 임의의 2 개의 인접한 필라들 사이에서 편평한 층 (1102) 을 향해 아래로 이동한다. 입자들은 본 명세서에서 때때로 오염 물질들 또는 미립자들로 지칭된다. 입자들의 예는 분말상 물질의 입자들을 포함한다. 더욱이, 용어들 아래로 이동하고 (travel down), 마이그레이션하고 (migrate), 아래로 횡단하는 (traverse down) 것은 본 명세서에서 상호 교환 가능하게 사용된다.
유사한 방식으로, 필라들 (1216A 및 1216B) 이 샤워헤드 (108) 의 하단 표면 (604C) 에 근접하지만 콘택트하지 않을 때 입자들은 편평한 층 (1102) 으로 필라들 (1216A 및 1216B) (도 12h) 의 측면들 아래로 이동한다. 필라들 (1216A 및 1216B) 은 정전기력 또는 반 데르 발스 힘에 의해 입자들을 유인한다.
도 13a는 그리드 패턴을 예시하기 위한 세정 층 (1300) 의 일 실시 예의 평면도이다. 세정 층 (1300) 은 정사각형 패턴으로 배열되는, 필라들 (1302A, 1302B, 1302C, 및 1302D) 과 같은 필라들의 매트릭스를 포함한다. 예를 들어, 필라들 (1302A 내지 1302D) 은 정사각형의 꼭짓점들이다. 세정 층 (1300) 은 세정 층 (456) (도 4c), 및 세정 층 (804) (도 8) 의 일 예이다.
일 실시 예에서, 세정 층 (1300) 의 필라들은 도 13a에 예시된 것보다 보다 더 치밀하거나 보다 덜 치밀한 방식으로 배열된다.
도 13b는 필라들의 서브-패턴을 예시하기 위한 세정 층 (1310) 의 일 실시 예의 평면도이다. 세정 층 (1310) 은 서브-패턴들 (1312A, 1312B, 및 1312C) 과 같은 서브-패턴들의 배열을 갖고, 서브-패턴 각각은 복수의 필라들을 갖는다. 예를 들어, 서브-패턴 (1312A) 은 삼각형 패턴으로 배열되는 필라들 (1302A, 1302B, 및 1302C) 을 갖는다. 예시를 위해, 필라들 (1302A 내지 1302C) 은 서브-패턴 (1312A) 내에 형성된 삼각형의 꼭짓점들이다.
세정 층 (1310) 의 서브-패턴들은 삼각형 패턴으로 배열된다. 예를 들어, 서브-패턴들 (1312A, 1312B, 및 1312C) 은 삼각형의 꼭짓점들을 형성한다. 세정 층 (1310) 은 세정 층 (456) (도 4c), 및 세정 층 (804) (도 8) 의 일 예이다.
일 실시 예에서, 삼각형 패턴 대신, 세정 층 (1310) 의 서브-패턴들은 정사각형 패턴 또는 직사각형 패턴 또는 다각형 패턴 또는 타원형 패턴 또는 라운드 패턴과 같은 또 다른 패턴으로 배열된다.
일 실시 예에서, 복수의 타입들의 패턴들, 예컨대 정사각형 패턴 및 삼각형 패턴이 세정 층 (1310) 의 서브-패턴들에 의해 형성된다.
일 실시 예에서, 3 개의 필라들 대신에, 세정 층 (1310) 의 서브-패턴은 4 개 또는 5 개의 필라들과 같은 임의의 다른 수의 필라들을 포함한다.
일 실시 예에서, 세정 층 (1310) 의 서브-패턴의 필라들에 의해 형성된 삼각형 패턴 대신, 정사각형 패턴 또는 라운드 패턴 또는 타원형 패턴 또는 다각형 패턴과 같은 또 다른 타입의 패턴이 형성된다.
일 실시 예에서, 세정 층 (1310) 의 필라들은 도 13b에 예시된 것보다 보다 더 치밀하거나 보다 덜 치밀한 방식으로 배열된다.
도 13c는 세정 층 (1302) 이 필라 섹션들 및 비필라 섹션들 (non-pillar sections) 로 분배된다는 것을 예시하기 위한 세정 층 (1302) 의 일 실시 예의 평면도이다. 세정 층 (1302) 은 필라 섹션 (1322A) 및 또 다른 필라 섹션 (1322B) 을 포함한다. 세정 층 (1302) 은 비필라 섹션 (1324) 을 더 포함한다. 비필라 섹션 (1324) 은 필라 섹션 (1322A) 을 필라 섹션 (1322B) 으로부터 분리하기 위해 필라 섹션 (1322A) 과 필라 섹션 (1322B) 사이에 있다.
비필라 섹션 (1324) 은 편평하고 어떠한 필라들도 포함하지 않는다. 예를 들어, 비필라 섹션 (1324) 은 편평한 층 (1102) 의 부분이고 이 부분으로부터 방출되는 필라들을 갖지 않는다.
필라 섹션 (1322A) 은 필라들 (1302A, 1302B, 및 1302C) 과 같은 복수의 필라들을 갖는다. 예로서, 필라들 (1302A 내지 1302C) 은 선형 패턴으로 배열된다. 예시를 위해, 필라들 (1302A 내지 1302C) 은 라인 상에 점들을 형성한다.
필라 섹션들 (1322A 및 1322B) 내에 복수의 필라들의 라인들의 어레이가 형성된다. 예를 들어, 제 1 라인은 필라들 (1302A 내지 1302C) 에 의해 형성되고, 제 2 라인은 세정 층 (1320) 의 다른 필라들의 세트에 의해 형성되고, 제 3 라인은 세정 층 (1302) 의 또 다른 필라들의 세트에 의해 형성된다. 제 2 라인은 제 1 라인 및 제 3 라인에 인접하고 제 1 라인과 제 3 라인 사이에 있다. 세정 층 (1320) 은 세정 층 (456) (도 4c), 및 세정 층 (804) (도 8) 의 일 예이다. 축 (216) (도 2) 에 대한 스핀들 (212) (도 2) 의 회전에 따른 세정 층 (1320) 의 회전이 또한 도 13c에 예시된다.
일 실시 예에서, 필라들 (1302A 내지 1302C) 은 커브 상에 지점들을 형성하도록 커브된 패턴으로 배열된다.
일 실시 예에서, 임의의 다른 타입의 패턴, 예컨대 삼각형 패턴 또는 다각형 패턴이 세정 층 (1320) 의 필라들에 의해 형성된다.
일 실시 예에서, 2 개의 필라 섹션들 대신, 세정 층 (1320) 은 3 개 또는 4 개의 필라 섹션들과 같은, 임의의 다른 수의 필라 섹션들을 포함한다. 필라 섹션 각각은 비필라 섹션, 예컨대 비필라 섹션 (1324) 에 의해 인접한 필라 섹션으로부터 분리된다.
도 14a는 돔-상단 필라 (1402) 의 일 실시 예의 측면도이다. 돔-상단 필라 (1402) 는 돔-섹션 (1404A) 및 편평-상단 섹션 (1404B) 을 갖는다. 돔-섹션 (1404A) 은 편평-상단 섹션 (1404B) 의 상단 상에 돔을 형성한다. 돔-섹션 (1404A) 은 z-축을 따라 상향 방향으로 볼록 형상이다. 돔-섹션 (1404A) 의 x-축을 따른 폭은 편평-상단 섹션 (1404B) 의 폭과 동일하거나 실질적으로 동일하다. 예를 들어, 돔-섹션 (1404A) 의 직경은 편평-상단 섹션 (1404B) 의 직경과 동일하거나 실질적으로 동일하다. 예시를 위해, 돔-섹션 (1404A) 의 폭은 편평-상단 섹션 (1404B) 의 폭으로부터 ± 5 %와 같은 미리 설정된 범위 내에 있다.
세정 층 (456) (도 4c) 의 필라 각각은 편평-상단 대신 돔-섹션 (1404A) 을 가질 수 있다. 유사하게, 세정 층 (1202) (도 12a), 세정 층 (1206) (도 12b), 세정 층 (1210) (도 12c), 세정 층 (1214) (도 12d), 세정 층 (1218) (도 12e), 세정 층 (1222) (도 12f), 세정 층 (1226) (도 12g), 세정 층 (1300) (도 13a), 세정 층 (1310) (도 13b), 및 세정 층 (1320) (도 13c) 의 필라 각각은 돔-섹션 (1404A) 을 가질 수 있다.
일 실시 예에서, 돔-상단 필라 (1402) 는 xy-평면에서 원형 단면을 갖는다.
일 실시 예에서, 돔-상단 필라 (1402) 는 xy-평면에서 다각형 단면 또는 xy-평면에서 타원형 단면을 갖는다.
도 14b는 버섯 형상 필라 (1406) 의 일 실시 예의 측면도이다. 버섯 형상 필라 (1406) 는 돔-섹션 (1408) 및 편평-상단 섹션 (1404B) 을 갖는다. 돔-섹션 (1408) 은 편평-상단 섹션 (1404B) 의 상단 상에 돔을 형성한다. 돔-섹션 (1408) 은 상향 방향으로 볼록한 형상이다. 돔-섹션 (1408) 의 x-축을 따른 폭은 편평-상단 섹션 (1404B) 의 폭보다 실질적으로 크다. 예를 들어, 돔-섹션 (1408) 의 직경은 편평-상단 섹션 (1404B) 의 직경의 110 %이다. 예시를 위해, 돔-섹션 (1408) 의 폭은 편평-상단 섹션 (1404B) 의 폭과 비교하여 적어도 10 %만큼 보다 크다.
세정 층 (456) (도 4c) 의 필라 각각은 편평-상단 대신 돔-섹션 (1408) 을 가질 수 있다. 유사하게, 세정 층 (1202) (도 12a), 세정 층 (1206) (도 12b), 세정 층 (1210) (도 12c), 세정 층 (1214) (도 12d), 세정 층 (1218) (도 12e), 세정 층 (1222) (도 12f), 세정 층 (1226) (도 12g), 세정 층 (1300) (도 13a), 세정 층 (1310) (도 13b), 및 세정 층 (1320) (도 13c) 의 필라 각각은 돔-섹션 (1408) 을 가질 수 있다.
일 실시 예에서, 편평-상단 섹션 (1404B) 은 xy-평면에서 원형 단면을 갖는다.
일 실시 예에서, 편평-상단 섹션 (1404B) 은 xy-평면에서 다각형 단면 또는 xy-평면에서 타원형 단면을 갖는다.
도 14c는 원뿔 형상 필라 (1410) 의 일 실시 예의 측면도이다. 원뿔 형상 필라 (1410) 는 y-방향에서 볼 때 원뿔의 단면을 갖는다. 예로서, 원뿔 형상 필라 (1410) 의 상단 부분 (1412A) 의 가장 큰 직경은 원뿔 형상 필라 (1410) 의 하단 부분 (1412B) 의 가장 큰 직경보다 작다. 상단 부분 (1412A) 은 하단 부분 (1412B) 의 상단 상에 있다.
세정 층 (456) (도 4c) 의 필라 각각은 원뿔 형상 필라 (1410) 일 수 있다. 유사하게, 세정 층 (1202) (도 12a), 세정 층 (1206) (도 12b), 세정 층 (1210) (도 12c), 세정 층 (1214) (도 12d), 세정 층 (1218) (도 12e), 세정 층 (1222) (도 12f), 세정 층 (1226) (도 12g), 세정 층 (1300) (도 13a), 세정 층 (1310) (도 13b), 및 세정 층 (1320) (도 13c) 의 필라 각각은 원뿔 형상 필라 (1410) 일 수 있다.
일 실시 예에서, 원뿔 형상 필라 (1410) 는 xy-평면에서 원형 단면을 갖는다.
일 실시 예에서, 원뿔 형상 필라 (1410) 는 xy-평면에서 다각형 단면 또는 xy-평면에서 타원형 단면을 갖는다.
도 14d는 편평-상단 필라 (468F) 의 일 실시 예의 측면도이다. 편평-상단 필라 (468F) 는 x-축을 따라 실질적으로 균일한 폭을 갖는다. 예를 들어, 편평-상단 필라 (468F) 의 폭은 고정된 폭으로부터 ± 5 %와 같은 미리 결정된 범위 내에 있다.
세정 층 (1202) (도 12a), 세정 층 (1206) (도 12b), 세정 층 (1210) (도 12c), 세정 층 (1214) (도 12d), 세정 층 (1218) (도 12e), 세정 층 (1222) (도 12f), 세정 층 (1226) (도 12g), 세정 층 (1300) (도 13a), 세정 층 (1310) (도 13b), 및 세정 층 (1320) (도 13c) 의 필라 각각은 편평-상단 필라 (468F) 일 수 있다.
일 실시 예에서, 편평-상단 필라 (468F) 는 xy-평면에서 원형 단면을 갖는다.
일 실시 예에서, 편평-상단 필라 (468F) 는 xy-평면에서 다각형 단면 또는 xy-평면에서 타원형 단면을 갖는다.
도 14e는 리세스형 (recessive)-상단 필라 (1414) 의 일 실시 예의 측면도이다. 리세스형-상단 필라 (1414) 는 리세스형-섹션 (1416) 및 편평-상단 섹션 (1404B) 을 갖는다. 리세스형-상단 필라 (1414) 는 편평-상단 섹션 (1404B) 의 상단 상에 리세스부를 형성한다. 리세스형-섹션 (1416) 은 z-축을 따라 하향 방향으로 오목한 형상이다. x-축을 따른, 리세스형-섹션 (1416) 의 폭은 편평-상단 섹션 (1404B) 의 폭과 동일하거나 실질적으로 동일하다. 예를 들어, 리세스형-섹션 (1416) 의 직경은 편평-상단 섹션 (1404B) 의 직경과 동일하거나 실질적으로 동일하다. 예시를 위해, 리세스형-섹션 (1416) 의 폭은 편평-상단 섹션 (1404B) 의 폭으로부터 ± 5 %와 같은 미리 설정된 범위 내이다.
세정 층 (456) (도 4c) 의 필라 각각은 편평-상단 대신 리세스형-섹션 (1416) 을 가질 수 있다. 예를 들어, 세정 층 (456) 의 필라 각각은 편평-상단 섹션 (1404B) 의 상단 상에 리세스형-섹션 (1416) 을 갖는다. 유사하게, 세정 층 (1202) (도 12a), 세정 층 (1206) (도 12b), 세정 층 (1210) (도 12c), 세정 층 (1214) (도 12d), 세정 층 (1218) (도 12e), 세정 층 (1222) (도 12f), 세정 층 (1226) (도 12g), 세정 층 (1300) (도 13a), 세정 층 (1310) (도 13b), 및 세정 층 (1320) (도 13c) 의 필라 각각은 세스형-섹션 (1416) 을 가질 수 있다.
일 실시 예에서, 리세스형-상단 필라 (1414) 는 xy-평면에서 원형 단면을 갖는다.
일 실시 예에서, 리세스형-상단 필라 (1414) 는 xy-평면에서 다각형 단면 또는 xy-평면에서 타원형 단면을 갖는다.
도 14f는 다중-표면 (multi-surface) 상단 필라 (1418) 의 일 실시 예의 측면도이다. 다중-표면 상단 필라 (1418) 는 상단 섹션 (1426) 및 편평-상단 섹션 (1404B) 을 갖는다. 상단 섹션 (1426) 은 편평-상단 섹션 (1404B) 의 상단 상에 있다. 상단 섹션 (1426) 은 복수의 상단 표면들 (1422 및 1424) 을 갖는다. 상단 표면 (1424) 은 상단 표면 (1422) 으로부터 하강된 단차부 (step down) 이다. 상단 표면 (1422 및 1424) 각각은 편평-상단을 갖는다.
세정 층 (456) (도 4c) 의 필라 각각은 다중-표면 상단 필라 (1418) 일 수 있다. 유사하게, 세정 층 (1202) (도 12a), 세정 층 (1206) (도 12b), 세정 층 (1210) (도 12c), 세정 층 (1214) (도 12d), 세정 층 (1218) (도 12e), 세정 층 (1222) (도 12f), 세정 층 (1226) (도 12g), 세정 층 (1300) (도 13a), 세정 층 (1310) (도 13b), 및 세정 층 (1320) (도 13c) 의 필라 각각은 편평-상단 섹션 (1404B) 을 가질 수 있다.
일 실시 예에서, 다중-표면 상단 필라 (1418) 는 xy-평면에서 원형 단면을 갖는다.
일 실시 예에서, 다중-표면 상단 필라 (1418) 는 xy-평면에서 다각형 단면 또는 xy-평면에서 타원형 단면을 갖는다.
도 14g는 슬롯형 (slotted)-상단 필라 (1430) 의 일 실시 예의 측면도이다. 슬롯형-상단 필라 (1430) 는 상단 섹션 (1432) 및 편평-상단 섹션 (1404B) 을 갖는다. 상단 섹션 (1432) 은 편평-상단 섹션 (1404B) 의 상단 상에 있다. 상단 섹션 (1432) 은 슬롯형-상단 필라 (1430) 의 상단 표면 (1434) 내에 형성된, 리세스부와 같은 슬롯 (1436) 을 갖는다.
세정 층 (456) (도 4c) 의 필라 각각은 슬롯형-상단 필라 (1430) 일 수 있다. 유사하게, 세정 층 (1202) (도 12a), 세정 층 (1206) (도 12b), 세정 층 (1210) (도 12c), 세정 층 (1214) (도 12d), 세정 층 (1218) (도 12e), 세정 층 (1222) (도 12f), 세정 층 (1226) (도 12g), 세정 층 (1300) (도 13a), 세정 층 (1310) (도 13b), 및 세정 층 (1320) (도 13c) 의 필라 각각은 상단 섹션 (1432) 을 가질 수 있다.
일 실시 예에서, 슬롯형-상단 필라 (1430) 는 xy-평면에서 원형 단면을 갖는다.
일 실시 예에서, 슬롯형-상단 필라 (1430) 는 xy-평면에서 다각형 단면 또는 xy-평면에서 타원형 단면을 갖는다.
도 14h는 돌출부-상단 필라 (1440) 의 일 실시 예의 측면도이다. 돌출부-상단 필라 (1440) 는 상단 섹션 (1442) 및 편평-상단 섹션 (1404B) 을 갖는다. 상단 섹션 (1442) 은 편평-상단 섹션 (1404B) 의 상단 상에 있다. 상단 섹션 (1442) 은 돌출부-상단 필라 (1440) 의 상단 표면 (1444) 상에 형성된 복수의 돌출부들 (1446A, 1446B, 및 1446C) 을 갖는다. 돌출부들 (1446A 내지 1446C) 은 상단 표면 (1444) 으로부터 상향 방향으로 돌출하거나 연장한다.
세정 층 (456) (도 4c) 의 필라 각각은 돌출부-상단 필라 (1440) 일 수 있다. 유사하게, 세정 층 (1202) (도 12a), 세정 층 (1206) (도 12b), 세정 층 (1210) (도 12c), 세정 층 (1214) (도 12d), 세정 층 (1218) (도 12e), 세정 층 (1222) (도 12f), 세정 층 (1226) (도 12g), 세정 층 (1300) (도 13a), 세정 층 (1310) (도 13b), 및 세정 층 (1320) (도 13c) 의 필라 각각은 상단 섹션 (1442) 을 가질 수 있다.
일 실시 예에서, 돌출부-상단 필라 (1440) 는 xy-평면에서 원형 단면을 갖는다.
일 실시 예에서, 돌출부-상단 필라 (1440) 는 xy-평면에서 다각형 단면 또는 xy-평면에서 타원형 단면을 갖는다.
도 15a는 세정 어셈블리 (220) (도 2) 의 움직임 (motion) 의 일 실시 예의 그래프 (1502) 이다. 그래프 (1502) 는 z-축을 따라 세정 어셈블리 (220) 에 의해 상향 또는 하향 이동된 수직 거리 대 세정 어셈블리 (220) 에 의해 이동된 수평 커브된 거리를 플롯팅한다. 수평 커브된 거리는 수평 거리 축에 플롯팅되고 수직 거리는 수직 거리 축에 플롯팅된다.
수평 커브된 거리는 xy-평면에서 세정 어셈블리 (220) 에 의해 횡단되는, 아크 형태의 커브-형상 거리라는 것을 주의해야 한다. 아크는 스핀들 (212) (도 2) 의 축 (216) 에 대해 또는 축 (216) 으로부터 형성된다. 스핀들 (212) 은 수평 거리 축을 따라 세정 어셈블리 (220) 를 이동시키도록 스핀들 (212) 의 축 (216) (도 2) 에 대해 회전한다.
세정 어셈블리 (220) 는 수평 거리 축 상의 위치 hd1에서 시작하고 세정 어셈블리 (220) 가 샤워헤드 (108) (도 1) 와 콘택트하거나 근접할 때까지 수직 방향으로 상향으로 이동한다. 예를 들어, 세정 어셈블리 (220) 는 높이 H1 (도 6a) 과 같은 거리 D1로부터 높이 H2 (도 6b) 와 같은 거리 D2로 이동한다. 일단 세정 어셈블리 (220) 가 샤워헤드 (108) 와 콘택트하거나 근접하게 되면, 세정 어셈블리 (220) 는 샤워헤드 (108) 를 세정하기 위해 스핀들 (212) 의 회전과 함께 이동된다. 세정 어셈블리 (220) 가 위치 hd1로부터 수평 거리 축 상의 위치 hd2에 도달할 때, 세정 어셈블리 (220) 는 샤워헤드 (108) 와의 근접도 및 콘택트를 제거하기 위해 수직 방향으로 하향으로 이동한다. 예를 들어, 세정 어셈블리 (220) 가 위치 hd2에 있을 때, 세정 어셈블리 (220) 는 높이 H2로부터 높이 H1로 하향으로 이동한다.
일 실시 예에서, 일 축에 대해 수평 거리 축을 따른 세정 어셈블리 (220) 의 운동으로, 세정 어셈블리 (220) 는 또 다른 축에 대해 회전한다. 예를 들어, 회전 섹션 (408) 은 축 (216) 에 대한 스핀들 (212) 의 회전과 동시에 세정 어셈블리 (220) 를 회전시키도록 축 (413) (도 4a) 에 대해 회전한다.
도 15b는 세정 어셈블리 (220) (도 2) 의 움직임의 일 실시 예의 그래프 (1504) 이다. 그래프 (1504) 는 z-축을 따라 세정 어셈블리 (220) 에 의해 이동된 수직 거리 대 세정 어셈블리 (220) 에 의해 이동된 수평 커브된 거리를 플롯팅한다.
세정 어셈블리 (220) 는 수평 거리 축 상의 위치 hd1에서 시작하고 세정 어셈블리 (220) 가 샤워헤드 (108) (도 1) 와 콘택트하거나 근접할 때까지 수직 방향으로 상향으로 이동한다. 예를 들어, 세정 어셈블리 (220) 는 높이 H1 (도 6a) 과 같은 거리 D1로부터 높이 H2 (도 6b) 와 같은 거리 D2로 이동한다. 일단 세정 어셈블리 (220) 가 샤워헤드 (108) 와 콘택트하거나 근접하게 되면, 세정 어셈블리 (220) 는 샤워헤드 (108) 를 세정하기 위해 스핀들 (212) 의 회전과 함께 이동된다.
세정 어셈블리 (220) 가 위치 hd1로부터 수평 거리 축 상의 위치 hd21에 도달할 때, 세정 어셈블리 (220) 는 샤워헤드 (108) 와의 근접도 및 콘택트를 제거하기 위해 수직 방향으로 하향으로 이동한다. 예를 들어, 세정 어셈블리 (220) 는 위치 hd21에 도달하고 높이 H2로부터 높이 H1과 높이 H2 사이의 중간 높이로 하향으로 이동한다.
세정 어셈블리 (220) 가 높이 H1과 높이 H2 사이의 중간 높이에 있고 위치 hd21에 있을 때, 세정 어셈블리 (220) 는 수평 커브된 축 상의 위치 hd31에 도달하도록 스핀들 (212) 의 회전과 함께 수평 커브된 거리를 따라 이동한다. 높이 H1과 높이 H2 사이의 중간 높이에서, 세정 어셈블리 (220) 는 샤워헤드 (108) 에 근접하지 않고 샤워헤드 (108) 와 콘택트하지 않는다. 세정 어셈블리 (220) 가 높이 H1과 높이 H2 사이의 중간 높이에 있을 때 샤워헤드 (108) 의 세정이 없다.
위치 hd31로부터, 세정 어셈블리 (220) 는 세정 어셈블리 (220) 가 샤워헤드 (108) (도 1) 와 콘택트하거나 근접할 때까지 수직 방향으로 상향으로 이동한다. 예를 들어, 세정 어셈블리 (220) 는 높이 H1과 높이 H2 사이의 중간 높이로부터 높이 H2, 세정 어셈블리 (220) 로 이동한다.
세정 어셈블리 (220) 가 높이 H2에 있고 위치 hd31에 있을 때, 세정 어셈블리 (220) 는 샤워헤드 (108) 를 세정하기 위해 수평 커브된 축 상의 위치 hd2에 도달하도록 스핀들 (212) 의 회전과 함께 수평 커브된 거리를 따라 이동한다. 위치 hd2에 도달하면, 세정 어셈블리 (220) 는 샤워헤드 (108) 와의 근접도 및 콘택트를 제거하기 위해 수직 방향으로 하향으로 이동한다. 예를 들어, 세정 어셈블리 (220) 는 위치 hd2에 도달하고 높이 H2로부터 높이 H1로 하향으로 이동한다.
그래프 (1504) 에 예시된 방식으로 샤워헤드 (108) 의 하단 표면 (604C) 과 세정 어셈블리 (220) 의 콘택트는 때때로 본 명세서에서 주기적인 콘택트로서 참조된다는 것을 주의해야 한다. 예를 들어, 위치 hd1과 위치 hd21 사이에서, 세정 어셈블리 (220) 는 하단 표면 (604) 과 콘택트하고 그리고 x-축을 따라 수평 방향으로 슬라이딩한다. 위치 hd21과 위치 hd31 사이에서, 세정 어셈블리 (220) 는 하단 표면 (604) 과 콘택트하지 않는다. 이어서 다시, 위치 hd31과 위치 hd2 사이에서, 세정 어셈블리 (220) 는 하단 표면 (604) 과 콘택트하고 x-축을 따라 슬라이딩한다. 슬라이딩 운동은 샤워헤드 (108) 의 하단 표면 (604) (도 6a) 으로부터 입자들을 제거하도록 입자들에 힘을 인가한다. 주기적인 콘택트는 두 시간 기간들 동안 발생한다. 시간 기간들 중 제 1 시간 기간은 세정 어셈블리 (220) 가 위치 hd1과 위치 hd21 사이에 있을 때 발생하고, 시간 기간들 중 제 2 시간 기간은 세정 어셈블리 (220) 가 위치 hd31과 위치 hd2 사이에 있을 때 발생한다. 이와 같이, 하단 표면 (604) 과 세정 어셈블리 (220) 의 콘택트는 주기적으로 반복된다.
일 실시 예에서, 세정 어셈블리 (220) 가 수평 거리 축 상의 위치 hd1로부터 위치 hd21에 도달할 때, 세정 어셈블리 (220) 는 콘택트를 제거하기 위해 수직 방향으로 하향으로 이동하지만 샤워헤드 (108) 와의 근접도는 제거하지 않는다.
일 실시 예에서, 위치들 (hd1, hd21, hd31, 및 hd2) 에서와 같이, 상향 및 하향 운동은 상기 기술된 두 시간 기간들보다 긴 기간 동안 샤워헤드 (108) 를 세정하기 위해 수 회 반복된다.
도 15c는 세정 어셈블리 (220) (도 2) 의 움직임의 일 실시 예의 그래프 (1506) 이다. 그래프 (1506) 는 z-축을 따라 세정 어셈블리 (220) 에 의해 이동된 수직 거리 대 세정 어셈블리 (220) 에 의해 이동된 수평 커브된 거리를 플롯팅한다. 그래프 (1506) 는, 그래프 (1506) 에서 세정 어셈블리 (1506) 가 높이 H2 (도 6b) 와 같은 거리 D2에 있을 때, 아크를 따라 세정 어셈블리 (1056) 의 전후 (back and forth) 움직임이 있다는 것을 제외하고 그래프 (1502) (도 15a) 와 동일하다.
세정 어셈블리 (1056) 의 전후 움직임은 스핀들 (212) (도 2) 의 전후 움직임에 의해 달성된다. 예를 들어, 축 (216) 에 대한 스핀들 (212) 의 회전 동안, 스핀들 (212) 은 제 1 시간 기간 tp1 동안 시계 방향으로 이동하고, 제 2 시간 기간 tp2 동안 반시계 방향으로 이동하고, 그리고 이를 시계 방향 움직임 및 반시계 방향 움직임으로 반복한다. 시간 기간 tp2는 시간 기간 tp1에 연속적으로 이어진다. 시계 방향 움직임 및 반시계 방향 움직임은 세정 어셈블리 (220) 가 위치들 (hd1 및 hd2) 사이의 높이 H2에 있는 시간 기간 동안 계속된다.
전후 움직임 동안, 세정 어셈블리 (1056) 는 샤워헤드 (108) 의 하단 표면 (604C) (도 6a) 과 콘택트하거나 하단 표면 (604C) 근방에 있다. 콘택트할 때, 세정 어셈블리 (1056) 는 하단 표면 (604C) 으로부터 입자들을 제거 및/또는 유인하기 위해 x-축을 따라 하단 표면 (604) 에 대해 슬라이딩한다. 입자들은 정전기력에 의해 유인된다.
도 15d는 세정 어셈블리 (220) (도 2) 의 움직임의 일 실시 예의 그래프 (1508) 이다. 그래프 (1508) 는 z-축을 따라 세정 어셈블리 (220) 에 의해 이동된 수직 거리 대 세정 어셈블리 (220) 에 의해 이동된 수평 커브된 거리를 플롯팅한다. 일단 세정 어셈블리 (220) (도 2) 가 거리 D2, 예컨대 높이 H2 (도 6b) 에 도달하면, 수평 거리 hd1에서, 회전 섹션 (408) (도 4a) 은 축 (413) (도 4a) 에 대해 그리고 연장된 섹션 (406) (도 4a) 에 대해 시계 방향 및 반시계 방향으로 또는 시계 방향 또는 반시계 방향의 조합으로 회전한다. 회전 섹션 (408) 은 샤워헤드 (408) 를 세정하기 위해 회전한다. 샤워헤드 (108) 를 세정한 후, 세정 어셈블리 (220) 는 위치 hd1에서 거리 D1, 예컨대 높이 H1 (도 6b) 를 갖도록 수직 방향으로 아래로 이동된다.
도 16a는 FOUP (1600) 의 일 실시 예의 측면도이다. FOUP (1600) 는 도어 (1606) 및 후면 벽 (1650E) 을 갖는 하우징을 포함한다. FOUP (1600) 는 포드 (204A) 또는 포드 (204B) (도 2) 의 일 예이다. FOUP (1600) 는 전면 벽 (1650F), 상단 벽 (1650A), 및 하단 벽 (1650C) 을 갖는다. FOUP (1600) 는 또한 우측 측벽 (1650B) 및 좌측 측벽 (1650D) 을 갖는다. 후면 벽 (1650E) 은 도어 (1606) 및 전면 벽 (1650F) 과 대면하고, 상단 벽 (1650A) 은 하단 벽 (1650C) 과 대면한다. 도어 (1606) 는 전면 벽 (1650F) 의 일부를 형성하고, 도어 노브 (1608) 를 사용하여 개방 및 폐쇄된다.
상단 벽 (1650A) 은 우측 측벽 (1650B), 좌측 측벽 (1650D), 전면 벽 (1650F) 및 후면 벽 (1650E) 에 연결되거나 일체화된다. 후면 벽 (1650E) 은 좌측 측벽 (1650D) 및 우측 측벽 (1650B) 에 연결되거나 일체화된다. 하단 벽 (1650C) 은 우측 측벽 (1650B), 좌측 측벽 (1650D), 전면 벽 (1650F) 및 후면 벽 (1650E) 에 연결되거나 통합된다.
공간이 후면 벽 (1650E) 과 전면 벽 (1650F) 사이, FOUP (1600) 의 좌측 측벽 (1650D) 과 우측 측벽 (1650B) 사이, 및 FOUP (1600) 의 상단 벽 (1650A) 과 하단 벽 (1650C) 사이에 형성된다. FOUP (1600) 는 하우징을 갖는 컨테이너이고 도어 (1606) 가 폐쇄될 때 인클로징된다. FOUP (1600) 는 FOUP (1600) 를 운반하기 위한 핸들 (1602) 을 갖고 EFEM의 포트 상에 FOUP (1600) 의 배치를 위한 베이스 (1604) 를 갖는다.
FOUP (1600) 는 복수의 지지 연장부들 (1610A, 1610B, 1610C, 및 1610D) 을 갖는다. 지지 연장부 (1610A 내지 1610D) 각각은 후면 벽 (1650E) 으로부터 x-축을 따른 방향으로 연장하는 베이스 층 (1616) 과 같은 베이스 층을 갖는다.
지지 연장부 (1610A 내지 1610D) 각각은 세정 어셈블리 (220) 를 지지한다. 예를 들어, 지지 연장부 (1610A) 는 베이스 층 (1616) 으로부터 연장하는 복수의 컬럼 또는 포스트들 (1614A, 1614B, 및 1614C) 을 갖는다. 컬럼 (1614A 내지 1614C) 각각은 돌출부들 (412A 내지 412C) 중 대응하는 돌출부들 (412A 내지 412C) 을 수용하기 위해 상단에 리세스부, 예컨대 하향 방향으로 슬롯 또는 오목한 표면을 갖는다. 예를 들어, 컬럼 (1614A) 은 돌출부 (412A) 를 수용하기 위한 리세스부 (1618) 와 같은 리세스부를 갖고, 컬럼 (1614B) 은 돌출부 (412B) 를 수용하기 위한 리세스부를 갖고, 컬럼 (1614C) 은 세정 어셈블리 (220) 를 지지하기 위해 돌출부 (412C) 를 수용하기 위한 리세스부를 갖는다. 또 다른 예로서, 돌출부 (412A) 는 컬럼 (1614A) 의 상단 표면 상의 리세스부 (1618) 와 피팅되고, 돌출부 (412B) 는 컬럼 (1614B) 의 상단 표면 상의 리세스부와 피팅되고, 그리고 돌출부 (412C) 는 컬럼 (1614C) 의 상단 표면 상의 리세스부와 피팅된다. 또 다른 예로서, 돌출부 (412A) 는 리세스부 (1618) 내로 수직으로 하향 이동하고 운동 후 리세스부 (1618) 에 의해 둘러싸인다 (surround). 축 (1620) 이 지지 연장부들 (1610A 내지 1610D) 에 의해 형성된 삼각형 평면의 중심을 통해 수직으로 통과한다.
일 실시 예에서, 돌출부들 (412A 내지 412C) 은 회전 섹션 (408) 상에 배치될 때 돌출부들 (412A 내지 412C) 을 정렬할 필요가 없도록 컬럼들 (1614A 내지 1614C) 의 리세스부들에 대해 미리 정렬된다 (pre-align). 예를 들어, x-축에 대한 xy 평면의 회전 섹션 (408) 의 배향은 x-축에 대한 xy 평면의 컬럼들 (1614A 내지 1614C) 의 배향과 동일하거나 실질적으로 동일하다. 예시를 위해, 60 °의 각도가 xy-평면에서 x-축에 대해 컬럼 (1614A) 에 의해 형성될 때, 60 °의 각도와 동일한 각도 또는 60 °의 각도로부터 ± 2 %와 같은 미리 결정된 범위 내의 각도는 xy-평면에서 x-축에 대해 돌출부 (412A) 에 의해 형성된다. 세정 어셈블리 (220) 가 회전 섹션 (408) 상에 배치되기 직전에 돌출부들 (412A 내지 412C) 을 회전시키고 정렬시키기 위해 회전 섹션 (408) 을 제어할 필요가 없다. 오히려, 회전 섹션 (408) 은 호스트 컴퓨터 (102) (도 1) 에 의해 제어되고 동작된 후 매번 달성되는 정렬의 디폴트 (default) 배향을 갖는다.
도 16b는 FOUP (1600) 의 평면도의 일 실시 예의 도면이다. 베이스 (1616) 는 x-축을 따라 음의 x-방향으로 후면 벽 (1650E) 으로부터 연장한다. 컬럼들 (1614A 내지 1614C) 은 세정 어셈블리 (220) 에 운동학적 지지를 제공하도록 삼각형 패턴을 형성한다.
도 17a는 세정 어셈블리 (802) 와 같은 복수의 세정 어셈블리들을 저장하기 위한 FOUP (1700) 의 일 실시 예의 평면도이다. FOUP (1700) 는 포드 (204A) 또는 포드 (204B) (도 2) 의 일 예이다. FOUP (1700) 는 지지 연장부들, 예컨대 지지 연장부들 (1610A 내지 1610D) 대신에, FOUP (1700) 가 지지 부재 (1702A) 및 지지 부재 (1702B) 를 포함하는 것을 제외하고 FOUP (1600) (도 16a) 와 동일한 구조를 갖는다. 지지 부재 (1702A) 는 후면 벽 (1650E) 및 좌측 측벽 (1650D) 에 연결되거나 일체화되고 벽들 (1650D 및 1650E) 로부터 세정 어셈블리 (802) 의 좌측 에지를 지지할 수 있을 때까지 FOUP (1700) 내 공간 내로 연장한다. 유사하게, 지지 부재 (1702B) 는 후면 벽 (1650E) 및 우측 측벽 (1650B) 에 연결되거나 일체화되고 벽들 (1650B 및 1650E) 로부터 세정 어셈블리 (802) 의 우측 에지를 지지할 수 있을 때까지 FOUP (1700) 내 공간 내로 연장한다. 세정 어셈블리 (802) 의 우측 에지는 세정 어셈블리 (802) 의 좌측 에지와 마주본다 (opposite). 지지 부재 (1702A) 는 세정 어셈블리 (802) 의 좌측 에지 및 우측 에지를 지지하도록 지지 부재 (1702B) 와 y-방향으로 정렬된다.
FOUP (1700) 는 후면 벽 (1650E) 및 좌측 측벽 (1650D) 에 연결되거나 일체화된 지지 부재 (1702A) 와 같은 복수의 지지 부재들의 컬럼을 포함한다. 유사하게, FOUP (1700) 는 후면 벽 (1650E) 및 우측 측벽 (1650B) 에 연결되거나 일체화된 지지 부재 (1702B) 와 같은 복수의 지지 부재들의 컬럼을 포함한다. 지지 부재들의 컬럼 각각은 z-방향으로 연장한다.
일 실시 예에서, FOUP (1700) 는 세정 어셈블리 (802) 를 지지하기 위해, 지지 연장부들의 컬럼들, 예컨대 컬럼들 (1614A 내지 1614C) 이 없는 FOUP (1600) 의 지지 연장부들 (1610A 내지 1610D) 을 포함한다.
도 17b는 세정 어셈블리 (802) 와 같은 복수의 세정 어셈블리들을 저장하기 위한 FOUP (1750) 의 일 실시 예의 평면도이다. FOUP (1750) 는 포드 (204A) 또는 포드 (204B) (도 2) 의 일 예이다. FOUP (1750) 는 지지 연장부들, 예컨대 지지 연장부들 (1610A 내지 1610D) 대신에, FOUP (1750) 가 타인 (tine) (1752A) 및 타인 (1752B) 을 포함하는 것을 제외하고 FOUP (1600) (도 16a) 와 동일한 구조를 갖는다. 때때로 타인은 본 명세서에서 프롱 (prong) 으로 지칭된다. 타인 (1752A) 은 후면 벽 (1650E) 에 연결되거나 일체화되고 그리고 세정 어셈블리 (802) 의 좌측 에지를 지지하도록 후면 벽 (1650E) 으로부터 FOUP (1700) 내 공간 내로 연장한다. 유사하게, 타인 (1752B) 은 후면 벽 (1650E) 에 연결되거나 일체형이고 세정 어셈블리 (802) 의 우측 에지를 지지하도록 FOUP (1750) 내의 공간으로 연장한다. 타인 (1752A) 은 세정 어셈블리 (802) 의 좌측 에지 및 우측 에지를 지지하도록 타인 (1752B) 과 y-방향으로 정렬된다.
FOUP (1750) 는 후면 벽 (1650E) 에 연결되거나 일체화된, 타인 (1752A) 과 같은, 복수의 타인들의 컬럼을 포함한다. 유사하게, FOUP (1750) 는 후면 벽 (1650E) 에 연결되거나 일체화된 타인 (1752B) 과 같은, 복수의 타인들의 컬럼을 포함한다. 타인들의 컬럼 각각은 z-방향으로 연장한다.
도 18a는 일 롤러 (1804) 를 갖는 롤러 시스템 (1802) 을 예시하기 위한 세정 어셈블리 (1800) 의 일 실시 예의 저면도이다. 예로서, 세정 어셈블리 (1800) 는 z-방향에서 볼 때 원형 단면을 갖고, 세정 어셈블리 (1800) 는 내측 표면 부분들 (1808A 및 1808B) 을 갖는 일 원형 측표면을 갖는다. 세정 어셈블리 (1800) 의 내측 표면의 절반은 내측 표면 부분 (1808A) 을 형성하고 내측 표면의 나머지 절반은 내측 표면 부분 (1808B) 을 형성한다.
세정 어셈블리 (1800) 는 롤러 시스템 (1802) 을 포함하고 하우징 (1820) 을 갖는다. 하우징 (1820) 은 개구부 (1823) 를 형성한다. 내측 표면 부분들 (1808A 및 1808B) 은 개구부 (1823) 와 대면한다. 롤러 시스템 (1802) 은 바 (bar) (1806) 및 롤러 (1804) 를 갖는다. 바는 때때로 본 명세서에서 로드 (rod) 로 지칭된다. 바 (1806) 는 알루미늄 또는 알루미늄 합금과 같은 금속으로 제조된다. 또 다른 예로서, 바 (1806) 는 세라믹으로 제조된다. 롤러 (1804) 는 세정 재료로 이루어진다. 예를 들어, 롤러 (1804) 는 세정 층 (456) (도 4c) 또는 세정 층 (804) (도 10) 또는 바 (1806) 주위에 랩핑된 (wrap) 세정 층 (1202 또는 1206 또는 1210 또는 1214 또는 1218 또는 1222 또는 1226) (도 12a 내지 도 12g) 을 갖는다.
롤러 (1804) 는 균일하거나 실질적으로 균일한 외경을 갖는다. 예를 들어, 바 (1806) 의 중심 축 (1810) 을 따른 롤러 (1804) 의 외측 표면 (1803) 의 직경은 미리 결정된 직경의 1 내지 2 % 이내이다. 중심 축 (1810) 은 바 (1806) 의 중심을 통과한다. 외측 표면 (1803) 은 롤러 (1804) 의 내측 표면 (1805) 과 마주본다. 내측 표면 (1805) 은 바 (1806) 에 인접하고 외측 표면 (1803) 은 바 (1806) 에 인접하지 않다. 내측 표면 (1805) 은 내측 표면 (1805) 과 비교하여 중심 축 (1810) 에 보다 가깝다. 샤워헤드 (108) 를 세정하기 위해 바 (1806) 주위의 롤러 (1804) 의 롤링 움직임과 같은, 회전을 용이하게 하도록 내측 표면 (1805) 과 바 (1806) 사이에 갭이 생성된다.
바 (1806) 는 세정 어셈블리 (1800) 의 내측 표면 부분들 (1808A 및 1808B) 에 부착된다. 롤러 (1804) 는 바 (1806) 의 중심 축 (1810) 주위로 롤링하도록 바 (1806) 주위에 랩핑된다. 예를 들어, 롤러 (1804) 의 일 에지는 바 (1806) 주위에 롤러 (1804) 를 랩핑하기 위해 바 (1806) 주위에 롤링된 후 롤러 (1804) 의 반대편 에지에 부착, 예컨대 접착되거나 화학적으로 결합된다. 또 다른 예로서, 롤러 (1804) 는 홀을 통한 바 (1806) 의 통과를 허용하도록 롤러 (1804) 의 길이를 따라 통과하는 홀을 갖도록 몰딩 머신을 사용하여 제조된다. 롤러 (1804) 는 축 (216) (도 2) 을 중심으로 스핀들 (212) (도 2) 의 회전, 또는 축 (413) (도 4a) 을 중심으로 회전 섹션 (408) (도 4a) 의 회전으로, 또는 이들의 조합으로 중심 축 (1810) 에 대해 롤링된다. 롤러 (1804) 는 샤워헤드 (108) 를 세정하도록 롤링된다.
도 18b는 세정 어셈블리 (1800) 의 일 실시 예의 측면도이다. 세정 어셈블리 (1800) 의 하우징 (1820) (도 18a) 은 측표면 부분들 (462B 및 462D) 및 하단 표면 (462C) 을 포함한다. 하우징 (1820) 은 또한 하단 표면 (462C) 의 편평한 부분으로부터 연장하는 돌출부들 (412A 내지 412C) 을 갖는다. 하우징 (1820) 의 개구부 (1823) 는 세정 어셈블리 (1800) 의 내측 표면 및 하단 표면 (462C) 에 의해 둘러싸인다. 개구부 (1823) 는 하우징 (1820) 의 상단 표면에 의해 인클로징되지 않는다.
롤러 시스템 (1802) 의 리셉터 부착부 (1822A) 는 하우징 (1820) 의 내측 표면 부분 (1808A) 에 부착, 예컨대 나사 결합되고, 롤러 시스템 (1802) 의 또 다른 리셉터 부착부 (1822B) 는 내측 표면 부분 (1808B) 에 부착된다. 리셉터 부착부들 (1822A 및 1822B) 은 알루미늄 또는 알루미늄 합금과 같은 금속으로 이루어진다. 리셉터 부착부 (1822A) 는 나사산들 (screw threads) (1814A) 을 갖고, 리셉터 부착부 (1822B) 는 나사산들 (1814B) 을 갖는다.
바 (1806) 는 2 개의 단부들 각각에 나사산들 (1812A 및 1812B) 을 갖는다. 바 (1806) 는 나사산들 (1812A) 이 나사산들 (1814A) 과 인게이지할 (engage) 때 리셉터 부착부 (1822A) 와 피팅된다. 유사하게, 바 (1806) 는 나사산들 (1812B) 이 나사산들 (1814B) 과 인게이지할 때 리셉터 부착부 (1822B) 와 피팅된다.
일 실시 예에서, 바 (1806) 가 내측 표면 부분들 (1808A 및 1808B) 및 바 (1806) 주위에서 회전하는 롤러 (1804) 에 고정되는 대신, 볼 베어링들이 내측 표면 부분들 (1808A 및 1808B) 에 고정되고 내부에 삽입되고, 롤러 (1804) 는 바 (1806) 에 대해 고정된다. 바 (1806) 는 볼 베어링들에 부착된다. 예를 들어, 리셉터 부착부들 (1822A 및 1822B) 대신에, 볼 베어링들이 사용될 수 있다. 롤러 (1804) 는 바 (1806) 를 중심으로 회전하지 않도록 롤러 (1804) 의 내측 표면 (1805) 과 바 (1806) 사이에 갭이 없거나 최소화되도록 바 (1806) 에 대해 고정된다. 롤러 (1804) 및 바 (1806) 는 볼 베어링들의 회전과 함께 중심 축 (1810) 을 중심으로 회전한다. 볼 베어링들은 축 (216) 을 중심으로 스핀들 (212) 의 회전 또는 축 (413) 을 중심으로 회전 섹션 (408) 의 회전과 함께 회전한다.
도 19a는 2 개의 롤러들 (1902A 및 1902B) 을 갖는 롤러 시스템 (1901) 을 예시하기 위한 세정 어셈블리 (1900) 의 일 실시 예의 도면이다. 세정 어셈블리 (1900) 는 세정 어셈블리 (1900) 가 하나의 롤러 대신 2 개의 롤러들 (1902A 및 1902B) 을 갖는 것을 제외하고, 세정 어셈블리 (1800) (도 18a) 와 구조가 동일하다.
롤러 (1902A 및 1902B) 각각은 세정 재료로 이루어진다. 예를 들어, 롤러 (1902A 및 1902B) 각각은 세정 층 (456) (도 4c) 또는 세정 층 (804) (도 10) 또는 바 (1806) 주위에 랩핑된 (wrap) 세정 층 (1202 또는 1206 또는 1210 또는 1214 또는 1218 또는 1222 또는 1226) (도 12a 내지 도 12g) 을 갖는다. 롤러 (1902A 및 1902B) 각각은 롤러 (1804) (도 18a) 를 참조하여 상기 기술된 것과 동일한 방식으로 실질적으로 균일한 직경 또는 균일한 직경을 갖는다.
롤러 (1902A 및 1902B) 각각은 바 (1806) 의 중심 축 (1810) 주위로 롤링하도록 바 (1806) 주위에 랩핑된다. 예를 들어, 롤러 (1902A) 의 일 에지는 바 (1806) 주위에 롤링된 후 롤러 (1902A) 를 바 (1806) 주위에 랩핑하도록 롤러 (1902A) 의 반대편 에지에 부착, 예컨대 접착되거나 화학적으로 결합된다. 또 다른 예로서, 롤러 (1902B) 의 일 에지는 바 (1806) 주위에 롤러 (1902B) 를 랩핑하기 위해 바 (1806) 주위로 롤링된 후 롤러 (1902B) 의 반대편 에지에 동일한 방식으로 부착된다. 또 다른 예로서, 롤러 (1902A) 는 바 (1806) 의 통과를 허용하도록 롤러 (1902A) 의 길이를 따라 통과하는 홀을 갖도록 몰딩 머신을 사용하여 제조되고, 롤러 (1902B) 는 바 (1806) 의 통과를 허용하도록 롤러 (1902B) 의 길이를 따라 통과하는 홀을 갖도록 몰딩 머신을 사용하여 제조된다. 롤러 (1902A 및 1902B) 각각은 축 (216) (도 2) 에 대해 스핀들 (212) (도 2) 의 회전, 또는 축 (413) (도 4a) 에 대해 회전 섹션 (408) (도 4a) 의 회전으로, 또는 이들의 조합으로 중심 축 (1810) 에 대해 롤링된다. 롤러 (1902A 및 1902B) 각각은 샤워헤드 (108) 를 세정하도록 롤링된다. 롤러 (1902A 및 1902B) 각각은 바 (1806) 에 대한 롤러의 회전을 용이하게 하도록 롤러의 내측 표면과 바 (1806) 사이에 갭을 생성하도록 바 (1806) 주위에 랩핑된다. 롤러 (1902A 및 1902B) 각각의 내측 표면과 바 (1806) 사이의 갭은 갭이 롤러 (1804) (도 18a) 의 내측 표면 (1805) 과 바 (1806) 사이에 생성되는 동일한 방식으로 생성된다.
도 19b는 세정 어셈블리 (1900) 의 일 실시 예의 측면도이다. 하우징 (1820) 은 리셉터 부착부들 (1822A 및 1822B) 을 갖는다. 리셉터 부착부 (1822A) 는 나사산들 (1812A) (도 18b) 을 갖는 바 (1806) 의 단부와 인게이지하고, 리셉터 부착부 (1822B) 는 나사산들 (1812B) (도 18b) 을 갖는 바 (1806) 의 반대편 단부와 인게이지한다.
일 실시 예에서, 3 개 또는 4 개의 롤러들과 같은 3 개 이상의 롤러들은 샤워헤드 (108) 를 세정하기 위해 바 (1806) 주위에 랩핑된다.
일 실시 예에서, 바 (1806) 가 내측 표면 부분들 (1808A 및 1808B) 및 바 (1806) 주위에서 회전하는 롤러들 (1902A 및 1902B) 에 고정되는 대신, 볼 베어링들이 내측 표면 부분들 (1808A 및 1808B) 에 고정되고 내부에 삽입되고, 롤러들 (1902A 및 1902B) 은 바 (1806) 에 대해 고정된다. 바 (1806) 는 볼 베어링들에 부착된다. 예를 들어, 리셉터 부착부들 (1822A 및 1822B) 대신에, 볼 베어링들이 사용될 수 있다. 롤러들 (1902A 및 1902B) 은 바 (1806) 를 중심으로 회전하지 않도록 롤러들 (1902A 및 1902B) 의 내측 표면들과 바 (1806) 사이에 갭이 없거나 최소화되도록 바 (1806) 에 대해 고정된다. 롤러들 (1902A 및 1902B) 및 바 (1806) 는 볼 베어링들의 회전과 함께 중심 축 (1810) 을 중심으로 회전한다. 볼 베어링들은 축 (216) 을 중심으로 스핀들 (212) 의 회전 또는 축 (413) 을 중심으로 회전 섹션 (408) 의 회전과 함께 회전된다.
도 20a는 롤러 각각이 2 개의 바들 주위에 랩핑된 롤러 시스템 (2001) 을 예시하기 위한 세정 어셈블리 (2000) 의 일 실시 예의 평면도이다. 세정 어셈블리 (2000) 는 하우징 (1820) 을 포함하고 세정 어셈블리 (2000) 가 롤러 시스템 (2001) 을 포함하는 것을 제외하고 세정 시스템 (1900) (도 19a) 과 동일한 구조를 갖는다. 세정 어셈블리 (2000) 의 롤러 시스템 (2001) 은 바 (1806), 또 다른 바 (2006), 및 롤러들 (2002A 및 2002B) 을 포함한다.
롤러 (2002A 및 2002B) 각각은 바들 (1806 및 2006) 주위에 랩핑된다. 예를 들어, 롤러 (2002A) 의 일 에지는 바들 (1806 및 2006) 주위로 롤러 (2002A) 를 랩핑하도록 바들 (1806 및 2006) 주위에 롤링된 후 롤러 (2002A) 의 반대편 에지에 부착, 예컨대 접착되거나 화학적으로 결합된다. 또 다른 예로서, 롤러 (2002B) 의 일 에지는 바들 (1806 및 2006) 주위에 롤러 (2002B) 를 랩핑하기 위해 바들 (1806 및 2006) 주위로 롤링된 후 롤러 (2002B) 의 반대편 에지에 동일한 방식으로 부착된다. 또 다른 예로서, 롤러 (2002A) 는 바들 (1806 및 2006) 의 통과를 허용하도록 롤러 (2002A) 의 길이를 따라 통과하는 홀을 갖도록 몰딩 머신을 사용하여 제조되고, 롤러 (2002B) 는 바들 (1806 및 2006) 의 통과를 허용하도록 롤러 (2002B) 의 길이를 따라 통과하는 홀을 갖도록 몰딩 머신을 사용하여 제조된다. 롤러 (2002A 및 2002B) 각각은 롤러 (1804) (도 18a) 를 참조하여 상기 기술된 것과 동일한 방식으로 실질적으로 균일한 직경 또는 균일한 직경을 갖는다. 롤러 (2002A 및 2002B) 각각은 바들 (1806 및 2006) 에 대해 롤러의 회전을 용이하게 하도록 롤러의 내측 표면과 바들 (1806 및 2006) 사이에 갭을 생성하도록 바들 (1806 및 2006) 주위에 랩핑된다.
바 (2006) 는 알루미늄 또는 알루미늄 합금과 같은 금속으로 제조된다. 또 다른 예로서, 바 (2006) 는 세라믹으로 제조된다. 롤러 (2002A 및 2002B) 각각은 세정 재료로 이루어진다. 예를 들어, 롤러 (1804) 는 세정 층 (456) (도 4c) 또는 세정 층 (804) (도 10) 또는 바들 (1806 및 2006) 주위에 랩핑된 세정 층 (1202 또는 1206 또는 1210 또는 1214 또는 1218 또는 1222 또는 1226) (도 12a 내지 도 12g) 을 갖는다.
바 (2006) 는 바 (1806) 가 내측 표면 부분들 (1808A 및 1808B) 에 부착되는 동일한 방식으로 내측 표면 부분들 (1808A 및 1808B) 에 부착된다. 예를 들어, 리셉터 부착부 (2004A) 는 내측 표면 부분 (1808A) 에 나사 결합되고 또 다른 리셉터 부착부 (2004B) 는 내측 표면 부분 (1808B) 에 나사 결합된다.
로드 (2006) 의 제 1 단부는 로드 (1806) 가 리셉터 부착부 (1822A) 와 인게이지하는 동일한 방식으로 리셉터 부착부 (2004A) 와 인게이지된다. 예를 들어, 로드 (2006) 의 제 1 단부의 나사산들은 리셉터 부착부 (2004A) 의 나사산들과 인게이지한다. 유사하게, 로드 (2006) 의 제 2 단부는 로드 (1806) 가 리셉터 부착부 (1822B) 와 인게이지하는 동일한 방식으로 리셉터 부착부 (2004B) 와 인게이지된다. 예를 들어, 로드 (2006) 의 제 2 단부의 나사산들은 리셉터 부착부 (2004B) 의 나사산들과 인게이지한다. 바 (2006) 는 바 (2006) 의 중심을 통과하는 중심 축 (2008) 을 갖는다.
롤러들 (2002A 및 2002B) 은 축 (216) (도 2) 에 대해 스핀들 (212) (도 2) 의 회전, 또는 축 (413) (도 4a) 에 대해 회전 섹션 (408) (도 4a) 의 회전으로, 또는 이들의 조합으로 중심 축들 (1810 및 2008) 에 대해 롤링된다. 롤러들 (2002A 및 2002B) 은 샤워헤드 (108) 를 세정하도록 롤링된다.
일 실시 예에서, 세정 어셈블리 (2000) 는 바들 (1806 및 2006) 주위에 랩핑된 3 개, 4 개 또는 5 개의 롤러들 (2002A 및 2002B) 과 같은 3 개 이상의 롤러들을 포함한다.
일 실시 예에서, 바들 (1806 및 2006) 이 내측 표면 부분들 (1808A 및 1808B) 및 바들 (1806 및 2006) 주위에서 회전하는 롤러들 (2002A 및 2002B) 에 고정되는 대신, 볼 베어링들이 내측 표면 부분들 (1808A 및 1808B) 에 고정되고 내부에 삽입되고, 롤러들 (2002A 및 2002B) 은 바들 (1806 및 2006) 에 대해 고정된다. 바들 (1806 및 2006) 은 볼 베어링들에 부착된다. 예를 들어, 리셉터 부착부들 (1822A 및 1822B) 대신에, 한 쌍의 볼 베어링들이 사용될 수 있고, 리셉터 부착부들 (2004A 및 2004B) 대신, 또 다른 한 쌍의 볼 베어링들이 사용될 수 있다. 롤러들 (2002A 및 2002B) 은 바들 (1806 및 2006) 을 중심으로 회전하지 않도록 바들 (1806 및 2006) 에 대해 고정된다. 예를 들어, 롤러들 (2002A 및 2002B) 의 내측 표면들의 부분과 바들 (1806 및 2006) 사이에 갭이 없거나 최소화되어 롤러들 (2002A 및 2002B) 이 바들 (1806 및 2006) 주위에 단단히 피팅된다. 롤러들 (2002A 및 2002B) 및 바들 (1806 및 2006) 은 볼 베어링들의 회전과 함께 중심 축 (1810) 을 중심으로 회전한다. 볼 베어링들은 축 (216) 을 중심으로 스핀들 (212) 의 회전 또는 축 (413) 을 중심으로 회전 섹션 (408) 의 회전과 함께 회전한다.
도 20b는 복수의 바들 (1806 및 2006) 및 바들 (1806 및 2006) 각각 주위에 랩핑된 롤러들을 갖는 롤러 시스템 (2051) 을 예시하기 위한 세정 어셈블리 (2050) 의 일 실시 예의 평면도이다. 세정 어셈블리 (2050) 는 하우징 (1820) 을 포함하고 세정 어셈블리 (2050) 가 롤러 시스템 (2051) 을 포함하는 것을 제외하고 세정 시스템 (2000) (도 20a) 과 동일한 구조를 갖는다. 세정 어셈블리 (2050) 의 롤러 시스템 (2051) 은 롤러들 (2052A, 2052B, 2052C, 및 2052D) 을 포함한다.
롤러 (2052A 및 2052B) 각각은 바 (1806) 주위에 랩핑되고 롤러 (2052C 및 2052D) 각각은 바 (2006) 주위에 랩핑된다. 예를 들어, 롤러 (2052A) 의 일 에지는 바 (1806) 주위에 롤러 (2052A) 를 랩핑하기 위해 바 (1806) 주위에 롤링된 후 롤러 (2052A) 의 반대편 에지에 부착, 예컨대 접착되거나 화학적으로 결합된다. 또 다른 예로서, 롤러 (2052B) 의 일 에지는 바 (1806) 주위에 롤러 (2052B) 를 랩핑하기 위해 바 (1806) 주위로 롤링된 후 롤러 (2052B) 의 반대편 에지에 동일한 방식으로 부착된다. 또 다른 예로서, 롤러 (2052C) 의 일 에지는 바 (2006) 주위에 롤러 (2052C) 를 랩핑하기 위해 바 (2006) 주위로 롤링된 후 롤러 (2052C) 의 반대편 에지에 부착, 예컨대 접착되거나 화학적으로 결합되고 롤러 (2052D) 의 일 에지는 바 (2006) 주위에 롤러 (2052B) 를 랩핑하기 위해 바 (2006) 주위로 롤링된 후 롤러 (2052D) 의 반대편 에지에 동일한 방식으로 부착된다. 또 다른 예로서, 롤러 (2052A) 는 바 (1806) 의 통과를 허용하도록 롤러 (2052A) 의 길이를 따라 통과하는 홀을 갖도록 몰딩 머신을 사용하여 제조되고, 롤러 (2052B) 는 바 (1806) 의 통과를 허용하도록 롤러 (2052B) 의 길이를 따라 통과하는 홀을 갖도록 몰딩 머신을 사용하여 제조된다. 또 다른 예로서, 롤러 (2052C) 는 바 (2006) 의 통과를 허용하도록 롤러 (2052C) 의 길이를 따라 통과하는 홀을 갖도록 몰딩 머신을 사용하여 제조되고, 롤러 (2052D) 는 바 (2006) 의 통과를 허용하도록 롤러 (2052D) 의 길이를 따라 통과하는 홀을 갖도록 몰딩 머신을 사용하여 제조된다.
롤러 (2052A 및 2052B) 각각은 바 (1806) 에 대한 롤러의 회전을 용이하게 하도록 롤러의 내측 표면과 바 (1806) 사이에 갭을 생성하도록 바 (1806) 주위에 랩핑된다. 롤러 (2052A 및 2052B) 각각의 내측 표면과 바 (1806) 사이의 갭은 갭이 롤러 (1804) (도 18a) 의 내측 표면 (1805) 과 바 (1806) 사이에 생성되는 동일한 방식으로 생성된다. 유사하게, 롤러 (2052C 및 2052D) 각각은 바 (2008) 에 대한 롤러의 회전을 용이하게 하도록 롤러의 내측 표면과 바 (2008) 사이에 갭을 생성하도록 바 (2008) 주위에 랩핑된다.
롤러 (2052A, 2052B, 2052C, 및 2052D) 각각은 세정 재료로 이루어진다. 예를 들어, 롤러 (2052A) 는 세정 층 (456) (도 4c) 또는 세정 층 (804) (도 10) 또는 바 (1806) 주위에 랩핑된 (wrap) 세정 층 (1202 또는 1206 또는 1210 또는 1214 또는 1218 또는 1222 또는 1226) (도 12a 내지 도 12g) 을 갖는다. 롤러 (2052A, 2052B, 2052C, 및 2052D) 각각은 롤러 (1804) (도 18a) 를 참조하여 상기 기술된 것과 동일한 방식으로 실질적으로 균일한 직경 또는 균일한 직경을 갖는다.
축 (216) (도 2) 에 대해 스핀들 (212) (도 2) 의 회전, 또는 축 (413) (도 4a) 에 대해 회전 섹션 (408) (도 4a) 의 회전으로, 또는 이들의 조합으로 롤러들 (2052A 및 2052B) 은 중심 축들 (1810 및 2008) 에 대해 롤링되고 롤러들 (2052C 및 2052D) 은 중심 축 (2008) 에 대해 롤링된다. 롤러들 (2052A, 2052B, 2052C, 및 2052D) 은 샤워헤드 (108) 를 세정하도록 롤링된다.
일 실시 예에서, 세정 어셈블리 (2050) 는 바들 (1806 및 2006) 각각 주위에 랩핑된 2 개 초과, 예컨대 3 개, 4 개 또는 5 개의 롤러들 (2002A 및 2002B) 을 포함한다.
일 실시 예에서, 세정 어셈블리 (2050) 는 바들 (1806 및 2006) 각각 주위에 랩핑되는 하나의 롤러를 갖는다. 예를 들어, 롤러 (1804) (도 18a) 와 같은 제 1 롤러가 바 (1806) 주위에 랩핑되고 롤러 (1804) 와 같은 제 2 롤러가 바 (2006) 주위에 랩핑된다.
일 실시 예에서, 바 (1806) 가 내측 표면 부분들 (1808A 및 1808B) 및 바 (1806) 주위에서 회전하는 롤러들 (2052A 및 2052B) 에 고정되는 대신, 볼 베어링들이 내측 표면 부분들 (1808A 및 1808B) 에 고정되고 내부에 삽입되고, 롤러들 (2052A 및 2052B) 은 바 (1806) 에 대해 고정된다. 바 (1806) 는 볼 베어링들에 부착된다. 예를 들어, 리셉터 부착부들 (1822A 및 1822B) 대신에, 볼 베어링들이 사용될 수 있다. 롤러들 (2052A 및 2052B) 은 바 (1806) 를 중심으로 회전하지 않도록 롤러들 (2052A 및 2052B) 의 내측 표면들과 바 (1806) 사이에 갭이 없거나 최소화되도록 바 (1806) 에 대해 고정된다. 롤러들 (2052A 및 2052B) 및 바 (1806) 는 볼 베어링들의 회전과 함께 중심 축 (1810) 을 중심으로 회전한다. 볼 베어링들은 축 (216) 을 중심으로 스핀들 (212) 의 회전 또는 축 (413) 을 중심으로 회전 섹션 (408) 의 회전과 함께 회전한다.
게다가, 이 실시 예에서, 바 (2006) 가 내측 표면 부분들 (1808A 및 1808B) 및 바 (2006) 주위에서 회전하는 롤러들 (2052C 및 2052D) 에 고정되는 대신, 볼 베어링들이 내측 표면 부분들 (1808A 및 1808B) 에 고정되고 내부에 삽입되고, 롤러들 (2052C 및 2052D) 은 바 (2006) 에 대해 고정된다. 바 (2006) 는 볼 베어링들에 부착된다. 예를 들어, 리셉터 부착부들 (2004A 및 2004B) 대신에, 볼 베어링들이 사용될 수 있다. 롤러들 (2052C 및 2052D) 은 바 (2006) 를 중심으로 회전하지 않도록 롤러들 (2052C 및 2052D) 의 내측 표면들과 바 (2006) 사이에 갭이 없거나 최소화되도록 바 (2006) 에 대해 고정된다. 롤러들 (2052C 및 2052D) 및 바 (2006) 는 볼 베어링들의 회전과 함께 중심 축 (2008) 을 중심으로 회전한다. 볼 베어링들은 축 (216) 을 중심으로 스핀들 (212) 의 회전 또는 축 (413) 을 중심으로 회전 섹션 (408) 의 회전과 함께 회전한다.
도 21a는 세정 층 (2102) 및 프레스 플레이트 (806) 를 갖는 롤러 (2100) 의 일 실시 예의 측면도이다. 세정 층 (2102) 의 예들은 세정 층 (456) (도 4c) 또는 세정 층 (804) (도 10) 또는 프레스 플레이트 (806) 주위에 랩핑된 세정 층 (1202 또는 1206 또는 1210 또는 1214 또는 1218 또는 1222 또는 1226) (도 12a 내지 도 12g) 을 포함한다.
프레스 플레이트 (806) 는 프레스 플레이트 (806) 의 내측 표면 (2105) (도 21b) 과 바 (2106) 사이에 갭 (2103) (도 21b) 을 형성하도록 바 (2106) 주위에 랩핑된다. 예를 들어, 프레스 플레이트 (806) 의 일 에지는 바 (2106) 주위에 프레스 플레이트 (806) 를 랩핑하기 위해 바 (2106) 주위에 롤링된 후 프레스 플레이트 (806) 의 반대편 에지에 부착, 예컨대 접착되거나 화학적으로 결합된다. 또 다른 예로서, 프레스 플레이트 (806) 의 롤은 홀을 통한 바 (2106) 의 통과를 허용하도록 프레스 플레이트 (806) 의 길이를 따라 통과하는 홀을 갖도록 몰딩 머신을 사용하여 제조된다. 바 (2106) 는 바 (1806) (도 18a), 또는 바 (2008) (도 20a) 의 일 예이다. 바 (2106) 는 바 (2106) 의 중심을 통과하는 중심 축 (2108) 을 갖는다.
프레스 플레이트 없이 세정 층을 갖는, 롤러들 (1804 (도 18a), 1902a (도 19a), 1902b (도 19a), 2052a (도 20b), 2052b (도 20b), 2052c (도 20b) 및 2052d (도 20b)) 대신, 세정 층 (2102) 및 프레스 플레이트 (806) 를 갖는 롤러 (2100) 가 사용된다는 것을 주의해야 한다.
도 21b는 롤러 (2100) 의 일 실시 예의 또 다른 측면도이다. 프레스 플레이트 (806) 는 세정 층 (2102) 에 부착, 예컨대 접착되거나 화학적으로 결합된다. 프레스 플레이트 (806) 는 바 (1806) 에 대한 프레스 플레이트 (806) 의 회전을 용이하게 하도록 프레스 플레이트 (806) 의 내측 표면 (2105) 과 바 (1806) 사이에 갭 (2103) 을 생성하도록 바 (1806) 주위에 랩핑된다. 프레스 플레이트 (806) 의 내측 표면 (2105) 과 바 (1806) 사이의 갭 (2103) 은 갭이 롤러 (1804) (도 18a) 의 내측 표면 (1805) 과 바 (1806) 사이에 생성되는 동일한 방식으로 생성된다. 갭 (2103) 은 롤러 (2100) 의 길이를 따라 연장한다.
일 실시 예에서, 바 (2106) 가 내측 표면 부분들 (1808A 및 1808B) (도 18a) 및 바 (2106) 주위에서 회전하는 롤러 (2100) 에 고정되는 대신, 볼 베어링들이 내측 표면 부분들 (1808A 및 1808B) 에 고정되고 내부에 삽입되고, 롤러 (2100) 는 바 (2106) 에 대해 고정된다. 바 (2106) 는 볼 베어링들에 부착된다. 예를 들어, 리셉터 부착부들 (1822A 및 1822B) (도 18b) 대신에, 볼 베어링들이 사용될 수 있다. 롤러 (2100) 는 바 (2106) 를 중심으로 회전하지 않도록, 프레스 플레이트 (806) 의 내측 표면 (2105) 과 바 (2106) 사이에 갭이 없거나 최소가 되도록 바 (2106) 에 대해 고정된다. 롤러 (2100) 및 바 (2106) 는 볼 베어링들의 회전과 함께 중심 축 (2108) 을 중심으로 회전한다. 볼 베어링들은 축 (216) 을 중심으로 스핀들 (212) 의 회전 또는 축 (413) 을 중심으로 회전 섹션 (408) 의 회전과 함께 회전한다.
도 21c는 복수의 돌출된 섹션들 (2124A, 2124B, 2124C, 및 2124D) 을 갖는 프레스 플레이트 (2122) 를 예시하기 위한 롤러 (2120) 의 일 실시 예의 측면도이다. 돌출된 섹션들 (2124A 내지 2124D) 은 프레스 플레이트 (2122) 의 외측 표면 (2130) 으로부터 연장한다. 예를 들어, 돌출된 섹션들 (2124A 내지 2124D) 은 로드 (2106) 의 중심 축 (2108) 으로부터 외측으로 또는 멀어지게 연장한다. 롤러 (2120) 는 복수의 후퇴 섹션들 (receding sections) (2128A, 2128B, 2128C, 및 2128D) 을 갖는 세정 층 (2126) 을 더 포함한다.
프레스 플레이트 (2122) 는 프레스 플레이트 (2122) 가 돌출된 섹션들 (2124A 내지 2124D) 을 갖는 것을 제외하고, 프레스 플레이트 (806) (도 21b) 와 구조가 동일하다. 프레스 플레이트 (2122) 는 바 (1806) 에 대해 프레스 플레이트 (2122) 의 회전을 용이하게 하도록 프레스 플레이트 (2122) 의 내측 표면과 바 (1806) 사이에 갭을 생성하도록 바 (1806) 주위에 랩핑된다. 프레스 플레이트 (2122) 의 내측 표면과 바 (1806) 사이의 갭은 갭이 롤러 (1804) (도 18a) 의 내측 표면 (1805) 과 바 (1806) 사이에 생성되는 동일한 방식으로 생성된다.
세정 층 (2126) 은 세정 재료로 이루어진다. 예를 들어, 세정 층 (2126) 은 세정 층 (2126) 이 후퇴 섹션들 (2128A 내지 2128D) 을 갖는 것을 제외하고 세정 층 (2102) 과 동일한 구조이다.
후퇴 섹션 (2128A 내지 2128B) 각각은 세정 층 (2126) 의 내측 표면 (2132) 내로 연장한다. 예를 들어, 후퇴 섹션들 (2128A 내지 2128B) 은 로드 (2106) 의 중심 축 (2108) 으로부터 외측으로 또는 멀어지게 연장한다. 내측 표면 (2132) 은 프레스 플레이트 (2122) 의 외측 표면 (2130) 에 인접하다.
프레스 플레이트 (2122) 의 돌출된 섹션 각각은 세정 층 (2126) 의 대응하는 후퇴 섹션 내로 연장한다. 예를 들어, 돌출된 섹션 (2124A) 은 후퇴 섹션 (2128A) 과 피팅되거나 인게이지하도록 후퇴 섹션 (2128A) 내로 연장한다. 유사하게, 남아 있는 돌출된 섹션들 (2124B 내지 2124D) 각각은 후퇴 섹션들 (2128B 내지 2128D) 중 각각의 섹션에서 연장하여 후퇴 섹션과 피팅되거나 인게이지한다.
일 실시 예에서, 돌출된 섹션들 (2124A 내지 2124D) 및 후퇴 섹션들 (2128A 내지 2128D) 에 더하여, 세정 층 (2126) 의 내측 표면 (2132) 은 프레스 플레이트 (2122) 의 외측 표면 (2130) 에 부착, 예컨대 접착되거나 화학적으로 결합된다.
일 실시 예에서, 프레스 플레이트 (2122) 는 4 개보다 많거나 보다 적은 돌출된 섹션들을 포함하고 세정 층 (2126) 은 프레스 플레이트 (2122) 와 동일한 수의 후퇴 섹션들을 포함한다.
일 실시 예에서, 바 (2106) 가 내측 표면 부분들 (1808A 및 1808B) (도 18a) 및 바 (2106) (도 21a) 주위에서 회전하는 롤러 (2120) 에 고정되는 대신, 볼 베어링들이 내측 표면 부분들 (1808A 및 1808B) 에 고정되고 내부에 삽입되고, 롤러 (2120) 는 바 (2106) 에 대해 고정된다. 바 (2106) 는 볼 베어링들에 부착된다. 예를 들어, 리셉터 부착부들 (1822A 및 1822B) (도 18b) 대신에, 볼 베어링들이 사용될 수 있다. 롤러 (2120) 는 바 (2106) 를 중심으로 회전하지 않도록 롤러 (2120) 의 내측 표면 (2105) 과 바 (2106) 사이에 갭이 없거나 최소화되도록 바 (2106) 에 대해 고정된다. 롤러 (2120) 및 바 (2106) 는 볼 베어링들의 회전과 함께 중심 축 (2108) 을 중심으로 회전한다. 볼 베어링들은 축 (216) 을 중심으로 스핀들 (212) 의 회전 또는 축 (413) 을 중심으로 회전 섹션 (408) 의 회전과 함께 회전한다.
도 22는 아이들러 롤러 (idler roller) (2204) 를 갖는 세정 어셈블리 (2200) 의 일 실시 예의 측면도이다. 세정 어셈블리 (2200) 는 하우징 (1820) 및 롤러 (2202) 를 포함한다. 아이들러 롤러 (2204) 는 하우징 (1820) 의 하단 표면 (462C) 에 부착, 예컨대 접착되거나, 화학적으로 결합되거나 나사 결합된다. 아이들러 롤러 (2204) 는 하우징 (1820) 에 대해 고정된다.
롤러 (2202) 는 아이들러 롤러 (2204) 의 y-축 또는 중심 축 (2210) 을 따라 복수의 인덴테이션들 (2214A 및 2214B) 을 갖는다. 예를 들어, 인덴테이션들 (2214A 및 2214B) 은 롤러 (2202) 의 편평한 표면 (2218) 으로부터 내측으로 연장한다. 예시를 위해, 인덴테이션들 (2214A 및 2214B) 은 편평한 표면 (2218) 내에 오목한 영역들을 형성하도록 롤러 (2202) 의 중심 축 (2212) 을 향해 연장한다. 중심 축 (2212) 은 롤러 (2202) 의 중심을 통과하고 중심 축 (2210) 은 아이들러 롤러 (2204) 의 중심을 통과한다.
롤러 (2202) 는 아이들러 롤러 (2204) 상에서 중심 축 (2212) 에 대해 롤링하고 축 (216) (도 2) 에 대한 스핀들 (212) (도 2) 의 회전과 함께, 또는 축 (413) (도 4a) 에 대한 회전 섹션 (408) (도 4a) 의 회전과 함께, 또는 이들의 조합으로 y-방향으로 중심 축 (2210) 을 따라 이동한다. 롤러 (2202) 는 샤워헤드 (108) 를 세정하도록 롤링된다.
일 실시 예에서, 아이들러 롤러 (2204) 가 하단 표면 (462C) 에 부착되는 대신, 아이들러 롤러 (2204) 는 하우징 (1820) 의 내측 표면 부분들 (1808A 및 1808B) 에 부착, 예컨대 접착되거나, 화학적으로 결합되거나 나사 결합된다.
도 23a는 세정 어셈블리 (2301) 를 예시하기 위한 시스템 (2300) 의 일 실시 예의 도면이다. 세정 어셈블리 (2301) 는 테이퍼링되는 (tapered) 하우징 (1820) 및 롤러 (2302) 를 포함한다. 예를 들어, 세정 어셈블리 (2301) 는 세정 어셈블리 (2301) 가 롤러 (1804) 대신 롤러 (2302) 를 갖는 것을 제외하고 세정 어셈블리 (1800) (도 18a) 의 구조와 동일한 구조를 갖는다.
시스템 (2300) 은 세정 어셈블리 (2301), 스핀들 (212), 및 연장된 섹션 (406) 및 회전 섹션 (408) (도 4a) 을 갖는 암 (404) (도 4a) 을 포함한다.
롤러 (2302) 는 롤러 (2302) 가 테이퍼링되는 것을 제외하고 롤러 (1804) (도 18a) 의 구조와 동일한 구조를 갖는다. 예를 들어, 롤러 (2302) 는 세정 층 (456) (도 4c) 또는 세정 층 (804) (도 10) 또는 바 (1806) 주위에 랩핑된 세정 층 (1202 또는 1206 또는 1210 또는 1214 또는 1218 또는 1222 또는 1226) (도 12a 내지 도 12g) 을 갖고, 세정 층은 이하에 기술된 방식으로 테이퍼링된다. 또 다른 예로서, 롤러 (2302) 는 세정 층 (2102) 이 테이퍼링된 것을 제외하고 프레스 플레이트 (806) 및 세정 층 (2102) (도 21b) 을 갖는다.
롤러 (2302) 는 롤러 (2302) 의 단부 (2304A) 의 외경 OD1이 롤러 (2302) 의 반대편 단부 (2304B) 의 외경 OD2보다 크게 되도록 스핀들 (212) 을 향해 테이퍼링된다. 롤러 (2302) 의 직경은 바 (1806) 의 중심 축 (1810) 을 따라 불균일하다. 예를 들어, 외경 OD1은 직경 OD2와 비교하여 5 %만큼 크다. 예시를 위해, 외경 OD2는 직경 OD1에 비해 5 % 내지 15 % 크다. 또 다른 예로서, 외경 OD2는 직경 OD1과 비교하여 2 %보다 크다. 예시를 위해, 외경 OD2는 직경 OD1과 비교하여 2 % 내지 15 %보다 크다.
단부 (2304A) 는 단부 (2304B) 에 반대되는 방향으로 위치되고 스핀들 (212) 과 대면한다. 단부 (2304B) 는 스핀들 (212) 로부터 이격된다. 예를 들어, 단부 (2304A) 는 단부 (2304B) 보다 스핀들 (212) 에 보다 가깝다. 또 다른 예로서, 단부 (2304A) 는 스핀들 (212) 의 축 (216) 으로부터 반경 R1에 있고, 단부 (2304B) 는 축 (216) 으로부터 반경 R2에 있고, 반경 R2는 반경 R1보다 크다.
외경 OD1 및 외경 OD2 각각은 롤러 (2302) 의 외측 표면 (2306) 의 직경이다. 유사하게, 롤러 (2302) 는 바 (1806) 주위를 랩핑하고 바 (1806) 에 인접하는 내측 표면 (2310) 을 갖는다. 외측 표면 (2306) 은 바 (1806) 에 인접하지 않다. 또한, 외측 표면 (2306) 은 중심 축 (1810) 으로부터 내측 표면 (2310) 의 거리와 비교하여 바 (1806) 의 중심 축 (1810) 으로부터 이격된 거리에 있다.
내측 표면 (2310) 은 바 (1806) 에 대한 롤러 (2302) 의 회전을 용이하게 하도록 내측 표면 (2310) 과 바 (1806) 사이에 갭을 생성하도록 바 (1806) 주위에 랩핑된다. 롤러 (2302) 의 내측 표면 (2310) 과 바 (1806) 사이의 갭은 갭이 롤러 (1804) (도 18a) 의 내측 표면 (1805) 과 바 (1806) 사이에 생성되는 동일한 방식으로 생성된다.
롤러 (2302) 는 바 (1806) 주위에 랩핑된다. 예를 들어, 롤러 (2302) 의 일 에지는 바 (1806) 주위에 롤러 (2302) 를 랩핑하기 위해 바 (1806) 주위에 롤링된 후 롤러 (2302) 의 반대편 에지에 부착, 예컨대 접착되거나 화학적으로 결합된다. 또 다른 예로서, 롤러 (2302) 는 홀을 통한 바 (1806) 의 통과를 허용하도록 롤러 (2302) 의 길이를 따라 통과하는 홀을 갖도록 몰딩 머신을 사용하여 제조된다.
롤러 (2302) 는 바 (1806) 의 축 (216) 에 대해 스핀들 (212) 의 회전, 또는 축 (413) (도 4a) 에 대해 회전 섹션 (408) (도 4a) 의 회전으로, 또는 이들의 조합으로 중심 축 (1810) 에 대해 롤링된다. 롤러 (2302) 는 샤워헤드 (108) 를 세정하도록 롤링된다. 일 예로서, 외경 OD1과 외경 OD2의 비는 반경 R1과 반경 R2의 비와 동일하다. 외경 OD1과 외경 OD2의 비 및 반경 R1과 반경 R2의 비의 매칭은 중심 축 (1810) 주위의 롤러 (2302) 의 회전과 함께 샤워헤드 (108) 의 균일한 세정을 용이하게 한다.
일 실시 예에서, 바 (1806) 가 내측 표면 부분들 (1808A 및 1808B) 및 바 (1806) 주위에서 회전하는 롤러 (2302) 에 고정되는 대신, 볼 베어링들이 내측 표면 부분들 (1808A 및 1808B) 에 고정되고 내부에 삽입되고, 롤러 (2302) 는 바 (1806) 에 대해 고정된다. 바 (1806) 는 볼 베어링들에 부착된다. 예를 들어, 리셉터 부착부들 (1822A 및 1822B) (도 18b) 대신에, 볼 베어링들이 사용될 수 있다. 롤러 (2302) 는 바 (1806) 를 중심으로 회전하지 않도록 롤러 (2302) 의 내측 표면 (2310) 과 바 (1806) 사이에 갭이 없거나 최소화되도록 바 (1806) 에 대해 고정된다. 롤러 (2302) 및 바 (1806) 는 볼 베어링들의 회전과 함께 중심 축 (1810) 을 중심으로 회전한다. 볼 베어링들은 축 (216) 을 중심으로 스핀들 (212) 의 회전 또는 축 (413) 을 중심으로 회전 섹션 (408) 의 회전과 함께 회전한다.
도 23b는 시스템 (2300) 의 일 실시 예의 측면도이다. 시스템 (2300) 은 세정 어셈블리 (2301), 스핀들 (212), 및 암 (404) 을 포함한다. 세정 어셈블리 (2301) 의 하단 표면 (462C) 은 돌출부들 (414A 내지 414C) 을 통해 회전 섹션 (408) 상에 지지되고 이에 대해 피팅된다.
도 24는 하나의 롤러 대신 2 개의 롤러들 (2404A 및 2404B) 이 사용되는 세정 어셈블리 (2402) 를 예시하기 위한 시스템 (2400) 의 일 실시 예의 평면도이다. 시스템 (2400) 은 세정 어셈블리 (2402), 스핀들 (212), 및 암 (404) (도 4a) 을 포함한다. 세정 어셈블리 (2402) 는 세정 어셈블리 (2402) 가 롤러들 (2052A 내지 2052D) (도 20b) 대신 롤러 (2302) 및 롤러 (2405) 를 포함하는 것을 제외하고 세정 어셈블리 (2050) (도 20b) 와 구조가 동일하다. 예를 들어, 세정 어셈블리 (2404) 는 하우징 (1820) 및 롤러들 (2402 및 2405) 을 갖는다. 또 다른 예로서, 롤러 (2302) 는 롤러들 (2052A 및 2052B) 대신 바 (1806) 주위에 랩핑되고 롤러 (2404) 는 롤러들 (2052C 및 2052D) 대신 바 (2006) 주위에 랩핑된다.
롤러 (2405) 는 롤러 (2302) 와 동일한 구조를 갖는다. 예를 들어, 롤러 (2405) 는 외경 OD4보다 작은 외경 OD3을 갖도록 스핀들 (212) 을 향해 테이퍼링된다. 또한, 외경 OD3과 외경 OD4의 비는 반경 R1과 반경 R2 사이의 비와 동일하다 (도 23).
롤러 (2404) 의 내측 표면 (2408) 은 바 (2006) 에 대한 롤러 (2404) 의 회전을 용이하게 하도록 내측 표면 (2408) 과 바 (2006) 사이에 갭을 생성하도록 바 (2006) 주위에 랩핑된다. 롤러 (2404) 의 내측 표면 (2408) 과 바 (2006) 사이의 갭은 갭이 롤러 (1804) (도 18a) 의 내측 표면 (1805) 과 바 (1806) 사이에 생성되는 동일한 방식으로 생성된다.
일 실시 예에서, 3 개 또는 4 개와 같은, 3개 이상의 테이퍼링된 롤러들이 세정 어셈블리 (2402) 에서 사용된다.
일 실시 예에서, 바 (2006) 가 내측 표면 부분들 (1808A 및 1808B) 및 바 (2006) 주위에서 회전하는 롤러 (2404) 에 고정되는 대신, 볼 베어링들이 내측 표면 부분들 (1808A 및 1808B) 에 고정되고 내부에 삽입되고, 롤러 (2404) 는 바 (2006) 에 대해 고정된다. 바 (2006) 는 볼 베어링들에 부착된다. 예를 들어, 리셉터 부착부들 (2004A 및 2004B) 대신에, 볼 베어링들이 사용될 수 있다. 롤러 (2404) 는 바 (2006) 를 중심으로 회전하지 않도록 롤러 (2404) 의 내측 표면 (2408) 과 바 (2006) 사이에 갭이 없거나 최소화되도록 바 (2006) 에 대해 고정된다. 롤러 (2404) 및 바 (2006) 는 볼 베어링들의 회전과 함께 중심 축 (2008) 을 중심으로 회전한다. 볼 베어링들은 축 (216) 을 중심으로 스핀들 (212) 의 회전 또는 축 (413) 을 중심으로 회전 섹션 (408) 의 회전과 함께 회전한다.
도 25a는 세정 어셈블리 (2500) 의 일 실시 예의 등각도이다. 세정 어셈블리 (2500) 는 세정 어셈블리 (220) (도 2) 및 세정 어셈블리 (222) (도 2) 의 일 예이다. 세정 어셈블리 (2500) 는 또한 세정 층 (456) 이 패드 (252) 로 대체되는 것을 제외하고 세정 어셈블리 (550) (도 5c) 의 일 예이다.
세정 어셈블리 (2500) 는 패드 (2502), 프레스 플레이트 (2504), 및 지지 플레이트 (2506) 를 포함한다. 패드 (2502) 는 몰딩 머신에서 제조된다. 패드 (2502) 의 일 예는 폴리머 패드이다. 예로서, 패드 (2502) 는 z-축을 따라 0.03 인치 또는 대략 0.03 인치인 두께를 갖는다. 예를 들어, 패드 (2502) 는 0.029 인치 (0.029") 내지 0.031 인치 범위의 두께를 갖는다. 예로서, 패드 (2502) 는 0.246 lbs 또는 대략 0.246 lbs의 중량이다. 예를 들어, 패드 (2502) 는 0.244 lbs 내지 0.248 lbs의 중량이다.
패드 (2502) 는 패드 (2502) 의 열 팽창을 설명하기 위해 프레스 플레이트 (2504) 와 비교하여 보다 작은 사이즈를 갖는다. 예를 들어, 프레스 플레이트 (2504) 의 에지 (2503) 는 패드 (2502) 가 프레스 플레이트 (2504) 의 상단에 피팅될 때 노출된다. 예시를 위해, y-축을 따른 프레스 플레이트 (2504) 의 폭은 y-축을 따른 패드 (2502) 의 폭보다 크다. 프레스 플레이트 (2504) 의 노출된 플레이트 에지 (2503) 는 암 (404) (도 4a) 의 회전 섹션 (408) (도 4a) 상의 세정 어셈블리 (2500) 의 자동화된 센터링을 위해 사용될 수 있다.
예로서, 패드 (2502) 는 정사각형 형상 또는 직사각형 형상과 2 개의 반원 형상들의 조합인 연장된 형상을 갖는다. 2 개의 반원 형상들 중 하나는 정사각형 형상 또는 직사각형 형상의 주변부의 일 측면에 피팅된다. 2 개의 반원 형상들 중 또 다른 하나는 정사각형 형상 또는 직사각형 형상의 주변부의 반대 측면에 피팅된다. 유사하게, 프레스 플레이트 (2504) 는 정사각형 형상과 2 개의 반원 형상들의 조합인 연장된 형상을 갖는다. 예시로서, 프레스 플레이트 (2504) 의 반원 형상들 각각은 6.5 인치 또는 대략 6.5 인치의 반경을 갖는다. 예를 들어, 프레스 플레이트 (2504) 의 반원 형상들 각각은 6.3 인치 내지 6.7 인치의 반경을 갖는다.
또한, 프레스 플레이트 (2504) 는 몰딩 머신에서 제조되고, 지지 플레이트 (2506) 는 몰딩 머신에서 제조된다. 예로서, 프레스 플레이트 (2504) 는 알루미나 또는 세라믹으로 제조된다. 또한, 예로서, 프레스 플레이트 (2504) 는 z-축을 따라 0.03 인치 또는 대략 0.03 인치의 두께를 갖는다. 예시를 위해, 프레스 플레이트 (2504) 는 0.029 인치 내지 0.031 인치 범위의 두께를 갖는다. 예로서, 프레스 플레이트 (2504) 는 0.533 lbs 또는 대략 0.53 lbs의 중량이다. 예시를 위해, 프레스 플레이트 (2504) 는 0.530 lbs 내지 0.535 lbs의 중량이다.
또한, 도 25a에 예시된 바와 같이, 지지 플레이트 (2506) 의 에지 (2507) 는 프레스 플레이트 (2504) 가 지지 플레이트 (2506) 에 커플링될 때 노출된다. 지지 플레이트 (2506) 의 에지 (2507) 는 프레스 플레이트 (2504) 의 에지 (2503) 와 비교하여 노출된다. 예시를 위해, 지지 플레이트 (2506) 의 직경은 y-축을 따른 프레스 플레이트 (2504) 의 폭보다 크다. 에지 (2507) 는 이하에 기술되는 노치 정렬을 위해 노출된다.
예로서, 지지 플레이트 (2506) 는 z-축을 따라 0.06 인치 또는 대략 0.06 인치의 두께를 갖는다. 예시를 위해, 지지 플레이트 (2506) 는 0.055 인치 내지 0.065 인치 범위의 두께를 갖는다. 또한 예로서, 지지 플레이트 (2506) 의 중량은 0.925 lbs 또는 대략 0.925 lbs의 중량이다. 예시를 위해, 지지 플레이트 (2506) 는 0.9 lbs 내지 1 lbs의 중량이다. 예로서, 지지 플레이트 (2506) 는 300 ㎜ (밀리미터) 또는 대략 300 ㎜의 직경을 갖는다. 예를 들어, 지지 플레이트 (3506) 는 290 ㎜ 내지 310 ㎜ 범위의 직경을 갖는다.
x-축을 따른 프레스 플레이트 (2504) 의 길이는 프레스 플레이트 (2504) 의 폭보다 크다. 예를 들어, 프레스 플레이트 (2504) 의 길이는 13 인치 또는 대략 13 인치이고 프레스 플레이트 (2504) 의 폭은 12 인치 또는 대략 12 인치이다. 예시를 위해, 프레스 플레이트 (2504) 의 길이는 12.5 내지 13.5 인치의 범위이고 프레스 플레이트 (2504) 의 폭은 10 내지 12 인치의 범위이다.
패드 (2502) 는 세정 층 (804) (도 8) 의 일 예이다. 프레스 플레이트 (2504) 는 프레스 플레이트 (554) (도 5c) 및 프레스 플레이트 (806) (도 8) 의 일 예이다. 지지 플레이트 (2506) 는 지지 섹션 (452) (도 4c) 의 일 예이다. 지지 플레이트 (2506) 는 지지 섹션 (552) (도 5c) 의 일 예이다. 패드 (2502) 는 지지 플레이트 (2506) 에 커플링되는 프레스 플레이트 (2504) 의 상단부에 피팅된다.
일 실시 예에서, 패드 (2502) 는 세정 층 (456) (도 4c) 으로 대체된다.
일 실시 예에서, 패드 (2502) 는 세정 층 (456) 의 편평한 층 (1102) (도 4c) 의 일 예이고 복수의 필라들이 패드 (2502) 의 상단부 상에 형성된다.
도 25b는 압축 해제된 위치의 세정 어셈블리 (2500) 의 일 실시 예의 측면도이다. 세정 어셈블리 (2500) 는 스프링 (2508A) 및 핀 (2510A) 을 포함한다. 스프링 (2508A) 의 예는 스테인리스 웨이브 스프링과 같은 금속 웨이브 스프링이다. 스프링 (2508A) 은 패드 (2502) 에 의해 샤워헤드 (108) (도 1) 에 인가되는 힘을 제어한다. 스프링 (2508A) 은 핀 (2510A) 주위에 랩핑된다. 예를 들어, 핀 (2510) 은 z-축을 따라 또는 스프링 (2508A) 의 길이를 따라 스프링 (2508A) 을 통과하여, 핀 (2510) 의 길이는 스프링 (2508A) 의 길이로 둘러싸인다.
핀 (2510A) 은 몰딩 머신에서 제조된다. 핀 (2510A) 은 프레스 플레이트 (2504) 에 부착, 예컨대 결합된다. 예를 들어, 핀 (2510A) 은 프레스 플레이트 (2504) 에 화학적으로 결합될 프레스 플레이트 (2505) 의 z-축을 따라 두께를 통해 연장한다. 핀 (2510A) 은 패드 (2502) 에 도달하도록 프레스 플레이트 (2505) 의 두께를 통해 연장한다.
지지 플레이트 (2506) 는 핀 (2510A) 을 수용하기 위한 홀을 포함하고 홀은 지지 플레이트 (2506) 의 z-축을 따라 깊이를 통해 연장한다. 지지 플레이트 (2506) 는 또한 리테이닝 링 (retaining ring) (2512A) 을 수용하기 위한 상단 부분 (2514) 및 보어 (2513A) 를 포함한다. 리테이닝 링 (2512A) 은 몰딩 머신에서 제조된다. 핀 (2510A) 은 리테이닝 링 (2512A) 을 수용하기 위한 슬롯 (2516) 을 갖고, 리테이닝 링 (2512A) 은 슬롯 (2516) 에 의해 리테이닝될 슬롯 (2516) 주위를 에워싼다 (circle). 슬롯 (2516) 은 핀 (2510A) 의 측면 상에 형성된 오목부이다.
지지 플레이트 (2506) 는 스프링 (2508A) 이 피팅되는 상단 부분 (2514) 을 갖는다. 예를 들어, 스프링 (2508A) 은 상단 부분 (2514) 에 피팅되도록 상단 부분 (2514) 에 부착된다. 예시를 위해, 스프링 (2508A) 은 나사들을 통해 상단 부분 (2514) 에 부착된다.
지지 플레이트 (2506) 는 리테이닝 링 (2512A) 및 핀 (2510A) 의 진입 및 유출을 허용하도록 하단 부분에 보어 (2513A) 를 갖는다. 보어 (2513A) 는 지지 플레이트 (2506) 의 하단 표면 (2506C) 에 형성된 슬롯이다. 보어 (2513A) 는 상단 부분 (2514) 에 인접하고 리테이닝 링 (2512A) 이 지지 플레이트 (2506) 내에 있을 때 핀 (2510A) 및 리테이닝 링 (2512A) 의 일부를 둘러싼다.
스프링 (2508A), 핀 (2510A), 및 리테이닝 링 (2512A) 은 본 명세서에서 때때로 스프링 메커니즘으로 지칭된다. 프레스 플레이트 (2504) 와 지지 플레이트 (2506) 사이의 복수의 스프링 메커니즘들은 때때로 본 명세서에서 압축 인터페이스로서 지칭된다.
스프링 (2508A) 은 프레스 플레이트 (2504) 의 하단 표면 (2504C) 에 피팅된다. 예를 들어, 스프링 (2508A) 은 하단 표면 (2504C) 에 피팅되도록 하단 표면 (2504C) 에 부착된다. 예시를 위해, 스프링 (2508A) 은 나사들을 사용하여 하단 표면 (2504C) 에 부착된다.
z-축을 따른, 세정 어셈블리 (2500) 의 총 두께는 지지 섹션 (2506) 의 하단 표면 (2506C) 과 패드 (2502) 의 상단 표면 (2502A) 사이이다. 예로서, 세정 어셈블리 (2502) 의 총 두께는 0.245 인치 또는 대략 0.245 인치이다. 예시를 위해, 총 두께는 0.225 인치 내지 0.265 인치의 범위이다. 또한, 예로서, 세정 어셈블리 (2500) 의 총 중량은 1.7 lbs 또는 대략 1.7 lbs이다. 예를 들어, 세정 어셈블리 (2500) 의 총 중량은 1.65 lbs 내지 1.75 lbs의 범위이다.
프레스 플레이트 (2504) 및 패드 (2502) 는 지지 플레이트 (2506) 에 대해 z-축을 따라 압축 해제된 위치에 있다. 또한, 압축 해제된 위치에서, 리테이닝 링 (2510A) 은 상단 부분 (2514) 에 인접하거나 거의 인접한다. 예를 들어, 리테이닝 링 (2510A) 은 보어 (2513A) 내에 있고, 세정 어셈블리 (2500) 의 압축 해제된 위치의 상단 부분 (2514) 으로부터 z-축을 따라 미리 결정된 거리에 있다.
일 실시 예에서, 세정 어셈블리 (2500) 는 임의의 수의 스프링 메커니즘들을 포함한다. 예를 들어, 세정 어셈블리 (2500) 는 3 개의 스프링 메커니즘들을 포함하고 3 개의 스프링 메커니즘들은 z-방향에서 볼 때 삼각형의 꼭짓점들을 형성한다.
일 실시 예에서, 암 (214A 내지 214D) (도 2) 각각은 15 lbf 힘이 암에 인가될 때 파괴된다. 따라서, 암 (214A 내지 214D) 각각에 인가된 힘의 양은 5 lbf로 제한될 수 있고, 이는 15 lbf 힘의 1/3이다. 세정 어셈블리 (2500) 는 1.7 lbs의 중량이다. 이와 같이, 암 상에 놓인 세정 어셈블리 (2500) 에 의해 암 (214A 내지 214D) 각각에 인가된 최대 가압력은 5 lbf와 1.7 lbf 사이의 차로서 남고, 그 차는 3.3 lbf에 달한다 (amount to). 패드 (2502) 및 프레스 플레이트 (2504) 의 총 중량은 0.78 lbs이다. 따라서, 패드 (2502) 와 프레스 플레이트 (2504) 및 프레스 플레이트 (2504) 와 지지 플레이트 (2506) 사이의 스프링들에 의해 50 % 압축으로 암 (214A 내지 214D) 각각 상에 인가된 힘의 최대량은 3.3lbf와 0.78lbs의 합이고, 이는 4.08 lbf에 달한다. 4.08의 힘은 816 제곱 센티미터 당 18.15 N이다. 18.15 N/㎠의 힘의 양은 0.022 N/㎠와 같다. 또 다른 예로서, 패드 (2502) 와 프레스 플레이트 (2504) 및 프레스 플레이트 (2504) 와 지지 플레이트 (2506) 사이의 스프링들에 의해 50 % 압축으로 암 (214A 내지 214D) 각각 상에 인가된 힘의 최대량은 0.01 N/㎠ 내지 0.1 N/㎠의 범위이다.
도 25c는 압축된 위치의 세정 어셈블리 (2500) 의 일 실시 예의 측면도이다. 프레스 플레이트 (2504) 및 패드 (2502) 는 지지 플레이트 (2506) 에 대해 z-축을 따라 압축된 위치에 있다. 예를 들어, 프레스 플레이트 (2504) 및 패드 (2502) 는, 플레이트 (2504) 및 패드 (2502) 가 압축된 위치인, z-축을 따른 거리와 비교하여, z-축을 따라 지지 플레이트 (2506) 의 상단 표면 (2506A) 에 보다 가까운 거리에 있다. 예시를 위해, 압축 해제된 위치에서 프레스 플레이트 (2504) 와 지지 플레이트 (2506) 사이의 거리는 z-축을 따라 0.12 인치 내지 0.13 인치 범위이다. 추가로 예시하기 위해, 압축 해제된 위치에서 프레스 플레이트 (2504) 와 지지 플레이트 (2506) 사이의 거리는 0.125 인치이다.
또한, 압축된 위치에서, 리테이닝 링 (2512A) 은 압축 해제된 위치의 상단 부분 (2514) 으로부터 z-축을 따라 미리 결정된 거리와 비교하여, 지지 플레이트 (2506) 의 상단 부분 (2514) 으로부터 z-축을 따라 보다 큰 거리에 있다. 예를 들어, 압축된 위치에서, 리테이닝 링 (2512A) 은 압축 해제된 위치의 하단 표면 (2506C) 으로부터의 거리와 비교하여, 지지 플레이트 (2506) 의 하단 표면 (2506C) 으로부터 z-축을 따라 보다 먼 거리에 있다. 또 다른 예로서, 리테이닝 링 (2512A) 은 압축된 위치에서 보어 (2513A) 외부에 있다. 압축 해제된 위치에서, 리테이닝 링 (2512A) 은 보어 (2513A) 내부에 있다. 이러한 방식으로, 스프링 (2508A) 은 샤워헤드 (108) 의 세정 동안 패드 (2502) 로 운동 정도를 제공한다. 운동 정도는 세정 어셈블리 (2500) 의 압축된 위치와 압축 해제된 위치 사이에서 전이함으로써 제공된다.
도 26a는 프레스 플레이트 (2504) 의 일 실시 예의 등각 저면도이다. 프레스 플레이트 (2504) 는 형상이 원형이 아니고 연장된 형상을 갖는다. 예를 들어, 프레스 플레이트 (2504) 의 2 개의 측면들 (2504B 및 2504D) 은 직선이고, 프레스 플레이트 (2504) 의 2 개의 측면들 (2504E 및 2504F) 은 연장된 형상을 형성하도록 커브된다. 측표면 (2504D) 은 y-축을 따라 측표면 (2504B) 에 반대되는 방향에 위치된다. 또한, 측표면 (2504E) 은 x-축을 따라 측표면 (2504F) 에 반대되는 방향에 위치된다. 측표면들 (2504B, 2504D, 2504E, 및 2504F) 각각은 프레스 플레이트 (2504) 의 하단 표면 (2504C) 에 대해 수직이거나 실질적으로 수직이다. 예를 들어, 측표면들 (2504B, 2504D, 2504E, 및 2504F) 각각은 하단 표면 (2504C) 에 대해 90 °의 각도 또는 90 ° 각도로부터 미리 결정된 범위, 예를 들어, ± 5 ° 내의 각도를 형성한다. 유사하게, 측표면들 (2504B 내지 2504F) 각각은 프레스 플레이트 (2504) 의 상단 표면 (2504A) 에 수직이거나 실질적으로 수직이고 그리고 상단 표면 (2504A) 은 하단 표면 (2504C) 에 평행하거나 실질적으로 평행하다. 예시를 위해, 하단 표면 (2504C) 에 실질적으로 평행한 상단 표면 (2504A) 은 하단 표면 (2504C) 으로부터 미리 결정된 범위, 예를 들어 ± 5 ° 내인 각도를 형성한다.
프레스 플레이트 (2504) 는 z-축을 따라 프레스 플레이트 (2504) 의 바디를 통해 연장하는 복수의 측면 슬롯들 (2602A, 2602B, 2602C, 및 2602D) 을 포함한다. 예를 들어, 측면 슬롯 (2602A 내지 2602D) 각각은 상단 표면 (2504A) 으로부터 프레스 플레이트 (2504) 의 바디를 통해 프레스 플레이트 (2504) 의 하단 표면 (2504C) 으로 연장한다. 프레스 플레이트 (2504) 는 z-축을 따라 프레스 플레이트 (2504) 의 바디를 통해 연장하는 중심 슬롯 (2604) 을 더 포함한다. 예를 들어, 중심 슬롯 (2604) 은 상단 표면 (2504A) 으로부터 프레스 플레이트 (2504) 의 바디를 통해 프레스 플레이트 (2504) 의 하단 표면 (2504C) 으로 연장한다. 중심 슬롯 (2604) 은 프레스 플레이트 (2504) 의 중심 또는 중심에 있고, 측면 슬롯들 (2602A 및 2602C) 은 y-축을 따라 중심 슬롯 (2604) 으로부터 동일한 거리 또는 실질적으로 동일한 거리에 위치된다. 예를 들어, 측면 슬롯들 (2602A 및 2602C) 은 동일한 거리로부터 ± 5 % 내에 위치된다. 또한, 측면 슬롯들 (2602B 및 2602D) 은 x-축을 따라 중심 슬롯 (2604) 으로부터 동일한 거리 또는 실질적으로 동일한 거리에 위치된다. 예로서, 측면 슬롯들 (2602B 및 2602D) 은 중심 슬롯 (2604) 으로부터 거리에 위치되고 이 거리는 동일한 거리의 95 % 내지 105 % 범위 내이다.
측면 슬롯들 (2602A 내지 2602D) 은 패드 (2502) 의 열적 팽창을 허용하도록 중심 슬롯 (2604) 에 비해 연장된다. 예를 들어, 측면 슬롯들 (2602A 내지 2602D) 각각은 중심 슬롯 (2604) 과 비교하여 보다 큰 표면적을 갖는다. 또 다른 예로서, 측면 슬롯들 (2602A 내지 2602D) 각각은 정사각형 형상 또는 직사각형 형상과 2 개의 반원 형상들의 조합인 연장된 형상을 갖는다. 정사각형 형상 또는 직사각형 형상과 2 개의 반원 형상의 조합은 상기 기술되었다. 또 다른 예로서, 측면 슬롯들 (2602A 내지 2602D) 각각은 2.1 X 10-4 인치 또는 대략 2.1 X 10-4 인치의 패드 (2502) 의 열 팽창을 허용한다. 예시를 위해, 측면 슬롯들 (2602A 내지 2602D) 각각은 2.05 X 10-4 인치 내지 2.18 X 10-4 인치 범위인 패드 (2502) 의 열 팽창을 허용한다.
일 실시 예에서, 용어들 슬롯 및 홀은 본 명세서에서 상호 교환 가능하게 사용된다.
도 26b는 패드 (2502) 의 일 실시 예의 등각 저면도이다. 패드 (2502) 의 2 개의 측표면들 (2502B 및 2502D) 은 직선이고 패드 (2502) 의 2 개의 측표면들 (2502E 및 2502F) 은 패드 (2502) 의 연장된 형상을 형성하도록 커브된다. 측표면 (2502D) 은 y-축을 따라 측표면 (2502B) 에 반대되는 방향에 위치된다. 또한, 측표면 (2502E) 은 x-축을 따라 측표면 (2502F) 에 반대되는 방향에 위치된다. 측표면들 (2502B, 2502D, 2502E, 및 2502F) 각각은 패드 (2502) 의 하단 표면 (2502C) 에 대해 수직이거나 실질적으로 수직이다. 예를 들어, 측표면들 (2502B, 2502D, 2502E, 및 2502F) 각각은 하단 표면 (2502C) 에 대해 90 °의 각도 또는 90 °의 각도로부터 예를 들어, ± 5 °의 미리 결정된 범위 내에 있는 각도를 형성한다. 유사하게, 측표면들 (2502B, 2502D, 2502E, 및 2502F) 각각은 패드 (2502) 의 상단 표면 (2502A) 에 수직이거나 실질적으로 수직이고, 상단 표면 (2502A) 은 하단 표면 (2502C) 에 평행하거나 실질적으로 평행하다. 예시를 위해, 하단 표면 (2502C) 에 실질적으로 평행한 상단 표면 (2502A) 은 하단 표면 (2502C) 으로부터 미리 결정된 범위, 예를 들어, ± 5 ° 내인 각도를 형성한다.
패드 (2502) 는 z-축을 따라 패드 (2502) 의 바디로부터 연장하는 복수의 측면 풋 (foot) 연장부들 (2610A, 2610B, 2610C, 및 2610D) 을 포함한다. 예를 들어, 풋 연장부 (2610A, 2610B, 2610C, 및 2610D) 각각은 z-축을 따라 패드 (2502) 의 바디의 하단 표면 (2502C) 으로부터 연장한다. 패드 (2502) 는 z-축을 따라 하단 표면 (2502C) 으로부터 연장하는 중심 풋 연장부 (2612) 를 더 포함한다. 예를 들어, 중심 풋 연장부 (2612) 는 측면 풋 연장부들 (2610A 내지 2610D) 각각과 동일한 방향으로 z-축을 따라 연장한다. 중심 풋 연장부 (2612) 는 패드 (2502) 의 중심 또는 중심에 있고, 측면 풋 연장부들 (2610B 및 2610D) 은 y-축을 따라 중심 풋 연장부 (2612) 로부터 동일한 거리 또는 실질적으로 동일한 거리에 위치된다. 예를 들어, 측면 풋 연장부들 (2610B 및 2610D) 은 동일한 거리로부터 ± 5 % 내에 위치된다. 또한, 측면 풋 연장부들 (2610A 및 2610C) 은 x-축을 따라 중심 풋 연장부 (2612) 로부터 동일한 거리 또는 실질적으로 동일한 거리에 위치된다. 예로서, 측면 풋 연장부들 (2610A 및 2610C) 은 중심 풋 연장부 (2612) 로부터 거리에 위치되고 이 거리는 동일한 거리의 95 % 내지 105 % 범위 내이다.
측면 풋 연장부들 (2610A 내지 2610D) 은 패드 (2502) 의 열 팽창을 허용하도록 원형 단면 형상을 갖는다. 패드 (2502) 가 중간 온도 또는 고온에서 열적으로 팽창할 때, 측면 슬롯 (2602A) 은 측면 풋 연장부 (2610A) 의 슬라이딩 운동을 허용하고, 측면 슬롯 (2602B) 은 측면 풋 연장부 (2610B) 의 슬라이딩 운동을 허용하고, 측면 슬롯 (2602C) 은 측면 풋 연장부 (2610C) 의 슬라이딩 운동을 허용하고, 측면 슬롯 (2602D) 은 측면 풋 연장부 (2610D) 의 슬라이딩 운동을 허용한다. 예로서, 패드 (2502) 는 샤워헤드 (108) (도 1) 에 보다 가깝게 패드 (2502) 를 가져오고 샤워헤드 (108) 를 세정하기 전에 패드 (2502) 를 팽창하게 함으로써 열적으로 팽창하도록 사전 조정된다 (pre-condition).
측면 풋 연장부 (2610A) 는 측면 슬롯 (2602A) 을 통해 연장하고, 측면 풋 연장부 (2610B) 는 측면 슬롯 (2602B) 을 통해 연장하고, 측면 풋 연장부 (2610C) 는 측면 슬롯 (2602C) 을 통해 연장하고, 측면 풋 연장부 (2610D) 는 측면 슬롯 (2602D) 을 통해 연장하고, 중심 풋 연장부 (2612) 는 패드 (2502) 를 프레스 플레이트 (2504) 에 피팅하도록 중심 슬롯 (2604) 을 통해 연장한다. 패드 (2502) 를 프레스 플레이트 (2502) 에 피팅하기 위해 패드 (2502) 와 프레스 플레이트 (2504) 사이에 접착제가 필요하지 않다.
도 26c는 지지 플레이트 (2506) 의 일 실시 예의 저면도이다. 지지 플레이트 (2506) 는 상단 표면 (2506A), 측표면 (2506B), 및 하단 표면 (2506C) 을 갖는다. 측표면 (2506B) 은 원형 형상을 갖고 상단 표면 (2506A) 및 하단 표면 (2506C) 에 대해 수직이거나 실질적으로 수직이다. 예를 들어, 측표면 (2506B) 은 상단 표면 (2506A) 에 대해 90 °의 각도 또는 90 °로부터 ± 5 % 이내의 각도를 형성한다. 또 다른 예로서, 측표면 (2506B) 은 하단 표면 (2506C) 에 대해 90 °의 각도 또는 90 °로부터 ± 5 % 이내의 각도를 형성한다. 상단 표면 (2506A) 은 하단 표면 (2506C) 에 평행하거나 실질적으로 평행하다. 실질적으로 평행한 상단 표면 및 하단 표면의 예들이 상기에 제공되었다.
복수의 핀들 (2510A, 2510B 및 2510C), 및 복수의 리테이닝 링들 (2512A, 2512B, 및 2512C) 이 하단 표면 (2506C) 을 통해 보인다. 리테이닝 링 (2512B) 은 리테이닝 링 (2512A) 이 핀 (2510A) 내의 슬롯 (2516) (도 25b) 에 피팅되는 동일한 방식으로 핀 (2510B) 내의 슬롯에 피팅된다. 또한, 리테이닝 링 (2512C) 은 리테이닝 링 (2512A) 이 핀 (2510A) 내의 슬롯 (2516) 에 피팅되는 것과 동일한 방식으로 핀 (2510C) 내의 슬롯에 피팅된다. 이에 더하여, 핀들 (2510B 및 2510C) 각각은 핀 (2510A) 이 스프링 (2508A) 의 길이를 통해 연장하는 것과 동일한 방식으로 스프링의 길이를 통해 연장한다 (도 25b).
핀들 (2510A 내지 2510C) 은 운동학적 핀들이다. 예를 들어, 핀들 (2510A 내지 2510C) 은 하단 표면 (2506C) 상에 형성된 삼각형의 꼭짓점들에 위치된다. 핀들 (2510B, 2510C) 각각의 구조는 핀 (2510A) 의 구조와 동일하다. 또한, 핀들 (2510B, 2510C) 각각의 기능은 핀 (2510A) 의 기능과 동일하다. 유사하게, 리테이닝 링들 (2512B, 2512C) 각각의 구조는 리테이닝 링 (2512A) 의 구조와 동일하다. 또한, 리테이닝 링들 (2512B, 2512C) 각각의 기능은 리테이닝 링 (2512A) 의 기능과 동일하다. 핀들 (2510A 내지 2510C) 의 동일한 구조들 및 리테이닝 링들 (2512A 내지 2512C) 의 동일한 구조는 지지 섹션 (2506) 과 세정 어셈블리 (2500) (도 25a) 의 프레스 플레이트 (2504) (도 25a) 사이의 복수의 스프링들을 압축 또는 압축 해제하도록 지지 플레이트 (2506) 에 대해 패드 (2502) 및 프레스 플레이트 (2504) 의 수직 방향으로의 운동 정도를 허용한다. 세정 어셈블리 (2500) 는 또한 이하에 기술되는, 정렬기에 의한 정렬을 위한 노치 (2630) 를 갖는다.
일 실시 예에서, 임의의 수의 핀들 및 리테이닝 링들이 지지 섹션 (2506) 내에서 사용된다. 예를 들어, 5 개의 핀들 및 5 개의 각각의 리테이닝 링들이 지지 섹션 (2506) 내에서 사용된다. 또 다른 예로서, 7 개의 핀들 및 7 개의 각각의 리테이닝 링들이 지지 섹션 (2506) 내에서 사용된다.
도 27은 프레스 플레이트 (2504) (도 25a) 의 하단 표면 (2504C) 아래로 연장하는 측면 풋 연장부 (2700) 의 일 실시 예의 등각도이다. 풋 연장부 (2700) 는 하단 표면 (2504C) 내에 형성된 측면 슬롯 (2702) 을 통해 연장한다. 측면 풋 연장부 (2700) 는 임의의 측면 풋 연장부들 (2610A 내지 2610D) (도 26b) 의 일 예이다. 더욱이, 측면 슬롯 (2702) 은 임의의 측면 슬롯들 (2602A 내지 2602D) (도 26a) 의 일 예이다.
측면 풋 연장부 (2700) 는 상단 부분 (2704) 및 하단 부분 (2706) 을 갖는다. 상단 부분 (2704) 은 하단 부분 (2706) 의 상단 상에 있고 하단 부분 (2706) 과 일체화된다. 상단 부분 (2704) 은 하단 부분 (2706) 이 측면 슬롯 (2702) 을 통과할 때 하단 부분 (2706) 이 패드 (2502) 를 프레스 플레이트 (2504) (도 25a) 에 피팅하기 위해 하단 표면 (2702) 에 인접하도록 하단 부분 (2706) 과 비교하여 z-축을 따라 작은 원형 단면을 갖는다. 하단 부분 (2706) 은 측면 슬롯 (2702) 을 통과할 때 수축하고 측면 슬롯 (2702) 을 통과한 후 팽창한다.
도 28은 핀 (2802) 및 리테이닝 링 (2804) 의 어셈블리의 일 실시 예의 등각 저면도이다. 핀 (2802) 은 임의의 핀들 (2510A 내지 2510D) (도 26c) 의 일 예이다. 리테이닝 링 (2804) 은 리테이닝 링들 (2512A 내지 2512D) (도 26c) 중 임의의 리테이닝 링의 일 예이다. 핀 (2802) 및 리테이닝 링 (2804) 은 하단 표면 (2506C) 아래로 연장하도록 하단 표면 (2506C) 내에 형성된 보어 외부로 연장할 수 있다.
도 29a는 FOUP (2900) 내의 세정 어셈블리 (2500) 의 배치를 예시하기 위한 FOUP (2900) 의 일부의 일 실시 예의 전면도이다. FOUP (2900) 는 베이스 층들, 예컨대 베이스 층 (1616) (도 16a) 대신에, FOUP (2900) 가 이하에 더 기술되는 지지부들을 갖는 것을 제외하고 FOUP (1600) (도 16a) 와 동일한 구조를 갖는다.
FOUP (2900) 는 포드 (204A) 또는 포드 (204B) (도 2) 의 일 예이다. FOUP (2900) 는 베이스 (2902A) 및 핀 (2904A) 을 갖는다. 베이스 (2902A) 는 지지 플레이트 (2506) 의 하단 표면 (2506C) 을 지지한다. 예를 들어, 하단 표면 (2506C) 은 세정 어셈블리 (2500) 가 베이스 (2902A) 상에 지지될 때 베이스 (2902A) 에 인접하다. 핀 (2904A) 은 베이스 (2902A) 의 상단 표면으로부터 수직 방향으로 상향으로 연장한다. 핀 (2904A) 은 세정 어셈블리 (2500) 의 배치를 위해 좌측 경계를 제공한다.
도 29b는 FOUP (2900) 의 일 실시 예의 평면도이다. FOUP (2900) 는 복수의 지지부들 (2902A 및 2902B) 을 포함한다. 지지부 (2902A) 는 x-축을 따라, 좌측 측벽 (1650D) 으로부터 지지부 (2902B) 를 향해 연장하고 그리고 지지부 (2902B) 는 x-축을 따라, 우측 측벽 (1650D) 으로부터 지지부 (2902A) 를 향해 연장한다.
FOUP (2900) 는 FOUP (2900) 의 하단 벽 (1650C) (도 16a) 으로부터 수직 방향으로 상향으로 연장하는 복수의 포스트들 (2910A 및 2910B) 을 포함한다. FOUP (2900) 는 또한 복수의 핀들 (2904A 및 2904B) 을 포함한다. 핀 (2904B) 은 베이스 (2902B) 의 상단 표면으로부터 수직 방향으로 상향으로 연장한다. 핀 (2904B) 은 세정 어셈블리 (2500) 의 배치를 위해 우측 경계를 제공한다.
또한, 세정 어셈블리 (2500) 는 세정 어셈블리 (2500) 가 포스트들 (2910A 및 2910B) 에 도달할 때까지 FOUP (2900) 내에서 연장한다. 포스트들 (2910A 및 2910B) 은 세정 어셈블리 (2500) 가 FOUP (2900) 내에 배치될 때 세정 어셈블리 (2500) 에 대한 정지 위치를 규정한다.
포스트들 (2904A 및 2904B) 은 y-축을 따른 방향에서 볼 때 지지부들 (2902A 및 2902B) 뒤에 위치된다. 세정 어셈블리 (2500) 는 지지부들 (2902A 및 2902B) 에 의해 형성된 수평 레벨 상에 지지된다. 세정 어셈블리 (2500) 는 세정 어셈블리 (2500) 가 포스트들 (2904A 및 2904B) 에 인접할 때까지 도어 (1606) 를 통해 FOUP (2900) 의 인클로저 내로 연장된다.
세정 어셈블리 (2500) 가 FOUP (2900) 내로 연장할 때, 세정 어셈블리는 포스트들 (2910A 및 2910B) 및 핀들 (2904A 및 2904B) 에 의해 경계가 지어진다. 또한, 세정 어셈블리 (2500) 는 지지부들 (2902A 및 2902B) 상에 놓인다.
도 30은 플라즈마 시스템 (3000) 의 일 실시 예의 도면이다. 플라즈마 시스템 (3000) 은 EFEM (3002), 로드 록 (3008), 진공 이송 모듈 (vacuum transfer module; VTM) (3010), 이송 스테이션 (3012), 또 다른 VTM (3014), 및 복수의 플라즈마 챔버들 (3018, 3020, 3022, 및 3024) 을 포함한다.
EFEM (3002) 은 복수의 로드 포트들 (3006A, 3006B, 및 3006C) 을 갖는다. 또한, EFEM (3002) 은 정렬기 (3016) 를 갖는다. 플라즈마 챔버들 (3018 내지 3024) 각각은 복수의 스테이션들을 갖는다. 예를 들어, 플라즈마 챔버 (3018) 는 스테이션 1, 스테이션 2, 스테이션 3, 및 스테이션 4를 갖는다. 예시를 위해, 플라즈마 챔버 (105) (도 2) 는 플라즈마 챔버 (3018), 또는 플라즈마 챔버 (3020), 또는 플라즈마 챔버 (3022), 또는 플라즈마 챔버 (3024) 의 일 예이다. FOUP (204A) 또는 FOUP (204B) 와 같은 FOUP는 로드 포트들 (3006A 내지 3006C) 중 하나 상에 배치된다. EFEM (3002) 은 FOUP로부터 정렬기 (3016) 로 세정 어셈블리 (220) (도 2) 를 이동시킨다. 세정 어셈블리 (220) 의 예인 세정 어셈블리 (2500) (도 26c) 는 세정 어셈블리 (2500) 가 정렬되는지 여부를 더 결정하도록 노치 (2630) (도 26c) 가 정렬되는지 여부를 결정하도록 회전된다.
EFEM (3002) 은 정렬기 (3016) 로부터 로드 록 (3008) 으로 세정 어셈블리 (2500) 를 이동시킨다. 로드 록 (3008) 은 세정 어셈블리 (2500) 를 VTM (3010) 으로 보내고, VTM (3010) 은 세정 어셈블리 (2500) 를 플라즈마 챔버 (3018) 또는 플라즈마 챔버 (3020) 또는 이송 스테이션 (3012) 으로 이송한다. 이송 스테이션 (3012) 은 세정 어셈블리 (2500) 를 VTM (3014) 으로 이송한다. VTM (3014) 은 플라즈마 챔버 (3020) 또는 플라즈마 챔버 (3022) 로 세정 어셈블리 (2500) 를 제공한다.
로드 록 (3008) 은 EFEM (3002) 과 VTM (3010) 사이에서 세정 어셈블리 (2500) 를 이송한다. VTM (3010) 은 플라즈마 챔버 (3018) 와 이송 스테이션 (3012) 사이 또는 이송 스테이션 (3012) 과 플라즈마 챔버 (3024) 사이 또는 플라즈마 챔버들 (3018 및 3024) 사이에서 세정 어셈블리 (2500) 를 이송한다. 또한, 이송 스테이션 (3012) 은 VTM들 (3010 및 3014) 사이에서 세정 어셈블리 (2500) 를 이송하기 위한 저장부로서 사용된다. VTM (3014) 은 플라즈마 챔버들 (3020 및 3022) 사이 또는 플라즈마 챔버 (3020) 와 이송 스테이션 (3012) 사이 또는 플라즈마 챔버 (3022) 와 이송 스테이션 (3012) 사이에서 세정 어셈블리 (2500) 를 이송한다.
도 31a는 이송 스테이션 (3012) 의 일 실시 예의 전면도이다. 이송 스테이션 (3012) 은 베이스 (3102A) 를 포함한다. 복수의 지지부들 (3104A, 3104B, 3104C, 3104D, 및 3104E) 은 x-축을 따라 베이스 (3102A) 로부터 연장한다. 세정 어셈블리 (2500) 는 임의의 지지부들 (3104A 내지 3104E) 에 의해 지지된다.
이송 스테이션 (3102) 은 내측 상단 표면 (3103) 을 갖는다. 세정 어셈블리 (2500) 와 내측 상단 표면 (3103) 사이의, z-축을 따른 수직 간격의 예는 0.241 인치 또는 대략 0.241 인치이다. 예를 들어, 수직 간격은 0.238 인치 내지 0.245 인치 범위이다.
도 31b는 이송 스테이션 (3012) 의 일 실시 예의 등각도이다. 이송 스테이션 (3012) 은 또 다른 베이스 (3102B) 를 포함한다. 복수의 지지부들 (3104F, 3104G, 3104H, 3104I, 및 3104J) 은 베이스 (3102B) 로부터 x-축을 따라 연장한다. 지지부들 (3104A 내지 3104E) 은 지지부들 (3104F 내지 3104J) 을 향해 연장하고 그리고 지지부들 (3104F 내지 3104J) 은 지지부 (3104A 내지 3104E) 를 향해 연장한다. 세정 어셈블리 (2500) 는 지지부들 (3104A 및 3104F) 의 수평 레벨에서 지지부들 (3104A 및 3104F) 에 의해, 또는 지지부들 (3104B 및 3104G) 의 수평 레벨에서 지지부들 (3104B 및 3104G) 에 의해, 또는 지지부들 (3104C 및 3104H) 의 수평 레벨에서 지지부들 (3104C 및 3104H) 에 의해, 또는 지지부들 (3104D 및 3104I) 의 수평 레벨에서 지지부들 (3104D 및 3104I) 에 의해, 또는 지지부들 (3104E 및 3104J) 의 수평 레벨에서 지지부들 (3104E 및 3104J) 에 의해 지지된다.
본 명세서에 기술된 실시 예들은 휴대형 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램가능한 가전제품들, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 실시 예들은 또한 네트워크를 통해 링크된 원격 프로세싱 하드웨어 유닛들에 의해 태스크들이 수행되는 분산 컴퓨팅 환경들에서 실시될 수 있다.
일부 실시 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함한다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합된다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 서브파트들을 제어할 수도 있는 "제어기"로서 지칭된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세스 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 시스템과 커플링되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍된다.
일반적으로 말하면, 다양한 실시 예들에서, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 논리, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정된다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs, PLDs로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들이다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부이다.
제어기는, 일부 실시 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 일부이다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 하는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 조사하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 후속하는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스 시작하도록 시스템에 대한 원격 액세스를 인에이블한다.
일부 실시 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함하는 네트워크를 통해 시스템에 프로세스 레시피들을 제공한다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함한다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적이라는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산된다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시 예들에서, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관되는 임의의 다른 반도체 프로세싱 시스템들을 포함한다.
일부 실시 예들에서, 상기 기술된 동작들은 몇몇 타입들의 플라즈마 챔버들, 예를 들어, ICP (inductively coupled plasma) 반응기, 변압기 커플링 플라즈마 챔버, CCP (capacitively coupled plasma) 반응기, 도전체 툴들, 유전체 툴들, ECR (electron cyclotron resonance) 반응기 등을 포함하는 플라즈마 챔버를 포함하는 플라즈마 챔버에 적용된다는 것을 또한 주의한다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 운송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신한다.
상기 실시 예들을 염두에 두고, 일부 실시 예들은 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용한다는 것이 이해되어야 한다. 이들 동작들은 물리적으로 물리량들을 조작하는 동작들이다. 실시 예들의 일부를 형성하는 본 명세서에 기술된 임의의 동작들은 유용한 머신 동작들이다.
실시 예들 중 일부는 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터를 위해 특별히 구성된다. 특수 목적 컴퓨터로서 규정될 때, 컴퓨터는 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행하지만, 여전히 특수 목적을 위해 동작할 수 있다.
일부 실시 예들에서, 동작들은 컴퓨터 메모리, 캐시에 저장되거나 컴퓨터 네트워크를 통해 획득될 수 있는 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성된 컴퓨터에 의해 프로세싱될 수도 있다. 데이터가 컴퓨터 네트워크를 통해 획득될 때, 데이터는 컴퓨터 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
하나 이상의 실시 예들은 또한 비일시적인 컴퓨터 판독 가능 매체 상의 컴퓨터 판독 가능 코드로서 제조될 수 있다. 비일시적 컴퓨터-판독 가능 매체는 그 후 컴퓨터 시스템에 의해 판독되는, 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스, 등이다. 비일시적 컴퓨터 판독 가능 매체의 예들은 하드 드라이브들, NAS (network attached storage), ROM, RAM, CD-ROM들, CD-Rs (CD-recordables), CD- RWs (CD-rewritables), 자기 테이프들 및 기타 광학 및 비광학 데이터 저장 하드웨어 유닛들을 포함한다. 일부 실시 예들에서, 비일시적인 컴퓨터-판독 가능 매체는 컴퓨터-판독 가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터-판독 가능 유형의 (tangible) 매체를 포함한다.
상기 방법 동작들이 특정한 순서로 기술되었지만, 다양한 실시 예들에서, 다른 하우스 키핑 동작들이 동작들 사이에 수행되거나, 방법 동작들이 약간 상이한 시간들에 발생하도록 조정되거나, 다양한 인터벌들로 방법 동작들의 발생을 허용하거나 상기 기술된 것과 상이한 순서로 수행되는 시스템에 분산된다는 것이 이해되어야 한다.
일 실시 예에서, 상기 기술된 임의의 일 실시 예로부터의 하나 이상의 특징들은 본 개시에 기술된 다양한 실시 예들에서 기술된 범위로부터 벗어나지 않고 임의의 다른 실시 예의 하나 이상의 특징들과 결합된다는 것을 또한 주의해야 한다.
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 따라서, 본 실시 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 실시 예들은 본 명세서에 제공된 상세들로 제한되지 않고, 첨부된 청구항들의 범위 및 등가물 내에서 수정될 수도 있다.

Claims (20)

  1. 하단 측면 및 상부 측면을 갖는 지지 섹션으로서, 상기 하단 측면은 플라즈마 프로세싱 툴의 암에 연결되고, 상기 암은 진공 하에서 상기 플라즈마 프로세싱 툴 내부의 세정 어셈블리의 운동 (movement) 을 핸들링하도록 (handle) 구성되는, 상기 지지 섹션;
    압축 인터페이스를 통해 상기 지지 섹션의 상기 상부 측면에 커플링된 프레스 플레이트 (press plate);
    상기 프레스 플레이트 위에 배치된 세정 층으로서, 상기 세정 층은 복수의 필라들 (pillars) 을 포함하는, 상기 세정 층을 포함하고,
    상기 암은 상기 플라즈마 프로세싱 툴 내부의 표면 상에 배치된 미립자들로 하여금 상기 표면으로부터 그리고 상기 세정 층 상으로 마이그레이션하게 (migrate) 하기 위해 상기 플라즈마 프로세싱 툴의 상기 표면 상으로 상기 세정 층을 이동시키도록 구성되는, 세정 어셈블리.
  2. 제 1 항에 있어서,
    상기 압축 인터페이스는 상기 암이 상기 표면 상으로 상기 세정 층을 이동시킬 때 상기 표면에 쿠션을 제공하는 복수의 스프링들을 포함하는, 세정 어셈블리.
  3. 제 1 항에 있어서,
    상기 지지 섹션의 상기 하단 측면은 상기 암이 상기 세정 어셈블리를 이동시킬 때 상기 암에 대한 상기 연결을 인에이블하도록 복수의 돌출부들을 포함하는, 세정 어셈블리.
  4. 제 1 항에 있어서,
    상기 암이 상기 플라즈마 프로세싱 툴 내부의 상기 표면 상으로 상기 세정 층을 프레싱할 (press) 때 부가적인 압축 흡수도 (degree of compression absorption) 를 제공하도록 상기 프레스 플레이트와 상기 세정 층 사이에 배치된 쿠션 층을 더 포함하는, 세정 어셈블리.
  5. 제 1 항에 있어서,
    상기 세정 층은 상기 복수의 필라들을 규정하도록 제조되는 폴리이미드 재료로부터 형성되는, 세정 어셈블리.
  6. 제 1 항에 있어서,
    상기 복수의 필라들은 상기 복수의 필라들의 상부 콘택트 표면들 및 하부 비콘택트 표면을 포함하는 마이크로-구조 패턴들로 배열되는, 세정 어셈블리.
  7. 제 6 항에 있어서,
    상기 암에 의한 상기 운동은 상기 상부 콘택트 표면들로 하여금 상기 표면으로부터 상기 미립자들을 상기 복수의 필라들 중 하나 이상의 필라들 상으로 유인하도록 (attract) 상기 표면과 근접하게 또는 콘택트하게 하고, 그리고 상기 미립자들 중 일부는 상기 하부 비콘택트 표면을 향해 마이그레이션하는, 세정 어셈블리.
  8. 제 6 항에 있어서,
    상기 암에 의한 상기 운동은 상기 상부 콘택트 표면들로 하여금 상기 표면으로부터 상기 미립자들을 상기 복수의 필라들 중 하나 이상의 필라들 상으로 유인하도록 상기 표면과 주기적인 콘택트하게 하고, 그리고 상기 미립자들 중 일부는 상기 하부 비콘택트 표면을 향해 마이그레이션하는, 세정 어셈블리.
  9. 제 6 항에 있어서,
    상기 암에 의한 상기 운동은 상기 상부 콘택트 표면들로 하여금 상기 표면과 콘택트하게 하고 상기 표면을 따라 수평으로 콘택트하여 슬라이딩하게 하고, 그리고 상기 표면으로부터 상기 미립자들을 상기 복수의 필라들 중 하나 이상의 필라들 상으로 유인하기 위해 상기 콘택트 및 상기 표면을 따른 수평 콘택트 상기 슬라이딩을 반복하게 하는, 세정 어셈블리.
  10. 제 6 항에 있어서,
    상기 암에 의한 상기 운동은 상기 미립자들로 하여금 상기 표면으로부터 상기 복수의 필라들 중 하나 이상의 필라들 상으로 제거되게 하도록 상기 상부 콘택트 표면들로 하여금 상기 표면과 콘택트하게 하고 상기 표면을 따라 전후 움직임 (back and forth motion) 으로 수평 콘택트하여 슬라이딩하게 하는, 세정 어셈블리.
  11. 제 1 항에 있어서,
    상기 플라즈마 프로세싱 툴은 상기 세정 어셈블리 및 하나 이상의 부가적인 세정 어셈블리들을 홀딩하도록 (hold) 사용된 포드 (pod) 와 인터페이싱하기 위한 로드 록과 인터페이싱되고,
    상기 세정 어셈블리는 상기 표면의 세정을 위해 상기 플라즈마 프로세싱 툴 내로 반입되고 (bring into) 상기 세정이 수행된 후 상기 프로세싱 툴로부터 취출되도록 (take out) 구성되고, 그리고 상기 프로세싱 툴 내로의 상기 반입 및 상기 프로세싱 툴로부터 상기 취출은 상기 플라즈마 프로세싱 툴을 상기 진공을 중단시키지 (down) 않고 발생하는, 세정 어셈블리.
  12. 제 1 항에 있어서,
    상기 암은 상기 플라즈마 프로세싱 툴 내에 배치된 스핀들 어셈블리에 연결된 복수의 암들 중 하나이고, 상기 스핀들 어셈블리는 프로세싱 스테이션들의 세트 사이에서 배향되는, 세정 어셈블리.
  13. 제 1 항에 있어서,
    상기 표면은 상기 플라즈마 프로세싱 툴 내의 프로세싱 스테이션의 샤워헤드의 표면인, 세정 어셈블리.
  14. 제 1 항에 있어서,
    상기 세정 어셈블리는 소모성 부품인, 세정 어셈블리.
  15. 제 1 항에 있어서,
    상기 복수의 필라들 각각은 돔-상단 필라, 또는 버섯-형상 필라, 또는 원뿔 형상 필라, 또는 편평-상단 (flat-top) 필라, 또는 리세스형-상단 (recessive-top) 필라이고, 또는 다중-표면 상단 필라, 또는 슬롯형-상단 필라, 또는 돌출-상단 필라, 또는 이들의 조합인, 세정 어셈블리.
  16. 플라즈마 프로세싱 툴 내 표면을 세정하는 방법에 있어서,
    플라즈마 프로세싱 툴의 암 상에 세정 어셈블리를 수용하는 단계로서, 상기 세정 어셈블리는,
    하단 측면 및 상부 측면을 갖는 지지 섹션으로서, 상기 하단 측면은 상기 플라즈마 프로세싱 툴의 상기 암에 연결되는, 상기 지지 섹션;
    압축 인터페이스를 통해 상기 지지 섹션의 상기 상부 측면에 커플링된 프레스 플레이트; 및
    상기 프레스 플레이트 위에 배치되고, 복수의 필라들을 포함하는, 세정 층을 포함하는, 상기 세정 어셈블리 수용 단계; 및
    상기 세정 층으로 하여금 표면에 근접하게 하도록 상기 플라즈마 프로세싱 툴 내부의 상기 표면 상으로 상기 세정 층을 이동시키도록 상기 암을 상향 방향으로 이동시키는 단계를 포함하는, 세정 방법.
  17. 제 16 항에 있어서,
    상기 암을 상기 상향 방향으로 이동시키는 단계는 상기 표면 아래의 미립자들이 상기 표면으로부터 상기 복수의 필라들 중 하나 이상의 필라들 상으로 유인되도록 상기 복수의 필라들로 하여금 상기 표면에 근접하게 되거나 상기 표면에 접촉하게 (touch) 하고, 그리고 상기 미립자들 중 일부는 상기 세정 층의 비콘택트 표면을 향해 마이그레이션하는, 세정 방법.
  18. 제 16 항에 있어서,
    상기 암을 상향 방향으로 이동시키는 단계는 상기 복수의 필라들로 하여금 상기 표면으로부터 미립자들을 상기 복수의 필라들 중 하나 이상의 필라들 상으로 유인하기 위해 상기 표면과 주기적인 콘택트하게 하고, 그리고 상기 미립자들 중 일부는 상기 하부 비콘택트 표면을 향해 마이그레이션하는, 세정 방법.
  19. 제 16 항에 있어서,
    상기 표면은 상기 플라즈마 프로세싱 툴의 샤워헤드의 표면인, 세정 방법.
  20. 제 16 항에 있어서,
    상기 플라즈마 프로세싱 툴의 스핀들에 대해 아크를 따라 상기 세정 어셈블리를 이동시키도록 상기 암을 회전시키는 단계를 더 포함하는, 세정 방법.
KR1020227018578A 2019-11-01 2020-10-20 샤워헤드를 세정하기 위한 시스템들 및 방법들 KR20220093181A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962929328P 2019-11-01 2019-11-01
US62/929,328 2019-11-01
PCT/US2020/056534 WO2021086691A1 (en) 2019-11-01 2020-10-20 Systems and methods for cleaning a showerhead

Publications (1)

Publication Number Publication Date
KR20220093181A true KR20220093181A (ko) 2022-07-05

Family

ID=75715562

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227018578A KR20220093181A (ko) 2019-11-01 2020-10-20 샤워헤드를 세정하기 위한 시스템들 및 방법들

Country Status (6)

Country Link
US (1) US20220367159A1 (ko)
JP (1) JP2023501132A (ko)
KR (1) KR20220093181A (ko)
CN (1) CN114630924A (ko)
TW (1) TW202132610A (ko)
WO (1) WO2021086691A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102654366B1 (ko) 2024-03-06 2024-04-03 주식회사 디에프텍 반도체 제조공정에 사용되는 샤워헤드 세정 방법

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11972935B2 (en) * 2021-08-27 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for processing a semiconductor substrate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0171491B1 (ko) * 1994-09-20 1999-03-30 이시다 아키라 회전식 기판세정장치
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
JP4509981B2 (ja) * 2006-08-11 2010-07-21 日東電工株式会社 クリーニング部材、クリーニング機能付搬送部材、および基板処理装置のクリーニング方法
US20100175716A1 (en) * 2006-08-11 2010-07-15 Nitto Denko Corporation Cleaning Member, Delivery Member with Cleaning Function, and Method of Cleaning Substrate Processing Apparatus
JP5038259B2 (ja) * 2008-08-26 2012-10-03 株式会社日立ハイテクノロジーズ クリーニング装置およびクリーニング方法
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20160233115A1 (en) * 2010-02-01 2016-08-11 Hermes-Epitek Corporation Cleaning apparatus for semiconductor equipment
CN102251228B (zh) * 2011-03-25 2015-12-16 中微半导体设备(上海)有限公司 清洁气体输送装置的方法、生长薄膜的方法及反应装置
CN102181844B (zh) * 2011-04-07 2015-04-22 中微半导体设备(上海)有限公司 清洁装置及清洁方法、薄膜生长反应装置及生长方法
DE102015107315A1 (de) * 2014-07-02 2016-01-07 Aixtron Se Verfahren und Vorrichtung zum Reinigen eines Gaseinlassorgans
TWI600479B (zh) * 2016-08-26 2017-10-01 北京七星華創電子股份有限公司 超音波及百萬赫超音波清洗裝置
JP6775450B2 (ja) * 2017-03-21 2020-10-28 東京エレクトロン株式会社 ステージクリーニング方法およびステージクリーニング部材、ならびに検査システム
US10651065B2 (en) * 2017-12-06 2020-05-12 Lam Research Corporation Auto-calibration to a station of a process module that spins a wafer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102654366B1 (ko) 2024-03-06 2024-04-03 주식회사 디에프텍 반도체 제조공정에 사용되는 샤워헤드 세정 방법

Also Published As

Publication number Publication date
TW202132610A (zh) 2021-09-01
JP2023501132A (ja) 2023-01-18
WO2021086691A1 (en) 2021-05-06
CN114630924A (zh) 2022-06-14
US20220367159A1 (en) 2022-11-17

Similar Documents

Publication Publication Date Title
KR20220093181A (ko) 샤워헤드를 세정하기 위한 시스템들 및 방법들
KR102537265B1 (ko) 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
KR102537053B1 (ko) 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링
EP2704182B1 (en) Substrate bonding apparatus and substrate bonding method
JP2020074369A (ja) 基板処理方法、基板処理システム、露光装置、露光方法、算出装置および算出方法
US9214376B2 (en) Substrate mounting stage and surface treatment method therefor
JP5902085B2 (ja) 処理チャンバ内で基板を位置決めするための装置及び処理チャンバ内で基板をセンタリングするための方法
KR101559022B1 (ko) 기판 열처리 기구
TW201712778A (zh) 藉由控制晶圓支座以達成邊緣密封而減少背側沉積的方法及設備
WO2010081003A2 (en) Systems, apparatus and methods for moving substrates
KR20120031255A (ko) 오버레이 오정렬이 감소된 직접 본딩 방법
JP2016531438A (ja) インシトゥで取り出すことができる静電チャック
TWI823865B (zh) 用於減少損壞的基板背面的基板支撐件
TW201802869A (zh) 基板貼合裝置及基板貼合方法
TW202036652A (zh) 用於處理基板的裝置及用於該裝置的基板邊緣環的升降解決方案
TW201801136A (zh) 基板貼合裝置及基板貼合方法
TW201926538A (zh) 基板承載桌
JP6465633B2 (ja) 半導体積層構造を形成するための方法および装置
JP4783094B2 (ja) プラズマ処理用環状部品、プラズマ処理装置、及び外側環状部材
TW202100322A (zh) 可更換的端效器接觸墊、端效器及維護方法
KR200405748Y1 (ko) 다공질 실리콘을 이용한 이중 구조의 진공 척
JP6047438B2 (ja) 剥離装置および剥離方法
TWI436448B (zh) 夾盤結構與半導體製程設備
KR101748195B1 (ko) 다층 구조 세라믹 전극을 이용하여 반도체 기판을 지지하기 위한 정전척
JP2018026415A (ja) 接合装置および接合システム