CN114630924A - 用于清洁喷头的系统和方法 - Google Patents

用于清洁喷头的系统和方法 Download PDF

Info

Publication number
CN114630924A
CN114630924A CN202080076895.0A CN202080076895A CN114630924A CN 114630924 A CN114630924 A CN 114630924A CN 202080076895 A CN202080076895 A CN 202080076895A CN 114630924 A CN114630924 A CN 114630924A
Authority
CN
China
Prior art keywords
cleaning
cleaning assembly
posts
layer
cleaning layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080076895.0A
Other languages
English (en)
Inventor
埃里克·布拉姆韦尔·布里彻
杰拉尔德·瓦塔尼安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN114630924A publication Critical patent/CN114630924A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B13/00Accessories or details of general applicability for machines or apparatus for cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B6/00Cleaning by electrostatic means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Abstract

描述了用于清洁喷头的系统和方法。所述系统中的一种系统包含支撑部和位于支撑部上方将由所述支撑部支撑的压板。该系统还包含位于压板上方的清洁层。清洁层移动以清洁喷头。支撑部接触心轴组件的臂,以随着臂移动而移动。

Description

用于清洁喷头的系统和方法
技术领域
本公开中所述的实施方案涉及用于清洁喷头的系统和方法。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
在等离子体工具中,一或多个射频(RF)产生器耦合至阻抗匹配网络。阻抗匹配网络耦合至等离子体室。RF信号从RF产生器供给至阻抗匹配网络。在接收到RF信号时,阻抗匹配网络将RF信号输出至等离子体室。此外,多种处理气体通过等离子体室的喷头而供给至等离子体室内的间隙。当RF信号从阻抗匹配电路供给至等离子体室且供给处理气体时,在等离子体室内处理晶片。
在等离子体室中处理一或多个晶片持续一定时间量之后,不希望的材料沉积在喷头的表面上。如果不清洁喷头,则不希望的材料将更进一步累积于喷头上且累积物会在处理晶片时不利地影响效率。此外,用于移除累积物的某些清洁处理需要太长的时间或无法有效地移除不希望的材料。
本公开中所述的实施方案就是在该背景下产生的。
发明内容
本公开的实施方案提供用于清洁喷头的装置、方法、以及计算机程序。应明白,本发明实施方案可以多种方式(例如处理、装置、系统、硬件、或计算机可读介质上的方法)实施。下面将说明若干实施方案。
一般而言,通过以异丙醇或去离子水擦拭喷头的面板而清洁喷头一或多次。擦拭在开启等离子体室之后由技术人员手动进行。利用一或多个垫如聚酯布垫或棉布垫将异丙醇或去离子水施加至面板而渐渐进行擦拭。例如,用异丙醇或去离子水润湿一或多个垫以清洁喷头。此外,擦拭会在面板上施加少量的压力如约1磅力(lbf)。在处理一系列晶片期间可进行两次擦拭,且在处理一系列晶片之后应置换喷头。
然而,手动擦拭会造成等离子体室的停机时间。例如,等离子体室无法操作达48小时。无法使用等离子体室很长一段时间以让喷头冷却及排放以致能够手动擦拭喷头。此外,聚酯布垫具有约295摄氏度的熔点,因此无法用于在中间温度或高温下清洁喷头。这也增加处理室的停机时间。当使用棉布垫时,其将喷头暴露于棉布垫的颗粒。此外,从喷头清洁下来的污染物为如果被吸入则对人体有害的有毒粉末。此外,使用若干工时进行手动清洁且手动清洁可能不是每一次都恰如其分。附接至喷头的污染物如果未恰当地移除,则可能会影响等离子体室中的晶片处理。
在一实施方案中,公开了一种等离子体室的自我维护方法。在该方法中,使用具有无粘合剂聚合物垫的清洁组件,无粘合剂聚合物垫具有生物仿生微结构以在等离子体室仍处于真空状态以及处于或接近处理温度时从喷头捕获颗粒以及移除颗粒。该清洁组件在顶部上具有无粘合剂聚合物垫,且等离子体室中的竖直移动机构将该无粘合剂聚合物垫压靠于喷头。竖直移动机构的示例包含晶片转位机构、升降销、以及基座升降件。竖直移动机构的另一实施方案包含沿着竖直方向向上或向下移动以向上或向下移动清洁组件的晶片搬运机械手。清洁组件被置于晶片搬运机械手上。晶片搬运机械手用于等离子体室,等离子体室用于处理晶片。晶片转位机构的示例为具有多个臂的心轴。污染物通过范德华力和/或静电力而粘附至聚合物垫上的微结构上。粘附是无残留物的且可在高温下进行。
通过维护前端开口舱(FOUP)将多个清洁组件带至工具及从工具移除。通过工具的晶片搬运机械手将清洁组件输送至等离子体室。
在一实施方案中,描述了一种清洁组件。清洁组件包含支撑部,支撑部具有底侧和上侧。底侧连接至等离子体处理工具的臂。臂用于在真空下的等离子体处理工具中处理清洁组件的移动。清洁组件还包含通过压缩接口耦合至支撑部的上侧的压板。清洁组件包含设置于压板上方的清洁层。清洁层包含多个柱。臂被用于将清洁层移动至等离子体处理工具内的表面上,以使位于表面上的颗粒离开表面并迁移到清洁层上。
在一实施方案中,描述了一种用于清洁等离子体处理工具内的表面的方法。该方法包含接收等离子体处理工具的臂上的清洁组件。该方法还包含沿着向上方向移动臂,以将清洁层移动至等离子体处理工具内的表面上,使清洁层接近表面。
本文中所描述的系统和方法的某些优点包含减少或消除处理室的停机时间。例如,当使用清洁组件时可将处理室的停机时间减少至数小时或更少。清洁喷头不需要冷却喷头,而是通过利用清洁组件或本文中所述的任何其他清洁组件可在中间温度和高温下清洁喷头。因此,可减少或消除等离子体室停机时间。
此外,清洁等离子体室不需要开启等离子体室。可使用竖直移动机构在等离子体室关闭且未暴露于外部空气时清洁喷头。由于可在等离子体室关闭时清洁喷头,因此可减少或消除技术人员吸入有害污染物的机会。因此,技术人员的安全性得到改善。
此外,由于使用竖直移动机构,因此清洁喷头所需的时间比手动清洁喷头所需的时间显著减少。比手动清洁更繁频的清洁可增加喷头的寿命。
此外,相比于手动清洁,使用数次的清洁组件每一次都可以恰当地清洁喷头。使用清洁组件可通过适当移除粘附至喷头的污染物而增加喷头的寿命。
使用清洁组件还减少无法恰当地处理衬底的机会。清洁组件能恰当地移除污染物因此材料不会干扰衬底处理。
可以在真空下使用清洁组件。此外,如果微结构薄片(微结构是从微结构薄片延伸)是由耐热材料制成,清洁喷头不需要降低等离子体中的温度。例如,如果微结构薄片为氟聚合物或聚酰亚胺,则可以在不降低等离子体室中的温度的情况下清洁喷头。
本发明的其他方面将由以下结合附图的详细说明而变得显而易见。
附图说明
参考以下结合附图的说明可理解本发明的实施方案。
图1为等离子体工具的一实施方案的图。
图2为系统的一实施方案的图,其图示了多个装载锁,包含入站装载锁和出站装载锁。
图3为系统的一实施方案的图,其图示了包含心轴和多个臂的旋转机构。
图4A为系统的一实施方案的图,其图示了放置于臂的一部分上的清洁组件。
图4B为图4A的臂的旋转部和伸长部的俯视图的一实施方案的图。
图4C为清洁组件的一实施方案的图。
图4D为图4C的清洁组件的支撑部的上表面的俯视图,其图示了支撑部上的多个弹簧机构的位置。
图5A为图4C的清洁组件的一实施方案的详细图。
图5B为另一清洁组件的一实施方案的详细图。
图5C为清洁组件的一实施方案的图。
图6A为系统的一实施方案的图,其图示了图2所示的清洁组件的经下降的位置。
图6B为图6A的系统的另一实施方案的图,其图示了图2所示的清洁组件的经升高的位置。
图7为系统的一实施方案的图,其图示了图2所示的清洁组件传输进入及离开入站装载锁。
图8为系统的一实施方案的图,其图示了使用多个升降销以升高或降低清洁组件。
图9A为系统的一实施方案的图,其图示了使用蜘蛛叉以升高或降低清洁组件。
图9B为系统的一实施方案的图,其图示了蜘蛛叉随着心轴移动而竖直移动。
图10A为系统的一实施方案的图,其图示了喷头朝向图8的清洁组件移动以清洁喷头。
图10B为系统的一实施方案的图,其图示了基座朝向喷头移动以清洁喷头。
图11A为清洁组件的一实施方案的等距视图。
图11B为图11A的清洁组件的一实施方案的侧面图。
图12A为清洁组件的清洁层的一组柱的一实施方案的侧面图。
图12B为清洁组件的清洁层的一组柱的另一实施方案的侧面图。
图12C为清洁组件的清洁层的一组柱的又一实施方案的侧面图。
图12D为清洁组件的清洁层的一组柱的另一实施方案的侧面图。
图12E为清洁组件的清洁层的一组柱的又一实施方案的侧面图。
图12F为清洁组件的清洁层的一组柱的又一实施方案的侧面图。
图12G为清洁组件的清洁层的一组柱的另一实施方案的侧面图。
图12H为清洁层的一实施方案的侧面图,其图示了清洁层的柱的偏斜。
图12I为与喷头的底表面接触的图12H的柱中的一个的详细侧面图。
图13A为清洁层的一实施方案的俯视图,其图示了清洁组件的柱的格栅图案。
图13B为清洁层的一实施方案的俯视图,其图示了清洁组件的柱的子图案。
图13C为清洁层的一实施方案的俯视图,其图示了清洁层被分布于柱区与非柱区中。
图14A为圆顶柱的一实施方案的侧面图。
图14B为蕈状柱的一实施方案的侧面图。
图14C为锥形柱的一实施方案的侧面图。
图14D为平顶柱的一实施方案的侧面图。
图14E为凹顶柱的一实施方案的侧面图。
图14F为多面顶柱的一实施方案的侧面图。
图14G为槽口顶柱的一实施方案的侧面图。
图14H为突顶柱的一实施方案的侧面图。
图15A为图2的清洁组件的移动的一实施方案的图。
图15B为图2的清洁组件的移动的另一实施方案的图。
图15C为图2的清洁组件的移动的又一实施方案的图。
图15D为图2的清洁组件的移动的另一实施方案的图。
图16A为前端开口舱(FOUP)的一实施方案的侧面图。
图16B为FOUP的俯视图的一实施方案的图。
图17A为用于储存多个清洁组件的FOUP的一实施方案的俯视图。
图17B为用于储存多个清洁组件的FOUP的另一实施方案的俯视图。
图18A为清洁组件的一实施方案的俯视图,其图示了具有一滚筒的滚筒系统。
图18B为图18A的清洁组件的一实施方案的侧面图。
图19A为清洁组件的一实施方案的图,其图示了具有两个滚筒的滚筒系统。
图19B为图19A的清洁组件的一实施方案的侧面图。
图20A为清洁组件的一实施方案的俯视图,其图示了滚筒系统,其中每一滚筒围绕两根棒。
图20B为清洁组件的一实施方案的俯视图,其图示了具有多个棒以及围绕多个棒中的每一者的滚筒的滚筒系统。
图21A为具有清洁层及用于支撑清洁层的压板的滚筒的一实施方案的侧面图。
图21B为图21A的滚筒的一实施方案的另一侧面图。
图21C为滚筒的一实施方案的侧面图,其图示了具有多个突出部的压板。
图22为具有惰轮滚筒的清洁组件的一实施方案的侧面图。
图23A为系统的一实施方案的图,其图示了具有锥形滚筒的清洁组件。
图23B为图23A的系统的一实施方案的侧面图。
图24为系统的一实施方案的俯视图,其图示了清洁组件,其中使用两个锥形滚筒而非一个滚筒。
图25A为清洁组件的一实施方案的等距视图。
图25B为位于减压位置的图25A的清洁组件的一实施方案的侧面图。
图25C为处于缩压位置的图25A的清洁组件的一实施方案的侧面图。
图26A为图25A的清洁组件的压板的一实施方案的等距仰视图。
图26B为图25A的清洁组件的垫的一实施方案的等距仰视图。
图26C为图25A的清洁组件的支撑板的一实施方案的仰视图。
图27为图26B的垫的侧脚延伸部以及在图26A的压板的底表面下方延伸的侧脚延伸部的一实施方案的等距视图。
图28为包含图25A的清洁组件的销以及维持环的组件的一实施方案的等距仰视图。
图29A为FOUP的一部分的一实施方案的前侧图,其图示了将图25A的清洁组件放置至FOUP中。
图29B为图29A的FOUP的一实施方案的俯视图。
图30为等离子体系统的一实施方案的图。
图31A为图30的等离子体系统的传送站的一实施方案的前侧图。
图31B为传送站的一实施方案的等距视图。
具体实施方式
下面的实施方案说明用于清洁喷头的系统和方法。应明白,本发明实施方案可在不具有这些特定细节中的一些或全部的情况下实施。在其他情况中,不详细说明已知的处理操作以免不必要地模糊本文的发明方案。
膜沉积优选地在等离子体增强化学气相沉积(PECVD)系统中实施。PECVD系统可采用许多不同的形式。PECVD系统包含可容纳一或多个晶片且适合用于晶片处理的一或多个室或“反应器”(有时包含多个站)。每一室可容纳待处理的一或多个晶片。一或多个室将晶片维持在经定义的一个位置或多个位置(在该位置处有或没有移动,例如旋转、震动、或其他扰动)。在处理期间,经历沉积的晶片可从一站被传送至反应器中的另一站。当然,膜沉积可完全在单一站中进行、或膜的任何部分可在任何数量的站处沉积。
在处理时,基座如晶片卡盘等和/或其他晶片保持装置将每一个晶片保持在合适位置。对于某些操作而言,该装置可包含加热器例如用于加热晶片的加热板、用于在处理晶片期间测量温度的成组的热耦、以及在处理晶片期间用于旋转基座的马达。
图1为等离子体系统100如PECVD系统的一实施方案的图。等离子体系统100包含主计算机102、处理气体供给源104、气体供给歧管106、等离子体室105、马达系统116、中央柱114、驱动器系统118、RF产生器120、阻抗匹配电路(IMC)122、以及真空泵127A与127B。等离子体室105有时在本文中被称为等离子体处理工具。真空泵127A与127B附接至等离子体室105的底壁131。
等离子体室105具有顶壁133、一个或多个侧壁以及底壁131以形成外壳。一个或多个侧壁基本上垂直于或垂直于底壁131且连接至或整合至底壁131。类似地,一个或多个侧壁基本上垂直于或垂直于顶壁133且连接至或整合至顶壁133。
等离子体室105包含顶部105A及底部105B。顶部105A具有顶壁133以及等离子体室105的一个或多个侧壁的一部分。此外,底部105B具有底壁131以及等离子体室105的一个或多个侧壁的剩余部分。
顶部105A包含喷头108而底部105B包含基座110A、中央柱114、以及承载环112。基座110A的示例包含卡盘,例如静电卡盘(ESC)。基座110A包含下电极124。在中央柱114内有多个升降销126A、126B、以及126C。升降销126A-126C为运动销,其形成由升降销126A-126C所形成的三角形的顶角。三角形被形成在基座110A的上表面中。
主计算机110的示例包含桌面计算机、笔记本电脑、控制器、平板、以及智能型手机。例如,主计算机110包含处理器以及存储器设备,且处理器耦合至存储器设备。处理器的示例包含微处理器、专用集成电路(ASIC)、可编程逻辑装置(PLD)、以及中央处理单元(CPU)。存储器设备的示例包含只读存储器(ROM)、随机存取存储器(RAM)、闪存、储存磁盘阵列、硬盘等。承载环112有时在本文中被称为聚焦环。
处理气体供给源104的示例包含一或多个气体容器,气体容器用于储存处理放置在承载环112上的衬底128如半导体晶片的一或多种处理气体。一或多种处理气体的示例包含含氧气体及含氟气体。气体供给歧管106包含一或多个阀,阀用于控制如允许或禁止从处理气体供给源104所接收的一或多种处理气体通过气体供给歧管流动至喷头108以实现处理气体的预设混合。
喷头108包含气体分配板,气体分配板用于将一或多种处理气体分配至喷头108与基座110A之间的间隙以处理衬底128。承载环112被放置于基座110A的边缘上以支撑衬底128。例如,基座110A包含具有中央部130A以及边缘部130B的上表面。边缘部130B为中央部130A往下的台阶且围绕中央部130A。承载环112被放置于边缘部130B的上部上。
中央柱114包含中空的空间,升降销126A-126C及RF传输线132通过该中空的空间。RF传输线132被耦合至嵌入基座110A内的下电极124。可操作或移动升降销126A-126C,使其自基座110A的下表面通过基座110A的主体而到达基座110A的中央部130A。
本文中所使用的马达系统包含一或多个电动马达且本文中所用的驱动器系统包含一或多个驱动器。电动马达的示例包含交流(AC)马达以及直流(DC)马达。例如,电动马达包含定子和转子且转子相对于定子旋转。电动马达为将电能转换为机械能的电机器,且经由电动马达的磁场与定子的线圈中的电流之间的交互作用而操作,以产生旋转附接至转子的杆轴的形式的力。本文中所用的驱动器的示例包含一或多个晶体管,一或多个晶体管彼此耦合以在当电压施加至一或多个晶体管的输入处时输出电流信号。
本文中所述的RF产生器为400千赫(kHz)、或2兆赫(MHz)、或27MHz、或60MHz的RF产生器。例如,每一RF产生器包含RF电源,例如振荡以产生具有频率如2MHz或27MHz的RF信号的RF振荡器。RF振荡器在操作频率(RF)如2MHz或27MHz下操作以产生RF信号。
本文中所述的阻抗匹配电路的示例包含彼此耦合的一或多个串联电路以及一或多个并联电路的网络,以促进从耦合至阻抗匹配电路的RF产生器所接收的RF信号传输,以输出经修改的RF信号。串联电路的示例包含电容器、电感、以及电阻器。类似地,并联电路的示例包含电容器、电感、以及电阻器。电容器的示例包含具有固定电容值的固定电容器以及具有可变电容值的可变电容器。此外,电感的示例包含具有固定电感值的固定电感以及具有可变电感值的可变电感。电阻器的示例包含具有固定电阻值的固定电阻器及具有可变电阻的可变电阻器。应注意,电容值、或电感值、或电阻值、或其两者或更多者的组合有时在本文中被称为参数。
主计算机102被耦合至处理气体供给源104、驱动器系统118、以及RF产生器120。处理气体供给源104耦合至气体供给歧管106,气体供给歧管106耦合至喷头108。驱动器系统118耦合至马达系统116。马达系统116的每一马达通过相应的连接机构107A、107B、或107C而耦合至相应的升降销126A、126B、或126C。例如,马达系统116的第一马达通过连接机构107A而耦合至升降销126A、马达系统116的第二马达通过连接机构107B而耦合至升降销126B、马达系统116的第三马达通过连接机构107C而耦合至升降销126C。本文中所使用的连接机构的示例包含一或多个杆轴。连接机构的另一示例包含通过一或多个齿轮彼此耦合的多个杆轴。
主计算机102耦合至驱动器129A,驱动器129A还耦合至真空泵127A。此外,主计算机102耦合至驱动器129B,驱动器129B还耦合至真空泵127B。
主计算机102将升降销控制信号发送至驱动器系统118。升降销控制信号包含升降销126A-126C将被升高或降低所到达的高度。在接收到升降销控制信号时,驱动器系统118产生一或多个电流信号,一或多个电流信号被发送至马达系统116。马达系统116的一或多个马达旋转以移动连接机构107A-107C中的相应的一或多者,以升高或降低升降销126A-126C中的相应的一或多者。升降销126A-126C沿着竖直方向如y轴方向升高以将晶片128升高至距离承载环112预定的高度处,或降低以将晶片128降低至距离承载环112预定的高度处。y轴垂直于x轴,x轴垂直于z轴。此外,z轴垂直于y轴。
此外,主计算机102将控制信号发送至RF产生器120。响应于接收到控制信号,RF产生器120产生RF信号134并将RF信号134供给至阻抗匹配电路122。阻抗匹配电路122修改RF信号134如改变RF信号134的阻抗,以输出经修改的RF信号136。例如,阻抗匹配电路122的参数修改RF信号134的阻抗以输出经修改的RF信号136。经修改的RF信号136从阻抗匹配电路122通过RF传输线132而被供给至下电极124。
主计算机102还将控制信号发送至处理气体供给源104以供给一或多种处理气体并将控制信号发送至气体供给歧管106以控制供给至喷头108的一或多种处理气体的量。当通过喷头108的气体分配板将一或多种处理气体供给至喷头108与基座110A之间的间隙且将经修改的RF信号134供给至下电极124时,在间隙内激励或容纳等离子体以处理衬底128。
在处理衬底128之前、期间、和/或之后,在等离子体室105内维持真空。例如,主计算机102将控制信号发送至驱动器129A。在接收到控制信号时,驱动器129A产生电流信号,电流信号被提供至真空泵127A。真空泵127A操作以从等离子体室105的密封外壳提取气体分子,以留下真空。类似地,又例如,主计算机102将控制信号发送至驱动器129B。在接收到控制信号时,驱动器129B产生电流信号,电流信号被提供至真空泵127B。真空泵127B操作以从等离子体室105的外壳提取气体分子,以留下真空。
在一实施方案中,衬底128被放置于基座110A的上表面上而非放置于承载环112上。
在一实施方案中,喷头108包含上电极,上电极从耦合至上电极的阻抗匹配电路接收经修改的RF信号。耦合至上电极的阻抗匹配电路从相应的一或多个RF产生器接收一或多个RF信号以输出经修改的RF信号。
在一实施方案中,喷头108包含多个加热元件如电阻器以改变喷头108的温度。
在一实施方案中,代替RF产生器120,多个RF产生器通过阻抗匹配电路及RF传输线132而耦合至下电极124。
应注意,在一实施方案中,本文中所述的主计算机102发送的任何控制信号由主计算机102的处理器产生以及发送。
在一实施方案中,代替两个真空泵127A与127B,任何其他数量的真空泵附接至等离子体室105的底壁131。
图2为系统200的一实施方案的图,其图示了用于传送清洁组件220与222的多个装载锁,装载锁包含入站装载锁202A和出站装载锁202B。例如,每一清洁组件220与222是可更换或可重复使用的部件。
可更换的零件有时在本文中被称为消耗零件。例如,每一清洁组件220与222用于清洁喷头108一次或多数,且在预定次数之后可被抛弃。例如,每一清洁组件220与222使用的次数被计算为清洁组件从入站装载锁202A进入等离子体室105中的次数和清洁组件从等离子体室105离开至出站装载锁202B的次数。
系统200有时在本文中被称为装备前端模块(EFEM)。系统200包含等离子体室105、入站装载锁202A、出站装载锁202B、机械手206、舱204A与204B。本文中所述的舱的示例包含前端开口舱(FOUP)。舱204A与204B被放置于EFEM的装载端口上。等离子体室105包含多个喷头以及多个基座110A、110B、110C和110D。等离子体室105是被壁218A、218B、218C和218D所围绕的外壳。如图1所示的方式,每一喷头相对于基座110A-110D中的对应的一个放置,其中喷头108面对基座110A放置且位于基座110A上方以形成间隙。
等离子体室105具有四个站如四个基座110A-110D以及四个喷头,每一喷头具有和喷头108相同的结构和功能。此外,基座110B-110C中的每一者具有和基座110A相同的结构和功能。在处理期间,等离子体室105维持低压环境,以利用多个承载环在基座110A-110D之间传送衬底而无须经历真空破坏和/或空气暴露。
每一装载锁202A与202B是封闭的室。机械手206的示例包含受一或多个马达控制的末端执行器。每一装载锁202A与202B都包含末端执行器。例如,装载锁202A具有末端执行器210A而装载锁202B具有另一末端执行器210B。
等离子体室105包含旋转机构208。旋转机构208包含心轴212以及多个臂214A、214B、214C和214D。心轴212有时在本文中被称为心轴组件。如下所述,沿着z轴观察,心轴212定位于四个站之间以在四个站之间旋转。心轴212相对于轴216平行于z轴旋转,以同时旋转臂214A-214D。轴216通过心轴212的质心且沿着心轴212的长度延伸。每一臂214A-214D附接至心轴212且从心轴212的轴216沿着水平面朝向等离子体室105的壁218A-218D延伸。水平面垂直或基本上垂直于z轴。例如,水平面相对于z轴形成90度的角度或落在与90度相差在预定范围(例如±5度)内的角度。
在一实施方案中,等离子体室105的一个部件通过附接机构而附接至等离子体室105的另一部件。例如,臂214A-214D接合至、拧紧至、整合至、插入至形成于心轴212内的槽口中。例如,在模塑机内制造臂214A-214D,使其成为具有心轴212和臂214A-214D的整合部件。又例如,使用多个螺丝及螺帽将臂214A-214D拧紧至心轴212。
每一臂具有伸长部以及旋转部。例如,臂214A具有伸长部230A以及旋转部232A。另外,臂214B具有伸长部230B以及旋转部232B、臂214C具有伸长部230C以及旋转部232C、臂214D具有伸长部230D以及旋转部232D。
每一伸长部附接至对应的旋转部。例如,伸长部230A附接至旋转部232A、伸长部230B附接至旋转部232B、伸长部230C附接至旋转部232C、伸长部230D附接至旋转部232D。
通过洁净室的高架传输车辆将舱204A传送至等离子体系统200的站并将舱204A放置到等离子体系统200的装载端口中的一个上。在大气压力下机械手206沿着y轴延伸以从放置在加载舱上的舱204A获得或取回清洁组件220、沿着y轴缩回和相对于z轴旋转,以通过大气接口224将清洁组件220传送至入站装载锁202A。例如,机械手206沿着平行于或基本上平行于y轴的方向延伸,以沿着y轴延伸。例如,基本上平行于y轴的方向与y轴形成在预定范围内的角度(例如±5度)。在相对于z轴旋转之后,机械手206再次延伸以将清洁组件220放置至入站装载锁202A中。
入站装载锁202A被耦合至真空源,以在大气接口224关闭时抽空入站装载锁202A。入站装载锁202A还包含与处理室系统206对接的室传送端口226A。因此,当室传送端口226A开启时,末端执行器210A延伸以获得被放置在入站装载锁202A内的清洁组件220、缩回、相对于z轴旋转,以将清洁组件220从入站装载锁202A传送至等离子体室105。例如,末端执行器210A输送清洁组件220以将清洁组件220放置至从基座110A的上表面130A(图1)向上突出的升降销126A-126C(图1)上,接着末端执行器210A从等离子体室105缩回。
一旦清洁组件220被放置到升降销126A-126C上之后,心轴212沿着顺时针方向或逆时针方向旋转,以将臂114C的旋转部232C移动至清洁组件220下方,以将清洁组件220从基座110A上的升降销126A-126C升高。清洁组件220沿着垂直方向升高以清洁喷头108。通过沿着z方向(所述z方向沿着z轴)向上移动心轴212而升高清洁组件220,以沿着z方向移动旋转部232C。
类似地,在清洁等离子体室105的喷头之前,机械手206和末端执行器210A从舱204A将多个清洁组件传送进入等离子体室105中。例如,具有清洁组件240的臂214C旋转以解除旋转部232C位于基座110A上方的状态,直到旋转部232D定位于基座110A为止。将以收回清洁组件220的相同方式从舱204A收回的另一清洁组件从末端执行器210传送至在基座110A上方延伸的升降销126A-126C,并且再从升降销126A-126C传送至旋转部232D。以类似的方式,将另外两个清洁组件放置到旋转部232A与232B的上部上。一旦将清洁组件放置到旋转部232A-232D的上部上之后,臂214A-214D沿着z方向升高以同时清洁等离子体室105的四个喷头。例如,通过心轴212沿着z方向移动而升高清洁组件,以同时沿着z方向移动臂214A-214D而清洁喷头108。
在清洁四个喷头中的一或多个之后,末端执行器210B从等离子体室105移除清洁组件220。例如,末端执行器210B从基座110A的升降销126A-126C移除清洁组件220。例如,在清洁四个喷头中的一或多个之后,心轴212沿着z轴竖直向下移动以将清洁组件220放置到基座110A的升降销126A-126C上,且末端执行器210B延伸进入等离子体室105中以从升降销126A-126C移除清洁组件220。
其上放置有清洁组件220的末端执行器210B绕着z轴旋转以面对机械手206并朝向机械手206延伸。机械手206也朝向末端执行器210B延伸以获得清洁组件220并绕着z轴旋转以面对舱204B。机械手206朝向舱204B延伸以将现在处于使用过的状态的清洁组件220放置到舱204B中。
应注意,在一实施方案中,当等离子体室105不论什么时候(包含由末端执行器210A将清洁组件220提供至等离子体室105及末端执行器210B从等离子体室105移除清洁组件220的时间期间)处于真空时清洁喷头108。例如,操作真空泵127A与127B(图1)以将等离子体室105维持在真空条件下。主计算机102控制附接至底部105B的底壁131(图1)的真空泵127A与127B以进行操作。当操作时,真空泵127A与127B从等离子体室105提取空气、等离子体残余物和其他材料,以在等离子体室105中产生真空。此外,在通过室传送端口226A将清洁组件220传送至等离子体室105中之前,使入站装载锁202A处于真空状态。接着开启室传送端口226A,将清洁组件220从入站装载锁202A传送至等离子体室105。接着关闭室传送端口226A以利用清洁组件220清洁喷头108,之后将入站装载锁202A进行排放以获得另一清洁组件或另一FOUP。
在一实施方案中,在大气温度下在不开启等离子体室105的情况下清洁喷头108。例如,不需要将等离子体室105的顶部105A(图1)从等离子体室105的底部105B(图1)升高而将等离子体室105暴露于用于清洁喷头108的外部温度。在处理一或多个衬底之后,喷头108随着时间推移而返回大气温度。在大气温度下喷头具有低于100摄氏度的温度。此外,在大气温度下排放等离子体室105且等离子体室105不是处于真空下。
在一实施方案中,在中间温度下在不开启等离子体室105的情况下清洁喷头108。喷头108的中间温度的示例为200摄氏度或接近约300摄氏度(例如200±10%摄氏度)的温度。当喷头处于中间温度时,基座110A也处于中间温度如300摄氏度或接近约300摄氏度。例如,当温度落在300±10%摄氏度内时,基座110A具有接近300摄氏度的温度。当关闭等离子体室105以使等离子体室105的部105A与105B之间并无间隙时,等离子体室105内存在真空且在等离子体室105中不存在外部空气。此外,在中间温度下等离子体室105处于真空下。
在一实施方案中,在高温下于不开启等离子体室105的情况下清洁喷头108。喷头108的高温的示例为350摄氏度或接近350摄氏度如350±10%摄氏度的温度。当喷头处于高温时,基座110A也处于高温如550摄氏度或接近约550摄氏度。例如,当温度落在550±10%摄氏度内时,基座110A具有接近550摄氏度的温度。在处理衬底128(图1)之后喷头108立即处于高温下。此外,在高温下等离子体室105处于真空下。
在一实施方案中,每一旋转部232A-232D不会相对于伸长部230A-230D中的对应一个旋转。例如,旋转部232A相对于伸长部230A固定而旋转部232B相对于伸长部230B固定。
在一实施方案中,每一臂214A-214D排除旋转部232A-232D。相反,臂214A-214D具有伸长部230A-230D和特征部,例如本文中所述的形成在旋转部232A-232D上的凹陷部,但凹陷部并非形成在旋转部232A-232D上而是形成在伸长部230A-230D中。
在一实施方案中,高架传送车辆将舱204A输送至入站装载锁202A,而非机械手206从舱204A获得清洁组件220然后将清洁组件220传送至入站装载锁202A。
在一实施方案中,操作者手动将舱204A放置到等离子体系统200的装载端口中的一个上。
在一实施方案中,使用一个清洁组件清洁所有四个喷头,而不是使用多个清洁组件清洁四个喷头。
在一实施方案中,末端执行器210B从臂214A-214D中的一者移除清洁组件220而不是从升降销126A-126C移除清洁组件220。
图3为系统300的一实施方案的图,其图示了旋转机构208。系统300包含主计算机102、多个驱动器302A、302B、302C和302D、驱动器系统306和多个马达304A、304B、304C、304D以及马达系统308。系统300还包含基座110A-110D。驱动器系统包含一或多个驱动器且马达系统包含一或多个马达。
主计算机102耦合至驱动器302A-302D以及驱动器系统306。此外,驱动器302A耦合至马达304A、驱动器302B耦合至马达304B、驱动器302C耦合至马达304C、驱动器302D耦合至马达304D。另外,驱动器系统306耦合至马达系统308。
马达304A通过连接机构310A而耦合至旋转部232A。类似地,马达304B通过连接机构310B而耦合至旋转部232B、马达304C通过连接机构310C而耦合至旋转部232C、马达304D通过连接机构310D而耦合至旋转部232D。此外,马达系统308通过连接机构系统312而耦合至心轴212。连接机构系统312包含一或多个连接机构。
主计算机102产生以及发送竖直移动控制信号至驱动器系统306。竖直移动控制信号包含心轴212待沿着z轴或轴216移动的竖直方向(如向上或向下)以及在移动后待到达的预设位置。在接收到竖直移动控制信号时,驱动器系统306产生竖直移动电流信号,竖直移动电流信号被发送至马达系统308。马达系统308接收竖直移动电流信号并旋转以移动连接机构系统312的连接机构,以更进一步沿着竖直方向向上或向下移动心轴212,直到到达预设位置为止。心轴212的移动使臂214A-214D沿着竖直方向同时移动,直到到达预设位置为止。
除了或者替代竖直移动控制信号,主计算机102还产生及发送心轴旋转控制信号至驱动器系统306。心轴旋转控制信号包含心轴212待旋转的角方向θ如顺时针或逆时针,以及在旋转之后待到达的预定方位。响应于接收到心轴旋转控制信号,驱动器系统306产生及发送心轴旋转电流信号至马达系统308。响应于心轴旋转电流信号,马达系统308旋转以移动连接机构系统312的连接机构,使心轴212相对于轴216旋转直到到达预定方位为止。心轴212的旋转使臂214A-214D同时相对于轴216旋转,直到到达心轴212的预定方位为止。
替代或者除了发送心轴旋转控制信号之外,主计算机102还控制旋转部232A-232D中的一或多者以旋转旋转部232A-232D中的一或多者。例如,主计算机102产生及发送旋转部控制信号至驱动器302A。旋转部控制信号包含旋转部232A待相对于臂214A的伸长部230A旋转的角方向θA如顺时针或逆时针,以及旋转之后待到达的预定方位。响应于接收到旋转部控制信号,驱动器302A产生以及发送旋转部电流信号至马达304A。响应于旋转部电流信号,马达系统304A旋转以移动连接机构310A,以使旋转部232A绕着轴234A相对于臂214A旋转,直到到达预定方位为止。旋转部232A的旋转使放置在旋转部232A的上部上的清洁组件相对于轴234A旋转,直到到达旋转部232A的预定方位为止。轴234A通过旋转部232A的质心且沿着心轴212的长度延伸。
又例如,主计算机102产生及发送旋转部控制信号至驱动器302B。旋转部控制信号包含旋转部232B相对于臂214B的伸长部230B待旋转的角方向θB如顺时针或逆时针,以及旋转之后待到达的预定方位。响应于接收到旋转部控制信号,驱动器302B产生及发送旋转部电流信号至马达304B。响应于旋转部电流信号,马达304B旋转以移动连接机构310B,以使旋转部232B绕着轴234B相对于臂214B旋转,直到到达预定方位为止。旋转部232B的旋转使放置在旋转部232B的上部上的清洁组件相对于轴234B旋转,直到到达旋转部232B的预定方位为止。轴234B通过旋转部232B的质心且沿着心轴212的长度延伸。
又例如,主计算机102产生及发送旋转部控制信号至驱动器302C。旋转部控制信号包含旋转部232C相对于臂214C的伸长部230C待旋转的角方向θC如顺时针或逆时针,以及旋转之后待到达的预定方位。响应于接收到旋转部控制信号,驱动器302C产生及发送旋转部电流信号至马达304C。响应于旋转部电流信号,马达304C旋转以移动连接机构310C,以使旋转部232C绕着轴234C相对于臂214C旋转,直到到达预定方位为止。旋转部232C的旋转使放置在旋转部232C的上部上的清洁组件相对于轴234C旋转,直到到达旋转部232C的预定方位为止。轴234C通过旋转部232C的质心且沿着心轴212的长度延伸。
又例如,主计算机102产生及发送旋转部控制信号至驱动器302D。旋转部控制信号包含旋转部232D相对于臂214D的伸长部230D待旋转的角方向θD如顺时针或逆时针,以及旋转之后待到达的预定方位。响应于接收到旋转部控制信号,驱动器302D产生及发送旋转部电流信号至马达304D。响应于旋转部电流信号,马达304D旋转以移动连接机构310D,以使旋转部232D绕着轴234D相对于臂214D旋转,直到到达预定方位为止。旋转部232D的旋转使放置在旋转部232D的上部上的清洁组件相对于轴234D旋转,直到到达旋转部232D的预定方位为止。轴234D通过旋转部232D的质心且沿着心轴212的长度延伸。
在一实施方案中,系统300包含三个马达,包含第一马达、第二马达和第三马达。第一马达操作以沿着z轴竖直向上或向下移动心轴212。第二马达操作以使心轴212绕着轴413旋转,而第三马达操作以通过多个连接机构同时旋转四个臂214A-214D的旋转部232A-232D。连接机构将第三马达耦合至四个臂214A-214D。
在一实施方案中,扭矩反馈检测第一马达中的扭力的变化,扭矩的变化被提供至主计算机102。主计算机102基于扭矩的变化判断清洁组件220是否与喷头108接触或邻接。
图4A为系统400的一实施方案的图,其图示了放置在臂404的一部分上的清洁组件220。系统400包含臂404以及清洁组件220。臂404为臂214A-214D(图3)中任一者的示例。
臂404包含伸长部406及旋转部408。伸长部406为伸长部230A-230D(图3)中任一者的示例,而旋转部408为旋转部232A-232D(图3)中任一者的示例。
清洁组件220具有上表面410A、侧表面部410B、底表面410C和侧表面部410D。例如,沿着z方向观察清洁组件220具有圆形横截面,清洁组件220具有一个圆形侧表面,所述圆形侧表面具有侧表面部410B与410D。
上表面410A垂直或基本垂直于侧表面部410B与410D。例如,上表面410A相对于侧表面部410B与410D形成落在预定范围如±5-7度内的角度。类似地,底表面410C垂直或基本垂直于侧表面部410B与410D。此外,上表面410A平行或基本平行于底表面410C。
底表面410C包含多个突出部412A、412B和412C。例如,突出部412A-412C是运动学的,因为突出部412A-412C定位于由突出部412A-412C在底表面410C上形成的三角形的顶点处。
每一突出部412A-412C从平坦部如底表面410C的平坦部向外延伸,以形成相对于平坦部沿着向下方向的凸形。平坦部为底表面410C的水平部或基本水平部。例如,底表面410C的平坦部为底表面410C排除突出部412A-412C后的剩余部分。底表面410C的水平部的示例为平行于x轴的部分。底表面410C的基本水平部的示例为基本平行于x轴的部分。例如,底表面410C的基本水平部相对于x轴形成预定范围如±5-7度的角度。突出部、凸块、突出物、隆起物、赘生物和延伸物等术语有时在本文中可互换使用。
例如,突出部412A-412C附接至如粘附至或拧紧至底部410C的平坦部。又例如,如下文所述的清洁组件220的支撑部是在模塑机中制造,以在支撑部的底层下方产生突出部412A-412C。又例如,如下文所述的清洁组件220的压板是在模塑机中制造,以在压板的底层下方产生突出部412A-412C。压板有时在本文中被称为刚性层。
显示了清洁组件220由升降销126A-126C支撑。旋转部408相对于伸长部406沿着角方向θ400旋转,以使清洁组件220相对于伸长部406旋转以清洁喷头108(图1)。
旋转部408包含旋转部408的上表面416A上的多个凹陷部414A、414B和414C。例如,凹陷部414A、414B和414C定位于凹陷部414A、414B和414C在上表面416A上形成的三角形的顶点处。
每一凹陷部414A、414B和414C从平坦部如上表面416A的平面部向内或向下延伸,以形成相对于平坦部的凹形。上表面416A的平坦部是上表面416A的水平部或基本水平部。例如,上表面416A的平坦部为上表面416A排除凹陷部414A、414B和414C后的剩余部分。上表面416A的水平部的示例为平行x轴的部分。上表面416A的基本水平部的示例为基本平行于x轴的部分。例如,上表面416A的基本水平部相对于x轴形成预定范围如±5-7度内的角度。凹陷部、空穴、凹痕、凹部、坑和插槽等术语有时在本文中可互换使用。
例如,以钻凿方式将凹陷部414A、414B和414C制造在上表面416A中,使每一凹陷部414A、414B和414C沿着侧表面部410B与410D延伸至预定深度,但每一凹陷部414A、414B和414C不会沿着侧表面部410B与410D的整个深度延伸。例如,凹陷部414A、414B和414C中没有任何一个从上表面416A的平坦部的水平高度延伸至旋转部408的底表面416C的水平高度。例如,侧表面部410B与410D的深度沿着z轴测量。
旋转部408具有上表面416A、侧表面416B、底表面416C和侧表面416D。例如,沿着z方向观察旋转部408具有三角形横截面且侧表面416D形成三角形横截面的顶点。
上表面416A垂直或基本垂直于侧表面416B与416D。例如,上表面416A相对于侧表面416B与416D形成预定范围如±5-7度内的角度。类似地,底表面416C垂直或基本垂直于侧表面416B与416D。此外,上表面416A平行或基本平行于底表面416C。
当将清洁组件220放置到臂414的旋转部408的上表面416A上时,突出部412A配合至凹陷部414A,例如位于其内、或在其内延伸、或延伸到其中、或与其匹配、或以机械方式连接到或与其连接。类似地,突出部412B配合至凹陷部414B且突出部412C配合至凹陷部414C。当突出部412A配合至凹陷部414A、突出部412B配合至凹陷部414B、突出部412C配合至凹陷部414C时,清洁组件220被稳定地放置于旋转部408的上部上,以避免清洁组件220相对于旋转部408的移动或显著移动。例如,稳定地放置于旋转部408的上部上的清洁组件220没有或有最小的角移动。最小角移动的示例为仅相对于旋转部408旋转几度如1-2度的角旋转。
当清洁组件220与喷头108接触或接近喷头108时,心轴212绕着轴216(图3)旋转、或旋转部408绕着通过旋转部408的质心的轴413旋转、或心轴212及旋转部408绕着其分别的轴216与413旋转。当心轴212或旋转部408或两者旋转时,清洁组件410A清洁喷头108的底表面。
在一实施方案中,代替清洁组件220,使用沿着z方向观察具有多角形横截面、或矩形横截面、或方形横截面、或三角形横截面、或卵形横截面的另一清洁组件。
在一实施方案中,在清洁组件220的底表面410C下方形成与图4A所示不同的任何数目(例如四个或五个或七个)的突出部。例如,形成在底表面410C下方的突出部的数目为奇数。
在一实施方案中,代替使用升降销126A-126C,使用其他类型的最小接触面积(MCA)的支撑件如石头来支撑清洁组件220。
在一实施方案中,不需要升降销126A-126C支撑清洁组件220。例如,主计算机102(图1)控制升降销126A-126C下降而不接触清洁组件220的底表面410C。清洁组件220由旋转部408的上表面416A支撑。
图4B为臂404的旋转部408以及伸长部406(图4A)的俯视图的一实施方案的图。凹陷部414A-414C位于凹陷部414A-41C所形成的三角形430的顶点处。三角形430所具有的面积小于升降销126A-126C所形成的另一三角形432的面积。例如,凹陷部414A-414C形成于碟上,碟由圆形区域434所示,且碟附接至旋转部408的一部分以成为旋转部408的上表面416A(图4A)的一部分。又例如,升降销126A-126C中任意两相邻者之间的距离大于凹陷部414A-414C中任意两相邻者之间的距离。例如,升降销126A与126B之间的距离大于凹陷部414A与414B之间的距离。
图4C为清洁组件450的一实施方案的图。清洁组件450为清洁组件220(图4B)或清洁组件222的示例。清洁组件450包含支撑部452、压板454和清洁层456。例如,本文中所述的压板具有多个槽口以允许压板在被暴露于等离子体室105的中间温度或高温时压板的热膨胀。
压板454比清洁层456更刚性。例如,压板454比清洁层456更不可弯折且更无弹性。
清洁组件450还包含多个弹簧机构458A、458B、458C、458D和458E。清洁组件450的弹簧机构458A-458E或本文中所述的任何其他清洁组件的弹簧机构有时在本文中被称为压缩接口。当清洁组件450沿着竖直方向向上移动且清洁组件450的清洁层456的柱与喷头108接触时,弹簧机构458-458E压缩。另一方面,当清洁组件450沿着垂直方向向下移动且清洁层456的柱不与喷头108接触时,弹簧机构458-458E减压。
弹簧机构的示例包含一或多个金属弹簧以及金属板,金属板耦合至一或多个金属弹簧中的每一者的端点。例如,弹簧的第一端被配合至或附接至第一板,而弹簧的第二端被附接至第二板。例如,第一板所具有的金属槽口具有开口,弹簧的第一端延伸进入开口而使弹簧配合至第一板;第二板所具有的金属槽口具有开口,弹簧的第二端延伸进入开口而使弹簧配合至第二板。金属弹簧的示例包含不锈钢波形弹簧。
当如本文中所述臂404(图4A)竖直升高待与喷头108的底表面接触的清洁组件的清洁层时,金属弹簧对喷头108的底表面提供缓冲。例如,当清洁组件竖直向上移动以与底表面接触时,金属弹簧能缓和被施加至喷头108的底表面上的冲击,例如力。类似地,当喷头108竖直向下移动以与清洁组件接触时,金属弹簧能缓和喷头108对清洁组件造成的冲击。每一金属弹簧具有能缓和冲突的对应弹簧常数k。此外,每一金属弹簧使清洁组件的清洁层能与喷头108的底表面共平面。
支撑部452由陶瓷或金属所制成。陶瓷的示例为氧化铝。此外,压板454由例如尼龙或合成纤维或陶瓷或氧化铝之类的材料制成。清洁层456由例如聚二甲基硅氧烷(PDMS)、聚甲基丙烯酸甲酯(PMMA)、聚酰亚胺、全氟弹性体(FFKM)、或其组合之类的清洁材料所制成。例如,具有柱的清洁层456或本文中所述的任何其他的清洁层以下述方式制造:蚀刻具有用于无粘合剂钉合的多个柱的聚酰亚胺片材。聚酰亚胺为亚酰胺单体的聚合物。聚酰亚胺的一示例为KaptonTM。又例如,具有柱的清洁层456或本文中所述的任何其他的清洁层利用纳米压印光刻技术制成。纳米压印光刻技术为制造纳米级的柱的一种方法。在纳米压印光刻技术中,在压印期间以热或紫外(UV)光硬化清洁材料。控制清洁材料与模板之间的粘附以实现适当的脱模。又例如,清洁层456的上表面或本文中所述的其他清洁层上并无粘合剂,以提供喷头108的无粘合剂清洁。无粘合剂的清洁层能减少粘附性污染物留在喷头108上的机会。
支撑部452可以是实心的,内部无中空部,或可以是围绕中空部的外壳。支撑部452具有上表面462A、侧表面部462B、底表面462C和侧表面部462D。例如,当沿着z方向观察支撑部452具有圆形横截面时,支撑部452具有一个圆形侧表面,所述圆形侧表面具有侧表面部462B与462D。有时,上表面在本文中被称为顶侧或上侧。此外,有时底表面在本文中被称为底侧或下侧。底表面462C是清洁组件220(图4A)的底表面410C(图4A)的示例。
上表面462A垂直或基本垂直于侧表面部462B与462D。例如,上表面462A相对于侧表面部462B与462D形成预定范围如±5-7度的角度。类似地,底表面462C垂直或基本垂直于侧表面部462B与462D。此外,上表面462A平行或基本平行于底表面462C。
底表面462C包含突出部412A、412B和412C。又例如,突出部412A-412C利用用于制造支撑部452的模塑机形成。又例如,突出部附接至(如拧紧至或化学接合至)底表面462C的平坦部。底表面462C的平坦部平行于x轴。
多个槽口460A、460B、460C、460D和460E形成于上表面462A上。例如,将槽口460A、460B、460C、460D和460E钻凿到上表面462A内,使每一槽口460A、460B、460C、460D和460E沿着侧表面部462B与462D延伸至预设深度,但每一槽口460A、460B、460C、460D和460E不会沿着侧表面部462B与462D的整个深度延伸。例如,槽口460A、460B、460C、460D和460E都未从上表面462A的平坦部的水平高度延伸至支撑部452的底表面462C的水平高度。
每一弹簧机构458A-458E以如下方式配合至槽口460A-460E中的对应项使得弹簧机构的一部分延伸至槽口中而剩余部分在槽口外延伸。例如,弹簧机构458A通过将弹簧机构458A的第一板附接至槽口460A而配合至槽口460A。弹簧机构458A的一部分从上表面462A延伸出槽口458A。类似地,弹簧机构458B配合至槽口460B、弹簧机构458C配合至槽口460C、弹簧机构458D配合至槽口460D、弹簧机构458E配合至槽口460E。
压板454具有上表面464A、侧表面部464B、底表面464C和侧表面部464D。例如,当沿着z方向观察压板454具有圆形横截面时,压板454具有一圆形侧表面,所述圆形侧表面具有侧表面部464B与464D
上表面464A垂直或基本垂直于侧表面部464B与464D。例如,上表面464A相对于侧表面部464B与464D形成预定范围如±5-7度内的角度。类似地,底表面464C垂直或基本垂直于侧表面部464B与464D。此外,上表面464A平行或基本平行于底表面464C。
压板454具有多个延伸部465A与465B。例如,当沿着z方向观察压板454具有圆形横截面时,压板454具有一延伸部,所述延伸部具有延伸部465A与465B且沿着z方向观察时延伸部具有圆形横截面。延伸部465A从侧表面部464A沿着z轴延伸,延伸部465B从侧表面部464B沿着z轴延伸。例如,延伸部465A从底表面464C延伸至支撑部452的侧表面部462D的高度的一部分,延伸部465B从底表面464C延伸至支撑部452的侧表面部462B的高度的一部分。
压板454的底表面464C面对支撑部452的上表面462A且位于其上方。此外,每一弹簧机构458A-458E配合至底表面464C。例如,弹簧机构458A通过将弹簧机构458A的第二板附接至底表面464C而配合至底表面464C。类似地,弹簧机构458B-458D配合至压板454的底表面464C。
清洁层456定位于压板454上方。例如,清洁层456附接至压板454的上表面464A。例如,压板454包含多个孔洞且清洁层456具有多个连接特征,例如延伸进入孔洞中以将压板454附接至清洁层456的突出部或结。每一连接特征所具有的直径大于对应孔洞的宽度。当连接特征通过对应孔洞时,其压缩而将其直径减少至比孔洞的宽度更小。连接特征的直径与孔洞的宽度中的每一者皆沿着x轴测量。在通过孔洞之后,连接特征膨胀至其原始直径。在此示例中,利用模塑机将连接特征模塑至清洁层456中且每一连接特征都通过压板454的对应孔洞。压板454与清洁层456之间无粘合剂以将清洁层456附接至压板454。又例如,使用粘合剂将清洁层456附接至压板454。
清洁层456具有上表面466A、侧表面部466B、底表面466C和侧表面部466D。例如,当沿着z方向观察清洁层456具有圆形横截面时,清洁层456具有一圆形侧表面,该圆形侧表面具有侧表面部466B与466D。
上表面466A垂直或基本垂直于侧表面部466B与466D。例如,上表面466A相对于侧表面部466B与466D形成预定范围如±5-7度内的角度。类似地,底表面466C垂直或基本垂直于侧表面部466B与466D。此外,上表面466A平行或基本平行于底表面466C。图案化上表面466A以制造多个柱,例如上表面466A的柱468F和柱468G。相比于不具有柱且不具有凹痕的平坦清洁层的接触表面积,柱可减少清洁层456与喷头108之间的接触表面积。该经减少的表面积可减少清洁喷头108之后或清洁喷头108期间用于分离清洁层456与喷头108的力。相邻的两柱之间的距离在上表面466A上产生凹痕或平坦层1102。平坦层1102有时在本文中被称为不接触的下表面,其不会与喷头108的底表面接触。柱具有上表面如柱468F的上表面527F(图5A)和柱468G的另一上表面527G(图5A),其与喷头108的底表面接触以清洁底表面。相比于平坦层1102的水平高度,柱的上表面沿着x轴位于较高的水平高度。
当形成柱时,上表面466A被分割为两个高度470A与470B,每一高度位于水平的面或基本水平的面中。基本水平的面的示例为相对于水平面形成预定角度如±5度的平面。高度470A为一高度,柱的上表面位于该高度处、或上表面466A的所有柱中的最高柱的上表面位于该高度处。高度470B为上表面466A的高度,上表面466A的柱从该高度开始或起源。高度470B为平坦层1102的高度。
支撑部452的底表面462C与高度470A之间的距离为d,距离d的范围从6毫米(mm)至14mm。例如,距离d的范围从6mm至12mm。又例如,距离d的范围从6mm至8mm。又更例如,距离d为8mm。
在一实施方案中,清洁组件450包含任何数目的(例如三个、或四个、或六个、或七个)弹簧机构。例如,清洁组件450包含奇数个弹簧机构。例如,使用三个弹簧机构且每一弹簧机构位于弹簧机构所形成的三角形的顶点处。三角形形成于上表面462A上。
在一实施方案中,代替柱,在清洁组件中使用微柱。
图4D为支撑部452的上表面462A的俯视图,其图示了弹簧机构458A-458E的位置。弹簧机构458A-458E定位于弹簧机构458A-458E所形成的五角形的顶点处。类似地,容纳弹簧机构458A-458E的槽口460A-460E定位于由槽口460A-460E所形成的五角形的顶点处。
图5A为清洁组件450的一实施方案的详细图。压板454的底表面464C与支撑部452的上表面462A之间的距离为d1。压板454的延伸部465A具有内表面506A和外表面506B。延伸部465A的内表面506A的一部分面对支撑部462的侧表面部462D。
槽口504形成在内表面506A中但未沿着内表面506A的整个宽度延伸。例如,在属于沿着x轴的方向的x方向上槽口504具有矩形横截面。又例如,槽口504被钻凿到内表面506A内。槽口504的深度小于压板454的延伸部465A的宽度。例如,延伸部465A的宽度和槽口504的深度沿着x轴测量。槽口504的长度为d2。例如,槽口504的上表面512A与底表面512B之间的距离为d2。槽口504的长度是沿着z轴延伸的。
槽口504具有上表面512A、中间表面514和底表面512B。上表面512A面对底表面512B,且上表面512A和底部面512B平行或基本上平行于x轴。例如,上表面512A和底部面512B中的每一者相对于x轴形成预定角度如±5度。中间表面514从上表面512A延伸至底表面512B。
制造突出部508而使其从支撑部452的侧表面部462D的平坦部延伸。例如,使用用于制造支撑部452的模塑机形成突出部508。又例如,突出部508附接至(如拧紧或化学接合至)侧表面部462D的平坦部。侧表面部462D的平坦部平行或基本平行于z轴。例如,侧表面部462D的平坦部相对于z轴形成预定角度如±5度。
此外,多个槽口如槽口502A与槽口502B形成于压板454的底表面464C内。例如,槽口被钻凿到底表面464C内。底表面464C内的槽口502A与502B沿着压板454的侧表面部464D的高度延伸但并未沿着侧表面部464D的整个高度延伸。图5A中并未显示形成在底表面464C内用于容纳弹簧机构458C-458E的剩余三个槽口。
弹簧机构458A延伸进入槽口502A中以被附接至槽口502A的上表面508A并延伸进入槽口460A中以被连接至槽口460A的底表面510A。类似地,弹簧机构458B延伸进入槽口502B中以被附接至槽口502B的上表面508B并延伸进入槽口460B中以被连接至槽口460B的底表面510B。
弹簧机构458A的一部分位于槽口502A内、弹簧机构458A的另一部分位于槽口502A与460A的外部并介于压板454的底表面464C与支撑部452的上表面462A之间、且弹簧机构458A的剩余部在槽口460A内延伸。类似地,弹簧机构458B的一部分位于槽口502B内、弹簧机构458B的另一部分位于槽口502B与460B的外部并且介于底表面464C与上表面462A之间、且弹簧机构458B的剩余部在槽口460B内延伸。槽口460A-460E可减少弹簧机构458A-458E断裂的可能性,类似地槽口如形成在底表面464C内的槽口502A与502B可减少断裂的可能性。
距离d2小于距离d1而减少支撑部452的上表面462A接触刚性构件454的底表面464C的机会,以延长弹簧机构458A-458E的寿命。例如,当升高清洁组件450而使其接近喷头108(图1)或与喷头108(图1)接触以清洁喷头108时,弹簧机构458A-458E的多个弹簧压缩且突出部508沿着z方向向上移动,直到突出部508接触槽口504的上表面512A为止。这可避免弹簧机构458A-458E的最大压缩,以延长弹簧机构458A-458E的寿命。
在一实施方案中,清洁组件450排除形成在支撑部452的底表面462A内的槽口460A-460E且排除形成在压板454的底表面464C内的槽口如槽口502A与502B。此外,清洁组件450排除延伸部465A与465B。弹簧机构458A-458E附接至支撑部452的上表面452A且附接至压板454的底表面464C。此外,支撑部452排除突出部508。
图5B为另一清洁组件520的一实施方案的详细图。清洁组件520与清洁组件450(图5A)相同,不同的是清洁组件502在清洁层456与压板454之间包含可压缩层522。可压缩层522的示例包含由陶瓷或另一热吸收材料所制成的膜层以吸收等离子体室105(图2)内所产生的热。
可压缩层522有时在本文中被称为缓冲层。当清洁组件520被压至或靠着喷头108的底表面以使清洁层456接触底表面时,可压缩层522压缩以提供额外程度的压缩吸收。当清洁组件520的清洁层456与喷头108的底表面接触时,除了弹簧机构458A-458E(图4C)的多个弹簧的压缩所提供的压缩吸收量之外,尚有额外程度的压缩吸收。
可压缩层522具有上表面524A、侧表面部(未显示)、底表面524C和另一侧表面部524D。例如,沿着z方向观察可压缩层522具有圆形横截面且可压缩层522具有一个圆形侧表面,所述圆形侧表面具有包含侧表面部524D的侧表面部。
可压缩层522的上表面524A附接至(如粘附至或化学接合至)清洁层456的底表面466C。此外,可压缩层522的底表面524C附接至(如粘附至或化学接合至)压板454的上表面464A。
图5C为清洁组件550的一实施方案的图。清洁组件550在结构上与清洁组件450(图4C)相同,不同的是清洁组件550排除了延伸部465A与465B(图4C)、槽口460A-460E(图4C)和支撑部452内的突出部508(图5A)以及压板454内的槽口如槽口502A与502B(图5A)。
清洁组件550包含支撑部552及压板554。支撑部552的结构与支撑部452(图4C)相同,不同的是支撑部552不包含槽口460A-460E以及突出部508。支撑部452具有平坦的上表面556而非支撑部452的上表面462A。
多个板558A、558B、558C、558D和558E附接至(拧紧至、化学接合至、或粘合至)上表面556。如本文中所述,每一板由金属如铝所制成。弹簧机构458A-458E的弹簧附接至板558A-558E。例如,每一板558A-558E包含沟槽,弹簧机构458A-458E中的多个弹簧中的相应弹簧以顺时针或逆时针动作的方式延伸进入沟槽中。又例如,弹簧机构458A的弹簧附接至板558A上、弹簧机构458B的弹簧附接至板558B上、弹簧机构458C的弹簧附接至板558C上、弹簧机构458D的弹簧附接至板558D上、弹簧机构458E的弹簧附接至板558E上。
压板554具有与压板454(图4C)相同的结构,不同的是压板554不包含槽口如形成在压板454的底表面464C(图4C)内的槽口502A-502B(图5A)且不包含延伸部465A与465B。压板554具有底表面560而非压板454的底表面464C。
多个板562A、562B、562C、562D和562E附接至(如拧紧至、化学接合至、或粘合至)底表面560。弹簧机构458A-458E的弹簧附接至板562A-562E。例如,每一板562A-562E包含多个沟槽,弹簧机构458A-458E中的多个弹簧中的相应弹簧以顺时针或逆时针动作的方式延伸进入沟槽中。又例如,弹簧机构458A的弹簧附接至板562A上、弹簧机构458B的弹簧附接至板562B上、弹簧机构458C的弹簧附接至板562C上、弹簧机构458D的弹簧附接至板562D上、弹簧机构458E的弹簧附接至板562E上。
图6A为系统600的一实施方案的图,其图示了清洁组件220的经下降的位置。经下降的位置有时在本文中被称为收回位置。
系统600包含基座110A。基座110A具有上表面602A、侧表面部602B、底表面602C和另一侧表面部602D。例如,沿着z方向观察时,基座110A具有圆形横截面,基座110A具有一圆形侧表面,该圆形侧表面具有侧表面部602B与602D。
上表面602A垂直或基本垂直于侧表面部602B与602D。例如,上表面602A相对于侧表面部602B与602D形成预定范围内的角度如±5-7度。类似地,底表面602C垂直或基本垂直于侧表面部602B与602D。此外,上表面602A平行或基本平行于底表面602C。
类似地,喷头108具有上表面604A、侧表面部604B、底表面604C和另一侧表面部604D。例如,沿着z方向观察时,喷头108具有圆形横截面,喷头108具有一圆形侧表面,该圆形侧表面具有侧表面部604B与604D。例如,底表面604C为喷头108的面板。例如,面板包含多个孔洞或孔口以使一或多种处理气体能通过喷头108与基座110A(图1)之间的间隙。
喷头108的上表面604A的一部分垂直或基本垂直于侧表面部604B与604D。例如,上表面604A相对于侧表面部604B与604D形成预定范围内的角度如±5-7度。类似地,喷头108的底表面604C垂直或基本垂直于喷头108的侧表面部604B与604D。此外,喷头108的上表面604A平行或基本平行于喷头108的底表面604C。
由于喷头108的操作,颗粒如颗粒606A及606B沉积在喷头108的底表面604C下方。沉积在底表面604C下方的颗粒的示例包含等离子体或处理气体颗粒的残余物、或沉积在衬底128(图1)上的材料的颗粒、或污染物。
入站装载锁202A的末端执行器210A(图2)将清洁组件220放置到旋转部408上。例如,末端执行器210A将清洁组件220移动至旋转部408上方,使突出部412A对准凹陷部414A、使突出部412B对准凹陷部414B、突出部412C对准凹陷部414C。对准是沿着垂直方向。接着末端执行器210A降低与凹陷部414A对准的突出部412A以使突出部412A延伸进入凹陷部414A中。同时,末端执行器210A降低与凹陷部414B对准的突出部412B以使突出部412B延伸进入凹陷部414B中,且末端执行器210A降低与凹陷部414C对准的突出部412C以使突出部412C延伸进入凹陷部414C中。
在突出部412A-412C延伸进入凹陷部414A-414C中以将清洁组件220放置于旋转部408上之后,如下面图6B中所示,主计算机102(图1)控制心轴208以将清洁组件220从高度H1升高至例如高度H2之类的经升高的高度。当心轴处于经升高的高度处时,清洁组件220接触或接近喷头108的底表面604C,以清洁如移除或减少底表面604C下方的颗粒。高度H1为自基座110A的底表面602C至清洁组件220的上表面410A的高度。当清洁组件220处于高度H1处时,清洁组件220不接近喷头208。例如,没有由清洁组件220施加至喷头108的底表面604C的静电力或范德华力。在高度H1处,末端执行器210A(图2)从入站装载锁202A(图2)传送待放置到旋转部408上的清洁组件220。
图6B为系统600的另一实施方案的图,其图示了清洁组件220的经升高的高度。主计算机102(图1)控制心轴208以将清洁组件220从高度H1(图6A)升高至高度H2。高度H2高于高度H1。高度H2是从基座110A的底表面602C至清洁组件220的上表面410A的高度。当清洁组件220处于高度H2处时,清洁组件220的上表面410A接近喷头108的底表面604C(如距离在预定距离内)或接触喷头108的底表面604C,以清洁喷头108。例如,清洁组件220如清洁层456(图4C)将静电或范德华力施加至喷头108以清洁喷头108。当粘附至喷头108的底表面604C的颗粒受到静电或范德华力吸引而朝向清洁层456时,喷头108被清洁。
图7为系统700的一实施方案的图,其图示了清洁组件220传输进入及离开入站装载锁202A。系统700包含入站装载锁202A、末端执行器210A、清洁组件220和臂404。末端执行器210A沿着x方向从装载锁202A朝向臂404延伸,以使突出部412A与旋转部408的凹陷部414A(图6A)对准、使突出部412B与旋转部408的凹陷部414B(图6A)对准、使突出部412C与旋转部408的凹陷部414C(图6A)对准。当末端执行器210A沿着x方向延伸时,末端执行器210A延伸进入清洁组件202的底表面410C与基座110A的上表面602A(图6A)之间的空间,将清洁组件220放置到臂404上。末端执行器210A沿着z轴沿着向下方向下降,将清洁组件220放置到旋转部408上以由旋转部408支撑。
在相反的方式下,在清洁组件220清洁喷头108之后,末端执行器210B(图2)沿着x轴沿着水平方向朝向臂404延伸以延伸进入清洁组件202的底表面410C与基座110A的上表面602A之间的空间中,并沿着竖直方向稍微向上移动以支撑清洁组件220。一旦清洁组件220由末端执行器210B支撑之后,末端执行器210B收回以将清洁组件220从等离子体室105(图1)移动至出站装载锁202B(图2)。
图8为系统800的一实施方案的图,其图示了使用升降销126A-126C升高或降低清洁组件802。清洁组件802为清洁组件220或222(图2)的示例。
清洁组件802包含压板806和清洁层804。压板806由与压板456(图4C)相同的材料所制成。如上所述,压板806附接至清洁层804的方式与压板454附接至清洁层456(图4C)的方式相同。例如,压板806包含多个孔洞且清洁层804具有多个连接特征,例如延伸进入孔洞中以将压板806附接至清洁层804的突出部或结。例如,利用模塑机将连接特征模塑至清洁层804中,且每一连接特征通过压板806的对应孔洞。压板806与清洁层804之间并无粘合剂将清洁层804附接至压板806。又例如,使用粘合剂将清洁层804附接至压板806。
又例如,压板806与清洁层802整合而呈现为单一层,且两层之间并未形成化学接合。例如,压板806是压板454(图4C)的示例,但没有压板454的延伸部465A与465B(图4A)及没有压板454的槽口,如槽口502A与502B(图5A)。
清洁层804的示例为清洁层456(图4C)。又例如,清洁层804为聚合物垫。压板806比清洁层804更具刚性。例如,压板806比清洁层804更不可弯折且更无弹性。
清洁层804具有上表面808A、侧表面部808B、底表面808C和侧表面部808D。例如,沿着z方向观察清洁层804具有圆形横截面,清洁层804具有一圆形侧表面,该圆形侧表面具有侧表面部808B与808D。
上表面808A垂直或基本垂直于侧表面部808B与808D。例如,上表面808A相对于侧表面部808B与808D形成预定范围内的角度如±5-7度。类似地,底表面808C垂直或基本垂直于侧表面部808B与808D。另外,上表面808A平行或基本平行于底表面808C。
类似地,压板806具有上表面810A、侧表面部810B、底表面810C和侧表面部810D。例如,沿着z方向观察时,压板806具有圆形横截面,压板806具有一圆形侧表面,该圆形侧表面具有侧表面部810B与810D。
上表面810A垂直或基本垂直于侧表面部810B与810D。例如,上表面810A相对于侧表面部810B与810D形成预定范围内的角度如±5-7度。类似地,底表面810C垂直或基本垂直于侧表面部810B与810D。此外,上表面810A平行或基本平行于底表面810C。清洁层804的底层808C附接至压板806的上表面810A。
主计算机102控制升降销126A-126C以将清洁组件802从高度H3升高至高度H4。高度H4高于高度H3且为从基座110A的底表面602C至清洁层804的上表面808A的高度。此外,高度H3为从底表面602C至清洁层804的上表面808A的高度。在高度H3处,末端执行器210A(图2)从入站装载锁202A传送待被放置到升降销126A-126C上的清洁组件802。例如,在高度H3处,放置清洁组件802于承载环112上以由承载环112支撑。
当清洁组件802位于高度H4处时,清洁组件802的上表面808A接近喷头108的底表面604C(如距离喷头108的底表面604C预定距离)或接触喷头108的底表面604C,以清洁喷头108。例如,清洁组件802如清洁层804将静电力或范德华力施加至喷头108以清洁喷头108。当粘附至喷头108的底表面604C的颗粒受到静电力或范德华力吸引而朝向清洁层804时,喷头108被清洁。在清洁喷头108之后,主计算机102控制升降销126A-126B沿着竖直方向下降以将清洁组件802下降至高度H3。
主计算机102发送升降销控制信号至驱动器系统118。升降销控制信号包含升降销126A-126C沿着竖直方向待被升高或降低的高度。升降销126A-126C待被升高的高度的示例为高度H4,升降销126A-126C待被下降的高度的示例为高度H3。
在接收到升降销控制信号时,驱动器系统118产生一或多个电流信号并将其发送至马达系统116。马达系统116的一或多个马达旋转以移动连接机构107A-107C中的一或多者,以升高或降低升降销126A-126C中的对应一或多者。升降销126A-126C升高以将清洁组件802升高至高度H4或下降以将清洁组件802沿着竖直方向下降至高度H3。
图9A为系统900的一实施方案的图,其图示了使用蜘蛛叉908A、908B、910A、910B、912A、912B、914A和914B。系统900包含等离子体室105。蜘蛛叉908A、908B、910A、910B、912A、912B、914A和914B从心轴212沿着x轴与y轴之间所形成的xy平面延伸。例如,蜘蛛叉908A、908B、910A、910B、912A、912B、914A和914B耦合至(如拧紧至)心轴212的主体。又例如,蜘蛛叉908A、908B、910A、910B、912A、912B、914A和914B与心轴212整合且为心轴212的整合部件。例如,每一蜘蛛叉908A、908B、910A、910B、912A、912B、914A和914B由陶瓷材料制成以在处理期间耐受高热。
等离子体室105具有多个承载环112、902、904和906。承载环112被放置在基座110A上、承载环902被放置在基座110B的边缘上、承载环904被放置在基座110C的边缘上、承载环906被放置在基座110D的边缘上。此外,等离子体室105具有多个清洁组件916A、916B、916C和916D。每一清洁组件916A-916D与清洁组件802(图8)具有相同的结构且执行相同的功能。
清洁组件916A被放置在承载环112的上部上、清洁组件916B被放置在承载环902的上部上、清洁组件916C被放置在承载环906的上部上、清洁组件916D被放置在承载环906的上部上,以清洁四个站的对应喷头。
四个站中的第一站包含基座110A和喷头108(图1)。喷头108有时在本文中被称为多个喷头中的第一喷头。四个站中的第二站包含基座110B和多个喷头中的第二喷头。四个站中的第三站包含基座110C和多个喷头中的第三喷头。
四个站中的第四站包含基座110D和多个喷头中的第四喷头。第一、第二、第三喷头中的每一者都与第一喷头有相同的结构并执行相同的功能。第二喷头定位于基座110B上方、第三喷头定位于基座110C上方、第四喷头定位于基座110D上方。
当心轴212沿着垂直方向移动时,如上所述,心轴212的移动使蜘蛛叉908A、908B、910A、910B、912A、912B、914A和914B沿着竖直方向同时移动,以沿着竖直方向升高承载环112、902、904和906和清洁组件916A、916B、916C和916D。例如,蜘蛛叉908A与908B接触承载环112的底表面,承载环112接触清洁组件916A的底表面,以升高清洁组件916A。类似地,蜘蛛叉910A与910B接触承载环902的底表面,承载环902接触清洁组件916B的底表面,以升高清洁组件916B。此外,蜘蛛叉912A与912B接触承载环904的底表面,承载环904接触清洁组件916C的底表面,以升高清洁组件916C。蜘蛛叉914A与914B接触承载环906的底表面,承载环904接触清洁组件916D的底表面,以升高清洁组件916D。
图9B为系统950的一实施方案的图,其图示了蜘蛛叉908A与908B随着心轴212移动而竖直移动。系统950包含喷头108、清洁装置802、承载环112、基座110A、心轴212和蜘蛛叉908A与908B。
承载环112具有上表面952A、侧表面部952B、底表面952C和图9B未显示的另一侧表面部。例如,沿着z方向观察承载环112具有圆形横截面,承载环112具有一圆形侧表面,该圆形侧表面具有包含侧表面部952B的侧表面部。
上表面952A垂直或基本垂直于承载环112的侧表面部。例如,上表面952A相对于承载环112的侧表面部形成预定范围内的角度如±5-7度。类似地,底表面952C垂直或基本垂直于承载环112的侧表面部。此外,上表面952A平行或基本平行于底表面952C。
蜘蛛叉908A与908B延伸以到达基座110A的边缘且位于承载环112的边缘与基座110A的边缘之间。例如,蜘蛛叉908A与908B延伸进入基座110的边缘部130B与承载环112的底表面952C之间的空间内,以升高承载环112。清洁装置802定位于承载环112的上部上。压板806的底表面810C邻接且搁置于承载环112的上表面952A上以由承载环112支撑。
主计算机102控制心轴212以沿着竖直方向升高蜘蛛叉908A与908B。当蜘蛛叉908A与908B升高时,承载环112沿着竖直方向升高。当承载环112升高时,承载环112将清洁组件802从高度H3升高至高度H4。在清洁喷头108之后,主计算机102控制心轴212沿着竖直方向下降以沿着竖直方向下降蜘蛛叉908A与908B。当蜘蛛叉908A与908B下降时,承载环112沿着z轴沿着向下方向下降,随着承载环112下降,清洁组件802从高度H4下降至高度H3。
图10A为系统1000的一实施方案的图,其图示了喷头108朝向清洁组件802移动以清洁喷头108。系统1000包含主计算机102、喷头108、驱动器1002、马达1004、清洁组件802和基座110A。
主计算机102耦合至驱动器1002,驱动器1002耦合至马达1004。马达1004通过连接机构1006而耦合至喷头108。主计算机102产生和发送竖直移动控制信号至驱动器1002。竖直移动控制信号包含:方向(如向上或向下),喷头108将在该方向上沿着z轴移动;以及预定位置,喷头108在移动之后将到达该预定位置。在接收到竖直移动控制信号时,驱动器1002产生竖直移动电流信号,竖直移动电流信号被发送至马达1004。马达1004接收竖直移动电流信号并旋转以移动连接机构1006而更进一步沿着竖直方向向上或向下移动喷头108,直到喷头108到达预定位置为止。
主计算机102控制喷头108以将喷头108从高度H6下降至高度H5。高度H6高于高度H5且是从喷头108的底表面604C至基座110A的底表面602C的高度。例如,高度H6与高度H4(图9B)相同。此外,高度H5是从喷头108的底表面604C至基座110A的底表面602C的高度。例如,在高度H5处,将清洁组件802放置到承载环112上并由承载环112支撑。又例如,高度H5与高度H3(图9B)相同。
当喷头108位于高度H5处时,清洁组件802的上表面808A接近喷头108的底表面604C(如位于与喷头108的底表面604C相距的预定距离内)或接触喷头108的底表面604C,以清洁喷头108。例如,清洁组件802如清洁层804将静电力或范德华力施加至喷头108的底表面604C以清洁喷头108。在清洁喷头108之后,主计算机102控制喷头108沿着竖直方向从高度H5升高至高度H6。
在一实施方案中,使用清洁组件220(图6A)而非清洁组件802。清洁组件220搁置在臂404(图6A)上且喷头108从高度H6下降直到到达最终高度。在最终高度处,喷头108距离高度H1预设距离以清洁喷头108。预设距离的示例为沿着竖直方向高度H1的0.01-5%内的距离。当喷头802的位置距离高度H1预设距离时,清洁组件220的上表面410A(图6A)接近喷头108的底表面604C(如位于与喷头108的底表面604C相距的预定距离内)或接触喷头108的底表面604C,以清洁喷头108。例如,清洁层456(图5B)将静电力或范德华力施加至喷头108以清洁喷头108。在清洁喷头108之后,主计算机102控制喷头108沿着竖直方向从距离高度H1预设距离处升高至高度H6。
图10B为系统1050的一实施方案的图,其图示了基座110A朝向喷头108移动以清洁喷头108。系统1050包含主计算机102、喷头108、驱动器1052、马达1054、清洁组件802和基座110A。
主计算机102耦合至驱动器1052,驱动器1052耦合至马达1054。马达1054通过连接机构1056而耦合至基座110A。主计算机102产生和发送竖直移动控制信号至驱动器1052。竖直移动控制信号包含:方向(如向上或向下),基座110A待在所述方向上沿着z轴移动;以及预定位置,基座110A在移动之后将到达所述预定位置。在接收到竖直移动控制信号时,驱动器1052产生竖直移动电流信号,竖直移动电流信号被发送至马达1054。马达1054接收竖直移动电流信号并旋转以移动连接机构1056而更进一步沿着竖直方向向上或向下移动基座110A,直到基座110A到达预定位置为止。搁置于承载环112上的清洁组件802随着基座110A向上移动而升高,以与喷头108的底表面604C接触或接近喷头108的底表面604C。
主计算机102控制基座110A以升高基座110A而将清洁组件802从高度H3升高至高度H4。当清洁组件802位于高度H4时,清洁组件802的上表面808A接近喷头108的底表面604C(如位于与喷头108的底表面604C相距的预定距离内)或接触喷头108的底表面604C,以清洁喷头108。例如,清洁组件802如清洁层804将静电力或范德华力施加至喷头108以清洁喷头108。在清洁喷头108之后,主计算机102控制基座110A以沿着z轴将清洁组件802从高度H4向下下降至高度H3。
图11A为清洁组件1100的一实施方案的等距视图。清洁组件1110包含清洁层456和压板806。清洁层456附接至(如化学接合至或粘附至)压板806。清洁层456具有多个柱(包含柱468F与468G)且位于压板806的上部上。柱有时在本文中被称为微结构。清洁层456的柱从清洁层456的平坦层1102沿着z方向延伸。平坦层1102的示例为聚合物垫如由氟聚合物或聚酰亚胺或其组合所制成的垫。清洁层456的柱的制成材料与平坦层1102的制成材料相同。平坦层1102具有落在xy平面中的平坦表面。清洁层456包含平坦层1102和柱。
图11B为清洁组件1100的一实施方案的侧面图。清洁层456的每一柱的直径D为将被从喷头108(图1)清除的每一颗粒的直径的n倍如5-9倍。当清洁层456接近喷头108或与喷头108接触时,清洁层456的柱沿着x轴的方向移动,以清洁喷头108。沿着z方向从压板806测量,平坦层1102具有厚度T。
沿着z轴测量,清洁层456的每一柱具有长度。例如,清洁层456的每一柱的长度大于平坦层1102的厚度T。又例如,清洁层456的每一柱的长度小于平坦层1102的厚度T。
在一实施方案中,清洁层456的一或多个柱的直径不同于清洁层456的剩余的柱中的一或多者的直径。
在一实施方案中,清洁层456的一或多个柱的长度不同于清洁层456的剩余的柱中的一或多者的长度。
图12A为清洁层1202的一组柱的实施方案的侧面图。使用清洁层1202而非清洁层456(图11A)。例如,清洁层1202附接至压板806(图11B)。清洁层1202包含具有交替高度的柱。例如,清洁层1202的柱1204A短于清洁层1202的另一柱1204B。柱1204B与柱1204A相邻且柱1204A与1204B两者之间并无其他柱。又例如,清洁层1202的柱1204C短于清洁层1202的另一柱1204D。柱1204C与柱1204B相邻且柱1204B与1204C两者之间并无其他柱。类似地,柱1204D与柱1204C相邻且柱1204C与1204D两者之间并无其他柱。清洁层1202的该组柱从清洁层1202的平坦层1102延伸。
图12A中所示的交替高度的图案沿着y轴在y方向上重复。例如,具有与图12A中所示的一组柱相同图案的多组柱沿着y轴从清洁层1202的平坦层1102延伸。
此外,在清洁层1202的任意两相邻柱之间存在着一部分的平坦层1102。在一实施方案中,平坦层1102上的清洁层1202的柱的密度不同于图12A中所示的密度。例如,清洁层1202的任意两相邻柱之间的距离大于或小于图12A中所示的距离。
图12B为清洁层1206的一组柱的实施方案的侧面图。使用清洁层1206而非清洁层456(图11A)。例如,清洁层1206附接至压板806(图11B)。清洁层1206包含具有交替高度的柱,两根短柱之后接着一根长柱。例如,清洁层1206的柱1208A与1208B短于清洁层1206的另一柱1208C。柱1208B与柱1208A相邻且柱1208A与1208B两者之间并无其他柱。此外,柱1208C与柱1208B相邻且柱1208B与1208C两者之间并无其他柱。又例如,清洁层1206的柱1208D与1208E短于清洁层1206的另一柱1208F。柱1208D与柱1208C相邻且柱1208C与1208D两者之间并无其他柱。类似地,柱1208E与柱1208D相邻且柱1208D与1208E两者之间并无其他柱,柱1208F与柱1208E相邻且柱1208E与1208F两者之间并无其他柱。清洁层1206的该组柱从清洁层1202的平坦层1102延伸。
图12B中所示的交替高度的图案沿着y方向重复。例如,具有与图12B中所示的一组柱相同图案的多组柱沿着y轴从清洁层1202的平坦层1102延伸。
此外,在清洁层1206的任意两相邻柱之间存在着一部分的平坦层1102。在一实施方案中,平坦层1102上的清洁层1206的柱的密度不同于图12B中所示的密度。例如,清洁层1206的任意两相邻柱之间的距离大于或小于图12B中所示的距离。
图12C为清洁层1210的一组柱的实施方案的侧面图。使用清洁层1210而非清洁层456(图11A)。例如,清洁层1210附接至压板806(图11B)。清洁层1210包含具有交替高度的柱,两根长柱之后接着一根短柱。例如,清洁层1210的柱1212A与1212B高于清洁层1210的另一柱1212C。柱1212B与柱1212A相邻且柱1212A与1212B两者之间并无其他柱。此外,柱1212C与柱1212B相邻且柱1212B与1212C两者之间并无其他柱。又例如,清洁层1210的柱1212D与1212E高于清洁层1210的另一柱1212F。柱1212D与柱1212C相邻且柱1212C与1212D两者之间并无其他柱。类似地,柱1212E与柱1212D相邻且柱1212D与1212E两者之间并无其他柱,柱1212F与柱1212E相邻且柱1212E与1212F两者之间并无其他柱。清洁层1210的该组柱从清洁层1210的平坦层1102延伸。
图12C中所示的交替高度的图案沿着y方向重复。例如,具有与图12C中所示的一组柱相同图案的多个组柱沿着y轴从清洁层1210的平坦层1102延伸。
此外,在清洁层1210的任意两相邻柱之间存在着一部分的平坦层1102。在一实施方案中,平坦层1102上的清洁层1210的柱的密度不同于图12C中所示的密度。例如,清洁层1210的任意两相邻柱之间的距离大于或小于图12C中所示的距离。
图12D为清洁层1214的一组柱的实施方案的侧面图。使用清洁层1214而非清洁层456(图11A)。例如,清洁层1214附接至压板806(图11B)。清洁层1214包含具有交替高度的柱,两根长柱之后接着两根短根。例如,清洁层1214的柱1216A与1216B短于清洁层1214的柱1216C与1216D。柱1216B与柱1216A相邻且柱1216A与1216B两者之间并无其他柱。此外,柱1216C与柱1216B相邻且柱1216B与1216C两者之间并无其他柱,柱1216D与柱1216C相邻且柱1216C与1216D两者之间并无其他柱。又例如,清洁层1210的柱1212E与1212F短于清洁层1214的柱1216G与1216H。柱1216E与柱1216D相邻且柱1216D与1216E两者之间并无其他柱。类似地,柱1216F与柱1216E相邻、柱1216G与柱1212F相邻、柱1216H与柱1216G相邻。清洁层1214的该组柱从清洁层1214的平坦层1102延伸。
图12D中所示的交替高度的图案沿着y方向重复。例如,具有与图12D中所示的一组柱相同图案的多个组柱沿着y轴从清洁层1214的平坦层1102延伸。
此外,在清洁层1214的任意两相邻柱之间存在着一部分的平坦层1102。在一实施方案中,平坦层1102上的清洁层1214的柱的密度不同于图12D中所示的密度。例如,清洁层1214的任意两相邻柱之间的距离大于或小于图12D中所示的距离。
图12E为清洁层1218的一组柱的实施方案的侧面图。使用清洁层1218而非清洁层456(图11A)。例如,清洁层1218附接至压板806(图11B)。如图12A所示清洁层1218包含具有交替高度的柱,清洁层1218的长柱沿着一个方位弯折。例如,清洁层1218的柱1220A长于清洁层1218的另一柱1220B且沿着x方向弯折。柱1220B与柱1220A相邻且柱1220A与1220B两者之间并无其他柱。又例如,清洁层1218的柱1220C长于清洁层1218的另一柱1220D且沿着x方向弯折。柱1220C与柱1220B相邻且柱1220B与1220C两者之间并无其他柱。类似地,柱1220D与柱1220C相邻且柱1220C与1220D两者之间并无其他柱。清洁层1218的该组柱从清洁层1218的平坦层1102延伸。
图12E中所示的交替高度的图案沿着y方向重复。例如,具有与图12E中所示的一组柱相同图案的多组柱沿着y轴从清洁层1218的平坦层1102延伸。
此外,在清洁层1218的任意两相邻柱之间存在着一部分的平坦层1102。在一实施方案中,平坦层1102上的清洁层1218的柱的密度不同于图12E中所示的密度。例如,清洁层1218的任意两相邻柱之间的距离大于或小于图12E中所示的距离。
在一实施方案中,清洁层1218的长柱所具有的方位不同于图12E中所示的方位。例如,柱1220A与1220C具有上部如上表面,其取向成面对y方向而非x方向。又例如,柱1220A与1220C所具有上部,其取向成面对x方向但面对负x方向例如面对左而非面对右。
在一实施方案中,清洁层1218的长柱中的一或多者具有上部,其取向成面对方向不同于长柱的剩余者中的一或多者的面对方向。例如,柱1220A弯折以具有上部,所述上部取向成面对x方向,柱1220C弯折具有上部,所述上部取向成面对y方向。
在一实施方案中,清洁层1218的短柱沿着一或多个方向如x方向、y方向和z方向弯折。
图12F为清洁层1222的一组柱的实施方案的侧面图。使用清洁层1222而非清洁层456(图11A)。例如,清洁层1222附接至压板806(图11B)。如图12A所示清洁层1222包含具有交替高度的柱,清洁层1222的长柱沿着一个方位弯折。此外,清洁层1222的长柱比清洁层1222的短柱更细。例如,清洁层1222的柱1224A长于清洁层1222的另一柱1224B、沿着x方向弯折、且比柱1224B更细。柱1224B与柱1224A相邻且柱1224A与1224B两者之间并无其他柱。又例如,清洁层1222的柱1224C长于清洁层1222的另一柱1224D、沿着x方向弯折、且比柱1224D更细。柱1224C与柱1224B相邻且柱1224B与1224C两者之间并无其他柱。类似地,柱1224D与柱1224C相邻且柱1224C与1224D两者之间并无其他柱。清洁层1222的该组柱从清洁层1222的平坦层1102延伸。
图12F中所示的交替高度的图案沿着y方向重复。例如,具有与图12F中所示的该组柱相同图案的多个组柱沿着y轴从清洁层1222的平坦层1102延伸。
此外,在清洁层1222的任意两相邻柱之间存在着一部分的平坦层1102。在一实施方案中,平坦层1102上的清洁层1222的柱的密度不同于图12F中所示的密度。例如,清洁层1222的任意两相邻柱之间的距离大于或小于图12F中所示的距离。
在一实施方案中,清洁层1222的长柱所具有的方位不同于图12F中所示的方位。例如,柱1224A与1224C具有上部如上表面,其取向成面对y方向而非x方向。又例如,柱1224A与1224C具有上部,其取向成面对x方向但面对负x方向。
在一实施方案中,清洁层1222的长柱中的一或多者具有上部,其取向成面对方向不同于长柱的剩余者中的一或多者的面对方向。例如,柱1224A弯折以具有上部,所述上部取向成面对x方向,柱1224C弯折以具有上部,所述上部取向成面对y方向。
在一实施方案中,清洁层1222的短柱沿着一或多个方向如x方向、y方向和z方向弯折。
图12G为清洁层1226的一组柱的实施方案的侧面图。使用清洁层1226而非清洁层456(图11A)。例如,清洁层1226附接至压板806(图11B)。如图12A所示清洁层1226包含具有交替高度的柱,清洁层1226的长柱沿着一个方位弯折。此外,清洁层1226的长柱比清洁层1226的短柱更粗。例如,清洁层1226的柱1228A高于清洁层1226的另一柱1228B、沿着x方向弯折、且比柱1228B更粗。柱1228B与柱1228A相邻且柱1228A与1228B两者之间并无其他柱。又例如,清洁层1226的柱1228C长于清洁层1226的另一柱1228D、沿着x方向弯折、且比柱1228D更粗。柱1228C与柱1228B相邻且柱1228B与1228C两者之间并无其他柱。类似地,柱1228D与柱1228C相邻且柱1228C与1228D两者之间并无其他柱。清洁层1226的该组柱从清洁层1226的平坦层1102延伸。
图12G中所示的交替高度的图案沿着y方向重复。例如,具有与图12G中所示的一组柱相同图案的多个组柱沿着y轴从清洁层1226的平坦层1102延伸。
此外,在清洁层1226的任意两相邻柱之间存在着一部分的平坦层1102。在一实施方案中,平坦层1102上的清洁层1226的柱的密度不同于图12G中所示的密度。例如,清洁层1226的任意两相邻柱之间的距离大于或小于图12G中所示的距离。
在一实施方案中,清洁层1226的长柱所具有的方位不同于图12G中所示的方位。例如,柱1228A与1228C具有上部如上表面,其取向成面对y方向而非x方向。又例如,柱1228A与1228C具有上部,其取向成面对x方向但面对负x方向。
在一实施方案中,清洁层1226的长柱中的一或多者具有上部,其取向成面对方向不同于长柱的剩余者中的一或多者的面对方向。例如,柱1228A弯折以具有上部,所述上部取向成面对x方向,而柱1228C弯折以具有上部,所述上部取向成面对y方向。
在一实施方案中,清洁层1226的短柱沿着一或多个方向如x方向、y方向和z方向弯折。
图12H为清洁层1214的一实施方案的侧面图,其图示了清洁层1214的柱的偏斜。当柱1216C与1216D与喷头108的底表面604C接触时,柱1216C与1216D偏斜如沿着x方向弯折,以清洁喷头108。此外,当柱1216C与1216D与底表面604C接触时,柱1216A与1216B不会接触喷头108但会施加静电力以吸引来自底表面604C的颗粒。
图12I为与喷头108的底表面604C接触的柱1216C的详细侧面图。当柱1216C沿着竖直方向升高以接触底表面604C并与喷头108接触时,柱1216C沿着竖直方向施加力F1以清洁喷头108。此外,当心轴212(图3)绕着轴216(图3)旋转、或旋转部408绕着轴413(图4A)旋转(图4A)、或者心轴212与旋转部408分别绕着轴216与413同时旋转时,清洁层1214沿着x方向和y方向施加水平力,以移除粘附至喷头108的底表面604C的颗粒。颗粒如颗粒606A中的一些(图6A)顺着柱1216C的侧表面1262向下到达平坦层1102,以使柱1216C的上表面1223能持续施加静电力以清洁喷头108。颗粒向下朝向两相邻柱之间的平坦层1102移动。颗粒有时在本文中被称为污染物或颗粒。颗粒的示例包含粉末状物质的颗粒。此外,向下移动、迁移和向下横跨等词在本文中可交换使用。
以类似的方式,当柱1216A与1216B接近但未接触喷头108的底表面604C时,颗粒顺着柱1216A与1216B(图12H)的侧表面向下移动至平坦层1102。柱1216A与1216B以静电力或范德华力吸引颗粒。
图13A为清洁层1300的一实施方案的俯视图,其图示了格栅图案。清洁层1300包含柱的矩阵如以方形图案排列的柱1302A、1302B、1302C和1302D。例如,柱1302A-1302D为方形的顶点。清洁层1300为清洁层456(图4C)和清洁层804(图8)的示例。
在一实施方案中,清洁层1300的柱以比图13A所示更密集或更不密集的方式排列。
图13B为清洁层1310的一实施方案的俯视图,其图示了柱的子图案。清洁层1310具有子图案,例如子图案1312A、1312B和1312C的排列,每一子图案具有多个柱。例如,子图案1312A具有以三角形图案排列的柱1302A、1302B和1302C。例如,柱1302A-1302C为形成在子图案1312A内的三角形的顶点。
清洁层1310的子图案以三角形图案排列。例如,子图案1312A、1312B和1312C形成三角形的顶点。清洁层1310为清洁层456(图4C)和清洁层804(图8)的示例。
在一实施方案中,清洁层1310的子图案以其他图案如方形图案、矩形图案、多角形图案、卵形图案、或圆形图案排列而非以三角形图案排列。
在一实施方案中,清洁层1310的子图案形成多个类型的图案,例如方形和三角形图案。
在一实施方案中,清洁层1310的子图案包含任何其他数量的柱,例如四柱或五柱而非三柱。
在一实施方案中,清洁层1310的子图案的柱形成另一类型的图案如方形图案、或圆形图案、或卵形图案、或多角形图案,而非三角形图案。
在一实施方案中,清洁层1310的柱以比图13B中所示的密度更大或更小的方式排列。
图13C为清洁层1302的一实施方案的俯视图,其图示了清洁层1320被分布于柱区与非柱区中。清洁层1302包含柱区1322A和另一柱区1322B。清洁层1302还包含非柱区1324。非柱区1324介于柱区1322A与柱区1322B之间以分离柱区1322A与柱区1322B。
非柱区1324为平坦的且不包含任何柱。例如,非柱区1324为平坦层1102的一部分且不具有从平坦层1102突出的柱。
柱区1322A具有多个柱,例如柱1302A、1302B和1302C。例如,柱1302A-1302C以线性图案排列。例如,柱1302A-1302C形成线上的点。
柱的多个线的阵列形成于柱区1322A与1322B内。例如,柱1302A-1302C形成第一线、清洁层1320的另一组柱形成第二线、清洁层1302的又一组柱形成第三线。第二线与第一线和第三线相邻且在第一线与第三线之间。清洁层1320为清洁层456(图4C)和清洁层804(图8)的示例。在图13C中还图示出,随着心轴212(图2)相对于轴216(图2)旋转,清洁层1320也旋转。
在一实施方案中,柱1302A-1302C以弯曲图案排列以形成曲线上的点。
在一实施方案中,清洁层1320的柱形成任何类型的图案,例如三角形图案或多角形图案。
在一实施方案中,清洁层1320包含任何其他数量的柱区,例如三个或四个柱区而非两个柱区。每一柱区通过非柱区如非柱区1324而与相邻柱区分离。
图14A为圆顶柱1402的一实施方案的侧面图。圆顶柱1402具有圆顶部1404A和平顶部1404B。圆顶部1404A在平顶部1404B的上部上形成圆顶。圆顶部1404A沿着z轴向上的方向成形为凸出形状。圆顶部1404A沿着x轴的宽度与平顶部1404B的宽度相同或基本相同。例如,圆顶部1404A的直径与平顶部1404B的直径相同或基本相同。例如,圆顶部1404A的宽度与平顶部1404B的宽度相差在预设范围如±5%内。
清洁层456的每一柱(图4C)可具有圆顶部1404A而非平坦上部。类似地,清洁层1202(图12A)、清洁层1206(图12B)、清洁层1210(图12C)、清洁层1214(图12D)、清洁层1218(图12E)、清洁层1222(图12F)、清洁层1226(图12G)、清洁层1300(图13A)、清洁层1310(图13B)和清洁层1320(图13C)的每一柱可具有圆顶部1404A。
在一实施方案中,圆顶柱1402在xy平面上具有圆形横截面。
在一实施方案中,圆顶柱1402在xy平面上具有多角形横截面、或在xy平面上具有卵形横截面。
图14B为蕈状柱1406的一实施方案的侧面图。蕈状柱1406具有圆顶部1408和平顶部1404B。圆顶部1408在平顶部1404B的上部上形成圆顶。圆顶部1408沿着向上的方向成形为凸出形状。圆顶部1408沿着x轴的宽度显著大于平顶部1404B的宽度。例如,圆顶部1408的直径为平顶部1404B的直径的110%。例如,圆顶部1408的宽度比平顶部1404B的宽度大至少10%。
清洁层456的每一柱(图4C)可具有圆顶部1408而非平坦上部。类似地,清洁层1202(图12A)、清洁层1206(图12B)、清洁层1210(图12C)、清洁层1214(图12D)、清洁层1218(图12E)、清洁层1222(图12F)、清洁层1226(图12G)、清洁层1300(图13A)、清洁层1310(图13B)和清洁层1320(图13C)的每一柱可具有圆顶部1408。
在一实施方案中,平顶部1404B在xy平面上具有圆形横截面。
在一实施方案中,平顶部1404B在xy平面上具有多角形横截面、或在xy平面上具有卵形横截面。
图14C为锥形柱1410的一实施方案的侧面图。沿着y方向观察时,锥形柱1410具有锥形横截面。例如,锥形柱1410的上部1412A的最大直径小于锥形柱1410的底部1412B的最大直径。上部1412A位于底部1412B的上部上。
清洁层456的每一柱(图4C)可为锥形柱1410。类似地,清洁层1202(图12A)、清洁层1206(图12B)、清洁层1210(图12C)、清洁层1214(图12D)、清洁层1218(图12E)、清洁层1222(图12F)、清洁层1226(图12G)、清洁层1300(图13A)、清洁层1310(图13B)和清洁层1320(图13C)的每一柱可具为锥形柱1410。
在一实施方案中,锥形柱1410在xy平面上具有圆形横截面。
在一实施方案中,锥形柱1410在xy平面上具有多角形横截面、或在xy平面上具有卵形横截面。
图14D为平顶柱468F的一实施方案的侧面图。平顶柱468F沿着x轴具有基本上均匀的宽度。例如,平顶柱468F的宽度与固定宽度相差在预定范围如±5%内。
清洁层1202(图12A)、清洁层1206(图12B)、清洁层1210(图12C)、清洁层1214(图12D)、清洁层1218(图12E)、清洁层1222(图12F)、清洁层1226(图12G)、清洁层1300(图13A)、清洁层1310(图13B)和清洁层1320(图13C)的每一柱可以是平顶柱468F。
在一实施方案中,平顶柱468F在xy平面上具有圆形横截面。
在一实施方案中,平顶柱468F在xy平面上具有多角形横截面、或在xy平面上具有卵形横截面。
图14E为凹顶柱1414的一实施方案的侧面图。凹顶柱1414具有凹陷部1416和平顶部1404B。凹顶柱1414在平顶部1404B的上部上形成凹陷部。凹陷部1416沿着z轴的向下方向成形为凹陷形状。凹陷部1416沿着x轴的宽度与平顶部1404B的宽度相同或基本相同。例如,凹陷部1416的直径与平顶部1404B的直径相同或基本相同。例如,凹陷部1416的宽度与平顶部1404B的宽度相差在预设范围如±5%内。
清洁层456的每一柱(图4C)可具有凹陷部1416而非平坦上部。例如,清洁层456的每一柱在平顶部1404B的上部上具有凹陷部1416。类似地,清洁层1202(图12A)、清洁层1206(图12B)、清洁层1210(图12C)、清洁层1214(图12D)、清洁层1218(图12E)、清洁层1222(图12F)、清洁层1226(图12G)、清洁层1300(图13A)、清洁层1310(图13B)和清洁层1320(图13C)的每一柱可具有凹陷部1416。
在一实施方案中,凹顶柱1414在xy平面上具有圆形横截面。
在一实施方案中,凹顶柱1414在xy平面上具有多角形横截面、或在xy平面上具有卵形横截面。
图14F为多面顶柱1418的一实施方案的侧面图。多面顶柱1418具有顶部1426和平顶部1404B。顶部1426位于平顶部1404B的上部上。顶部1426具有多个上表面1422与1424。上表面1424为上表面1422往下的台阶。每一上表面1422与1424都具有平坦上部。
清洁层456的每一柱(图4C)可以是多面顶柱1418。类似地,清洁层1202(图12A)、清洁层1206(图12B)、清洁层1210(图12C)、清洁层1214(图12D)、清洁层1218(图12E)、清洁层1222(图12F)、清洁层1226(图12G)、清洁层1300(图13A)、清洁层1310(图13B)和清洁层1320(图13C)的每一柱可以具有平顶部1404B。
在一实施方案中,多面顶柱1418在xy平面上具有圆形横截面。
在一实施方案中,多面顶柱1418在xy平面上具有多角形横截面、或在xy平面上具有卵形横截面。
图14G为槽口顶柱1430的一实施方案的侧面图。槽口顶柱1430具有顶部1432和平顶部1404B。顶部1432位于平顶部1404B的上部上。顶部1432具有形成在槽口顶柱1430的上表面1434内的槽口1436,例如凹口。
清洁层456的每一柱(图4C)可以是槽口顶柱1430。类似地,清洁层1202(图12A)、清洁层1206(图12B)、清洁层1210(图12C)、清洁层1214(图12D)、清洁层1218(图12E)、清洁层1222(图12F)、清洁层1226(图12G)、清洁层1300(图13A)、清洁层1310(图13B)和清洁层1320(图13C)的每一柱可以具有顶部1432。
在一实施方案中,槽口顶柱1430在xy平面上具有圆形横截面。
在一实施方案中,槽口顶柱1430在xy平面上具有多角形横截面、或在xy平面上具有卵形横截面。
图14H为突顶柱1440的一实施方案的侧面图。突顶柱1440具有顶部1442和平顶部1404B。顶部1442位于平顶部1404B的上部上。顶部1442具有形成在突顶柱1440的上表面1444上的多个突出部1446A、1446B和1446C。突出部1446A-1446C从上表面1444沿着向上方向突出或延伸。
清洁层456的每一柱(图4C)可以是突顶柱1440。类似地,清洁层1202(图12A)、清洁层1206(图12B)、清洁层1210(图12C)、清洁层1214(图12D)、清洁层1218(图12E)、清洁层1222(图12F)、清洁层1226(图12G)、清洁层1300(图13A)、清洁层1310(图13B)和清洁层1320(图13C)的每一柱可具有顶部1442。
在一实施方案中,突顶柱1440在xy平面上具有圆形横截面。
在一实施方案中,突顶柱1440在xy平面上具有多角形横截面、或在xy平面上具有卵形横截面。
图15A为清洁组件220(图2)的移动的一实施方案的图1502。图1502描绘了清洁组件220沿着z轴向上或向下移动的竖直距离对比清洁组件220移动的水平弯曲距离。水平弯曲距离被描绘于水平距离轴上而竖直距离被描绘于竖直距离轴上。
应注意,水平弯曲距离为清洁组件220在xy平面上以弧形式横跨的曲线形的距离。弧相对于或从心轴212(图2)的轴216形成。心轴212相对于心轴212的轴216(图2)旋转以使清洁组件220沿着水平距离轴移动。
清洁组件220开始于水平距离轴上的位置hd1处并沿着竖直方向向上移动,直到清洁组件220接触或接近喷头108(图1)为止。例如,清洁组件220从距离D1如高度H1(图6A)移动至距离D2如高度H2(图6B)。一旦清洁组件220接触或接近喷头108后,清洁组件220随着心轴212的旋转移动以清洁喷头108。当清洁组件220从位置hd1到达水平距离轴上的位置hd2时,清洁组件220沿着竖直方向向下移动以解除与喷头108的接触与接近。例如,当清洁组件220位于位置hd2处时,清洁组件220从高度H2向下移动至高度H1。
在一实施方案中,随着清洁组件220沿着水平距离轴相对于一轴移动,清洁组件220还相对于另一轴旋转。例如,旋转部408相对于轴413(图4A)旋转,以使清洁组件220随着心轴212相对于轴216旋转而同时旋转。
图15B为清洁组件220(图2)的移动的一实施方案的图1504。图1504描绘了清洁组件220沿着z轴移动的竖直距离对比清洁组件220移动的水平弯曲距离。
清洁组件220开始于水平距离轴上的位置hd1处并沿着竖直方向向上移动,直到清洁组件220接触或接近喷头108(图1)为止。例如,清洁组件220从距离D1如高度H1(图6A)移动至距离D2如高度H2(图6B)。一旦清洁组件220接触或接近喷头108之后,清洁组件220随着心轴212的旋转移动以清洁喷头108。
当清洁组件220从位置hd1到达水平距离轴上的位置hd21时,清洁组件220沿着竖直方向向下移动以解除与喷头108的接触与接近。例如,清洁组件220到达位置hd21并从高度H2向下移动至高度H1与H2之间的中间位置。
当清洁组件220位于高度H1与H2之间的中间高度处且位于位置hd21处时,随着心轴212旋转清洁组件220沿着水平弯曲距离移动而到达水平弯曲轴上的位置hd31。在高度H1与H2之间的中间高度处,清洁组件220不接近喷头108且不与喷头108接触。当清洁组件220位于高度H1与H2之间的中间高度处时,其不清洁喷头108。
清洁组件220从位置hd31沿着竖直方向向上移动,直到清洁组件220接触或接近喷头108(图1)。例如,清洁组件220从高度H1与H2之间的中间高度移动至高度H2。
当清洁组件220位于高度H2处且位于位置hd31处时,随着心轴212的旋转清洁组件220沿着水平弯曲距离移动到达水平弯曲轴上的位置hd2,以清洁喷头108。在到达位置hd2处时,清洁组件220沿着竖直方向向下移动以解除与喷头108的接触和接近。例如,清洁组件220到达位置hd2并且从高度H2向下移动至高度H1。
应注意,图1504中所示的清洁组件220与喷头108的底表面604C的接触方式有时在本文中被称为周期性的接触。例如,在位置hd1与hd21之间,清洁组件220与底表面604接触并沿着水平方向沿着x轴滑动。在位置hd21与hd31之间,清洁组件220不与底表面604接触。接着,在位置hd31与hd2之间,清洁组件220再次与底表面604接触并沿着x轴滑动。滑动在颗粒上施加力以从喷头108的底表面604(图6A)移除颗粒。在两个时间段发生周期性接触。当清洁组件220位于位置hd1与hd21之间时两个时间段中的第一时间段发生,而当清洁组件220位于位置hd31与hd2之间时两个时间段中的第二时间段发生。因此,清洁组件220与底表面604的接触周期性重复。
在一实施方案中,当清洁组件220从水平距离轴上的位置hd1到达位置hd21时,清洁组件220沿着竖直方向向下移动而解除与喷头108的接触但仍接近喷头108。
在一实施方案中,重复向上和向下移动如在位置hd1、hd21、hd31和hd2处多次,以如上所述清洁喷头108持续超过两个时间段。
图15C为清洁组件220(图2)的移动的一实施方案的图1506。图1506描绘了清洁组件220沿着z轴移动的竖直距离对比清洁组件220移动的水平弯曲距离。图1506与图1502(图15A)相同,不同的是在图1506中当清洁组件1506位于距离D2如高度H2(图6B)处时清洁组件1506沿着弧前后移动。
清洁组件1506的前后移动通过心轴212(图2)的前后移动来实现。例如,在心轴212相对于轴216旋转的期间,心轴212沿着顺时针方向移动第一时间段tp1、沿着逆时针方向移动第二时间段tp2、然后重复顺时针与逆时针的动作。时间段tp2紧接于时间段tp1之后。顺时针与逆时针的动作在一定时间段期间持续,在该时间段,清洁组件220位于位置hd1与hd2之间的高度H2。
在前后移动的期间,清洁组件1056与喷头108的底表面604C(图6A)接触或接近底表面604C。当处于接触状态时,清洁组件1056沿着x轴相对于底表面604滑动,以从底表面604C移除和/或吸引去除颗粒。颗粒通过静电力吸走。
图15D为清洁组件220(图2)的移动的一实施方案的图1508。图1508描绘了清洁组件220沿着z轴移动的竖直距离对比清洁组件220移动的水平弯曲距离。一旦清洁组件220(图2)到达水平距离hd1处的距离D2如高度H2(图6B),旋转部408(图4A)相对于轴413(图4A)和伸长部406(图4A)沿着顺时针方向、或逆时针方向、或顺时针与逆时针方向的组合旋转。旋转部408旋转以清洁喷头408。在清洁喷头108之后,清洁组件220沿着竖直方向向下移动至位置hd1处的距离D1,例如高度H1(图6B)。
图16A为FOUP 1600的一实施方案的侧面图。FOUP 1600包含外壳,外壳具有门1606和后壁1650E。FOUP 1600为舱204A或舱204B(图2)的示例。FOUP 1600具有前壁1650F、顶壁1650A和底壁1650C。FOUP1600还具有右侧壁1650B和左侧壁1650D。后壁1650E面对门1606和前壁1650F,顶壁1650A面对底壁1650C。门1606形成前壁1650F的一部分且利用门把1608开启和关闭。
顶壁1650A连接至或整合至右侧壁1650B、左侧壁1650D、前壁1650F和后壁1650E。后壁1650E连接至或整合至左侧壁1650D和右侧壁1650B。底壁1650C连接至或整合至右侧壁1650B、左侧壁1650D、前壁1650F和后壁1650E。
空间形成于后壁1650E与前壁1650F之间、FOUP 1600的左侧壁1650D与右侧壁1650B之间、FOUP 1600的顶壁1650A与底壁1650C之间。FOUP 1600为具有外壳的容器且当门1606关闭时为密闭的。FOUP 1600具有用于载运FOUP 1600的把手1602且具有用于将FOUP1600放置到EFEM的接口上的基底1604。
FOUP 1600具有多个支撑延伸部1610A、1610B、1610C和1610D。每一支撑延伸部1610A-1610D具有基底层,例如从后壁1650E沿着x轴方向延伸的基底层1616。
每一支撑延伸部1610A-1610D支撑清洁组件220。例如,支撑延伸部1610A具有从基底层1616延伸的多个柱或杆1614A、1614B和1614C。每一柱1614A-1614C在其顶部处具有沿着向下方向的凹陷,例如槽口或凹形表面,以容纳突出部412A-412C的对应项。例如,柱1614A具有凹陷如凹陷部1618以容纳突出部412A、柱1614B具有凹陷部以容纳突出部412B、柱1614C具有凹陷部以容纳突出部412C,以支撑清洁组件220。又例如,突出部412A配合柱1614A的上表面上的凹陷部1618、突出部412B配合柱1614B的上表面上的凹陷部、突出部412C配合柱1614C的上表面上的凹陷部。又例如,突出部412A竖直向下移动至凹陷部1618中并在移动后由凹陷部1618围绕。轴1620竖直通过由支撑延伸部1610A-1610D所形成的三角形平面的中心。
在一实施方案中,突出部412A-412C预先相对于柱1614A-1614C凹陷部对准,因此在其被放置到旋转部408上时不需要对准突出部412A-412C。例如,旋转部408在xy平面上相对于x轴的方位与柱1614A-1614C在xy平面上相对于x轴的方位相同或基本相同。例如,当柱1614A在xy平面上相对于x轴形成60度的角度时,突出部412A在xy平面上相对于x轴形成相同或预定范围内的角度,例如60度±2%的角度。在清洁组件220被放置到旋转部408上之前,不需要立即控制旋转部408以旋转和对准突出部412A-412C。相反,旋转部408具有对准的默认方位,在受到主计算机102(图1)控制和操作之后每一次都会到达该默认方位。
图16B为FOUP 1600的俯视图的一实施方案的图。基底1616自后壁1650E沿着x轴的负x方向延伸。柱1614A-1614C形成三角形图案以对清洁组件220提供运动学支撑。
图17A为用于储存多个清洁组件如清洁组件802的FOUP 1700的一实施方案的俯视图。FOUP 1700为舱204A或舱204B(图2)的示例。FOUP 1700具有与FOUP 1600(图16A)相同的结构,不同的是FOUP 1700包含支撑构件1702A和支撑构件1702B而非支撑延伸部如支撑延伸部1610A-1610D。支撑构件1702A连接至或整合至后壁1650E和左侧壁1650D且从壁1650D和1650E延伸进入FOUP 1700内的空间直到其可支撑清洁组件802的左缘为止。类似地,支撑构件1702B连接至或整合至后壁1650E和右侧壁1650B且从壁1650B和1650E延伸进入FOUP1700内的空间直到其可支撑清洁组件802的右缘为止。清洁组件802的右缘与清洁组件802的左缘相对。支撑构件1702A沿着y方向与支撑构件1702B对准以支撑清洁组件802的左缘与右缘。
FOUP 1700包含多个支撑构件如支撑构件1702A的柱,支撑构件连接至或整合至后壁1650E和左侧壁1650D。类似地,FOUP 1700包含多个支撑构件如支撑构件1702B的柱,支撑构件连接至或整合至后壁1650E和右侧壁1650B。支撑构件的每一柱沿着z方向延伸。
在一实施方案中,为了支撑清洁组件802,FOUP 1700包含FOUP 1600的支撑延伸部1610A-1610D但不具有支撑延伸部的柱如柱1614A-1614C。
图17B为用于储存多个清洁组件如清洁组件802的FOUP 1750的一实施方案的俯视图。FOUP 1750为舱204A或舱204B(图2)的示例。FOUP 1750具有与FOUP 1600(图16A)相同的结构,不同的是FOUP 1750包含齿1752A和齿1752B而非支撑延伸部如支撑延伸部1610A-1610D。有时齿在本文中被称为叉。齿1752A连接至或整合至后壁1650E且从后壁1650E延伸进入FOUP 1700内的空间以支撑清洁组件802的左缘。类似地,齿1752B连接至或整合至后壁1650E且从齿1752B延伸进入FOUP 1750内的空间以支撑清洁组件802的右缘。齿1752A沿着y方向与齿1752B对准以支撑清洁组件802的左缘与右缘。
FOUP 1750包含多个齿如齿1752A的柱,齿连接至或整合至后壁1650E。类似地,FOUP 1750包含多个齿如齿1752B的柱,齿连接至或整合至后壁1650E。齿的每一柱沿着z方向延伸。
图18A为清洁组件1800的一实施方案的仰视图,其图示了具有一个滚筒1804的滚筒系统1802。例如,沿着z方向观察清洁组件1800具有圆形横截面,且清洁组件1800具有一圆形侧表面,该圆形侧表面具有内表面部1808A与1808B。清洁组件1800的内表面的一半形成内表面部1808A,而内表面的剩下一半形成内表面部1808B。
清洁组件1800包含滚筒系统1802且具有外壳1820。外壳1820形成开口1823。内表面部1808A与1808B面对开口1823。滚筒系统1802具有棒1806和滚筒1804。棒有时在本文中被称为杆。棒1806由金属如铝或铝合金制成。又例如,棒1806由陶瓷制成。滚筒1804由清洁材料制成。例如,滚筒1804具有围绕棒1806的清洁层456(图4C)、或清洁层804(图10)、或清洁层1202、或1206、或1210、或1214、或1218、或1222、或1226(图12A-12G)。
滚筒1804具有均匀或基本上均匀的外直径。例如,滚筒1804的外表面1803沿着棒1806的中心轴1810的直径与预定直径相差在1-2%内。中心轴1810通过棒1806的质心。滚筒1804的外表面1803与内表面1805相对。内表面1805与棒1806相邻且外表面1803不与棒1806相邻。内表面1805比内表面1805更接近中心轴1810。内表面1805与棒1806之间产生间隙以促进滚筒1804围绕棒1806旋转如滚动以用于清洁喷头108。
棒1806附接至清洁组件1800的内表面部1808A与1808B。滚筒1804围绕着棒1806以绕着棒1806的中心轴1810滚动。例如,在滚筒1804围绕棒1806滚动之后,滚筒1804的一边缘附接至(如粘附至或化学接合至)滚筒1804的相对边缘,以使滚筒1804围绕棒1806。又例如,利用模塑机制造滚筒1804以具有沿着滚筒1804长度延伸的孔洞,使棒1806能够通过孔洞。随着心轴212(图2)绕着轴216(图2)旋转、或旋转部408(图4A)绕着轴413(图4A)旋转、或其组合,滚筒1804相对于中心轴1810滚动。滚筒1804滚动以清洁喷头108。
图18B为清洁组件1800的一实施方案的侧面图。清洁组件1800的外壳1820(图18A)包含侧表面部462B与462D和底表面462C。外壳1820还具有从底表面462C的平坦部延伸的突出部412A-412C。外壳1820的开口1823被清洁组件1800的内表面和底表面462C围绕。开口1823并未被外壳1820的上表面围绕。
滚筒系统1802的接收器附接件1822A附接至如拧紧至外壳1820的内表面部1808A,且滚筒系统1802的另一接收器附接件1822B附接至内表面部1808B。接收器附接件1822A与1822B由金属如铝或铝合金制成。接收器附接件1822A具有螺纹1814A而接收器附接件1822B具有螺纹1814B。
棒1806在其两端中的每一端处具有螺纹1812A与1812B。当螺纹1812A与螺纹1814A接合时,棒1806配合接收器附接件1822A。类似地,当螺纹1812B与螺纹1814B接合时,棒1806配合接收器附接件1822B。
在一实施方案中,滚珠轴承被插入内表面部1808A与1808B中并固定于其中且滚筒1804相对于棒1806固定,而非棒1806固定至内表面部1808A与1808B且滚筒1804绕着棒1806旋转。棒1806附接至滚珠轴承。例如,使用滚珠轴承而非接收器附接件1822A与1822B。滚筒1804相对于棒1806固定以在滚筒1804的内表面1805与棒1806之间无间隙或有最小间隙,以使滚筒1804不绕着棒1806旋转。随着滚珠轴承旋转,滚筒1804和棒1806绕着中心轴1810旋转。随着心轴212绕着轴216旋转或旋转部408绕着轴413旋转,滚珠轴承旋转。
图19A为清洁组件1900的一实施方案的图,其图示了具有两个滚筒1902A与1902B的滚筒系统1901。清洁组件1900的结构与清洁组件1800(图18A)相同,不同的是清洁组件1900具有两个滚筒1902A与1902B而非一个滚筒。
每一滚筒1902A与1902B由清洁材料制成。例如,每一滚筒1902A与1902B都具有围绕棒1806的清洁层456(图4C)、或清洁层804(图10)、或清洁层1202、或1206、或1210、或1214、或1218、或1222、或1226(图12A-12G)。按与如上参考滚筒1804(图18A)所述的方式相同的方式,每一滚筒1902A与1902B都具有基本上均匀的直径或均匀的直径。
每一滚筒1902A与1902B都围绕棒1806以围绕棒1806的中心轴1810滚动。例如,在滚筒1902A围绕棒1806滚动之后,滚筒1902A的一边缘附接至(如粘附至或化学接合至)滚筒1902A的相对边缘,以使滚筒1902A围绕棒1806。又例如,在滚筒1902B围绕棒1806滚动之后,滚筒1902B的一边缘以相同方式附接至滚筒1902B的相对边缘,以使滚筒1902B围绕棒1806。又例如,利用模塑机制造滚筒1902A以具有沿着滚筒1902A长度延伸的孔洞以使棒1806能够通过孔洞,利用模塑机制造滚筒1902B以具有沿着滚筒1902B长度延伸的孔洞以使棒1806能够通过孔洞。随着心轴212(图2)相对于轴216(图2)旋转、或旋转部408(图4A)相对于轴413(图4A)旋转、或其组合,每一滚筒1902A与1902B相对于中心轴1810滚动。每一滚筒1902A与1902B滚动以清洁喷头108。每一滚筒1902A与1902B围绕棒1806而在滚筒的内表面与棒1806之间产生间隙,以促进滚筒相对于棒1806旋转。产生每一滚筒1902A与1902B的内表面与棒1806之间的间隙的产生方式与在滚筒1804(图18A)的内表面1805与棒1806之间的间隙的产生方式相同。
图19B为清洁组件1900的一实施方案的侧面图。外壳1820具有接收器附接件1822A与1822B。接收器附接件1822A与具有螺纹1812A的棒1806的末端(图18B)接合,接收器附接件1822B与具有螺纹1812B的棒1806的相对末端(图18B)接合。
在一实施方案中,两个以上的滚筒如三个或四个滚筒围绕棒1806以清洁喷头108。
在一实施方案中,滚珠轴承被插入内表面部1808A与1808B中并固定于其中且滚筒1902A与1902B相对于棒1806固定,而非棒1806固定至内表面部1808A与1808B且滚筒1902A与1902B围绕棒1806旋转。棒1806附接至滚珠轴承。例如,使用滚珠轴承而非接收器附接件1822A与1822B。滚筒1902A与1902B相对于棒1806固定以在滚筒1902A与1902B的内表面与棒1806之间无间隙或有最小间隙,以使滚筒1902A与1902B不围绕棒1806旋转。随着滚珠轴承旋转,滚筒1902A与1902B和棒1806围绕中心轴1810旋转。随着心轴212围绕轴216旋转或旋转部408围绕轴413旋转,滚珠轴承旋转。
图20A为清洁组件2000的一实施方案的俯视图,其图示了滚筒系统2001,其中每一滚筒围绕两根棒。清洁组件2000包含外壳1820且具有与系统1900(图19A)相同的结构,不同的是清洁组件2000包含滚筒系统2001。清洁组件2000的滚筒系统2001包含棒1806、另一棒2006和滚筒2002A与2002B。
每一滚筒2002A与2002B围绕棒1806与2006。例如,在滚筒2002A围绕棒1806和2006滚动之后,滚筒2002A的一边缘附接至(如粘附至或化学接合至)滚筒2002A的相对边缘,以使滚筒2002A围绕棒1806与2006。又例如,在滚筒2002B在围绕棒1806与2006滚动之后,滚筒2002B的一边缘以相同方式附接至滚筒2002B的相对边缘,以使滚筒2002B围绕棒1806与2006。又例如,利用模塑机制造滚筒2002A以具有沿着滚筒2002A长度延伸的孔洞以使棒1806与2006能够通过孔洞,利用模塑机制造滚筒2002B以具有沿着滚筒2002B长度延伸的孔洞以使棒1806与2006能够通过孔洞。按与如上参考滚筒1804(图18A)所述的方式相同的方式,每一滚筒2002A与2002B都具有基本上均匀的直径或均匀的直径。每一滚筒2002A与2002B围绕棒1806与2006而在滚筒的内表面与棒1806与2006之间产生间隙,以促进滚筒相对于棒1806与2006旋转。
棒2006由金属如铝或铝合金制成。又例如,棒2006由陶瓷制成。每一滚筒2002A与2002B由清洁材料制成。例如,滚筒1804具有围绕棒1806与2006的清洁层456(图4C)、或清洁层804(图10)、或清洁层1202、或1206、或1210、或1214、或1218、或1222、或1226(图12A-12G)。
棒2006附接至内表面部1808A与1808B的方式与棒1806附接至内表面部1808A与1808B的方式相同。例如,接收器附接件2004A拧紧至内表面部1808A,且另一接收器附接件2004B拧紧至内表面部1808B。
棒2006的第一端与接收器附接件2004A接合的方式与棒1806与接收器附接件1822A接合的方式相同。例如,在棒2006的第一端处的螺纹与接收器附接件2004A的螺纹接合。类似地,棒2006的第二端与接收器附接件2004B接合的方式与棒1806与接收器附接件1822B接合的方式相同。例如,在棒2006的第二端处的螺纹与接收器附接件2004B的螺纹接合。棒2006具有通过棒2006的质心的中心轴2008。
随着心轴212(图2)相对于轴216(图2)旋转、或旋转部408(图4A)相对于轴413(图4A)旋转、或其组合,滚筒2002A与2002B相对于中心轴1810与2008滚动。滚筒2002A与2002B滚动以清洁喷头108。
在一实施方案中,清洁组件2000包含围绕棒1806与2006的超过两个的(例如三个或四个或五个)滚筒2002A与2002B。
在一实施方案中,滚珠轴承被插入内表面部1808A与1808B中并固定于其中且滚筒2002A与2002B相对于棒1806与2006固定,而非棒1806与2006固定至内表面部1808A与1808B且滚筒2002A与2002B围绕棒1806与2006旋转。棒1806与2006附接至滚珠轴承。例如,可使用一对滚珠轴承而非接收器附接件1822A与1822B,可使用另一对滚珠轴承而非接收器附接件2004A与2004B。滚筒2002A与2002B相对于棒1806与2006固定而不会围绕棒1806与2006旋转。例如,在滚筒2002A与2002B的内表面与棒1806与2006之间无间隙或有最小间隙,以使滚筒2002A与2002B紧密适配在棒1806与2006周围。随着滚珠轴承旋转,滚筒2002A与2002B和棒1806与2006围绕中心轴1810旋转。随着心轴212围绕轴216旋转或旋转部408围绕轴413旋转,滚珠轴承旋转。
图20B为清洁组件2050的一实施方案的俯视图,其图示了具有多个棒1806与2006和围绕棒1806与2006中的每一者的滚筒的滚筒系统2051。清洁组件2050包含外壳1820且具有与清洁系统2000(图20A)相同的结构,不同的是清洁组件2050包含滚筒系统2051。清洁组件2050的滚筒系统2051包含滚筒2052A、2052B、2052C和2052D。
每一滚筒2052A与2052B围绕棒1806,每一滚筒2052C与2052D围绕棒2006。例如,在滚筒2052A围绕棒1806滚动之后,滚筒2052A的一边缘附接至(如粘附至或化学接合至)滚筒2052A的相对边缘,以使滚筒2052A围绕棒1806。又例如,在滚筒2052B围绕棒1806滚动之后,滚筒2052A的一边缘以相同方式附接至滚筒2052B的相对边缘,以使滚筒2052B围绕棒1806。又例如,在滚筒2052C围绕棒2006滚动之后,滚筒2052C的一边缘附接至(如粘附至或化学接合至)滚筒2052C的相对边缘,以使滚筒2052C围绕棒2006;在滚筒2052D围绕棒2006滚动之后,滚筒2052D的一边缘以相同方式附接至滚筒2052D的相对边缘,以使滚筒2052D围绕棒2006。又例如,利用模塑机制造滚筒2052A以具有沿着滚筒2052A长度延伸的孔洞以使棒1806能够通过孔洞,利用模塑机制造滚筒2052B以具有沿着滚筒2052B长度延伸的孔洞以使棒1806能够通过孔洞。又例如,利用模塑机制造滚筒2052C以具有沿着滚筒2052C长度延伸的孔洞以使棒2006能够通过孔洞,利用模塑机制造滚筒2052D以具有沿着滚筒2052D长度延伸的孔洞以使棒2006能够通过孔洞。
每一滚筒2052A与2052B围绕棒1806而在滚筒的内表面与棒1806之间产生间隙,以促进滚筒相对于棒1806旋转。每一滚筒2052A与2052B的内表面与棒1806之间的间隙的产生方式与在滚筒1804(图18A)的内表面1805与棒1806之间的间隙的产生方式相同。类似地,每一滚筒2052C与2052D围绕棒2008而在滚筒的内表面与棒2008之间产生间隙,以促进滚筒相对于棒2008旋转。
每一滚筒2052A、2052B、2052C和2052D由清洁材料制成。例如,滚筒2052A具有围绕棒1806的清洁层456(图4C)、或清洁层804(图10)、或清洁层1202、或1206、或1210、或1214、或1218、或1222、或1226(图12A-12G)。按如上参考滚筒1804(图18A)所述的方式相同的方式,每一滚筒2052A、2052B、2052C和2052D都具有基本上均匀的直径或均匀的直径。
随着心轴212(图2)相对于轴216(图2)旋转、或旋转部408(图4A)相对于轴413(图4A)旋转、或其组合,滚筒2052A与2052B相对于中心轴1810滚动,而滚筒2052C与2052D相对于中心轴2008滚动。滚筒2052A、2052B、2052C和2052D滚动以清洁喷头108。
在一实施方案中,清洁组件2050包含围绕棒1806与2006中的每一者的多于两个的(例如三个或四个或五个)滚筒2002A与2002B。
在一实施方案中,清洁组件2050具有围绕棒1806与2006中的每一者的滚筒。例如,第一滚筒如滚筒1804(图18A)围绕棒1806,而第二滚筒如滚筒1804围绕棒2006。
在一实施方案中,滚珠轴承被插入内表面部1808A与1808B中并固定于其中且滚筒2052A与2052B相对于棒1806固定,而非棒1806固定至内表面部1808A与1808B且滚筒2052A与2052B围绕棒1806旋转。棒1806附接至滚珠轴承。例如,可使用滚珠轴承而非接收器附接件1822A与1822B。滚筒2052A与2052B相对于棒1806固定以在滚筒2052A与2052B的内表面与棒1806之间无间隙或有最小间隙,以使滚筒2052A与2052B不围绕棒1806旋转。随着滚珠轴承旋转,滚筒2052A与2052B和棒1806围绕中心轴1810旋转。随着心轴212围绕轴216旋转或旋转部408围绕轴413旋转,滚珠轴承旋转。
此外,在该实施方案中,滚珠轴承被插入内表面部1808A与1808B中并固定于其中且滚筒2052C与2052D相对于棒2006固定,而非棒2006固定至内表面部1808A与1808B且滚筒2052C与2052D围绕棒2006旋转。棒2006附接至滚珠轴承。例如,可使用滚珠轴承而非接收器附接件2004A与2004B。滚筒2052C与2052D相对于棒2006固定以在滚筒2052C与2052D的内表面与棒1806之间无间隙或有最小间隙,以使滚筒2052C与2052D不围绕棒2006旋转。随着滚珠轴承旋转,滚筒2052C与2052D和棒2006围绕中心轴2008旋转。随着心轴212围绕轴216旋转或旋转部408围绕轴413旋转,滚珠轴承旋转。
图21A为具有清洁层2102和压板806的滚筒2100的一实施方案的侧面图。清洁层2102的示例包含围绕压板806的清洁层456(图4C)、或清洁层804(图10)、或清洁层1202、或1206、或1210、或1214、或1218、或1222、或1226(图12A-12G)。
压板806围绕棒2106以在压板806的内表面2105(图21B)与棒2106之间形成间隙2103(图21B)。例如,在压板806围绕棒2106滚动之后,压板806的一边缘附接至(如粘附至或化学接合至)压板806的相对边缘,以使压板806围绕棒2106。又例如,利用模塑机制造一卷压板806以具有沿着压板806长度延伸的孔洞以使棒2106能够通过孔洞。棒2106为棒1806(图18A)、或棒2008(图20A)的示例。棒2106具有通过棒2106的质心的中心轴2108。
应注意,使用具有清洁层2102和压板806的滚筒2100,而非具有清洁层而不具有压板的滚筒1804(图18A)、1902A(图19A)、1902B(图19A)、2052A(图20B)、2052B(图20B)、2052C(图20B)和2052D(图20B)中的任何一者。
图21B为滚筒2100的一实施方案的另一侧面图。压板806附接至(如粘附至或化学接合至)清洁层2102。压板806围绕棒1806以在压板806的内表面2105与棒1806之间产生间隙2103,以促进压板806相对于棒1806的旋转。压板806的内表面2105与棒1806之间的间隙2103的产生方式与滚筒1804的内表面1805(图18A)与棒1806之间的间隙的产生方式相同。间隙2103沿着滚筒2100的长度延伸。
在一实施方案中,滚珠轴承被插入内表面部1808A与1808B中并固定于其中且滚筒2100相对于棒2106固定,而非棒2106固定至内表面部1808A与1808B(图18A)且滚筒2100围绕棒2106旋转。棒2106附接至滚珠轴承。例如,可使用滚珠轴承而非接收器附接件1822A与1822B(图18B)。滚筒2100相对于棒2106固定以在压板806的内表面2105与棒2106之间无间隙或有最小间隙,以使滚筒2100不围绕棒2106旋转。随着滚珠轴承旋转,滚筒2100和棒2106围绕中心轴2108旋转。随着心轴212围绕轴216旋转或旋转部408围绕轴413旋转,滚珠轴承旋转。
图21C为滚筒2120的一实施方案的侧面图,其图示了具有多个突出部2124A、2124B、2124C和2124D的压板2122。突出部2124A-2124D从压板2122的外表面2130延伸。例如,突出部2124A-2124D自棒2106的中心轴2108向外或远离其延伸。滚筒2120还包含具有多个退缩部2128A、2128B、2128C和2128D的清洁层2126。
压板2122的结构与压板806(图21B)的结构相同,不同的是压板2122具有突出部2124A-2124D。压板2122围绕棒1806以在压板2122的内表面与棒1806之间形成间隙,以促进压板2122相对于棒1806旋转。压板2122的内表面与棒1806之间的间隙的产生方式与滚筒1804的内表面1805(图18A)与棒1806之间的间隙的产生方式相同。
清洁层2126由清洁材料制成。例如,清洁层2126的结构与清洁层2102相同,不同的是清洁层2126具有退缩部2128A-2128D。
每一退缩部2128A-2128B延伸进入清洁层2126的内表面2132。例如,退缩部2128A-2128B自棒2106的中心轴2108向外或远离其延伸。内表面2132与压板2122的外表面2130相邻。
压板2122的每一突出部延伸进入清洁层2126的对应退缩部。例如,突出部2124A延伸进入退缩部2128A以配合或接合退缩部2128A。类似地,剩余突出部2124B-2124D中的每一者延伸进入退缩部2128B-2128D中的相应的一者以配合或接合退缩部。
在一实施方案中,除了突出部2124A-2124D和退缩部2128A-2128D之外,清洁层2126的内表面2132附接至(如粘附至或化学接合至)压板2122的外表面2130。
在一实施方案中,压板2122包含多于或少于四个突出部且清洁层2126包含与压板2122的突出部相同数目的退缩部。
在一实施方案中,滚珠轴承被插入内表面部1808A与1808B中并固定于其中且滚筒2120相对于棒2106固定,而非棒2106固定至内表面部1808A与1808B(图18A)且滚筒2120围绕棒2106(图21A)旋转。棒2106附接至滚珠轴承。例如,可使用滚珠轴承而非接收器附接件1822A与1822B(图18B)。滚筒2120相对于棒2106固定以在滚筒2120的内表面2105与棒2106之间无间隙或有最小间隙,以使滚筒2120不围绕棒2106旋转。随着滚珠轴承旋转,滚筒2120和棒2106围绕中心轴2108旋转。随着心轴212围绕轴216旋转或旋转部408围绕轴413旋转,滚珠轴承旋转。
图22为具有惰轮滚筒2204的清洁组件2200的一实施方案的侧面图。清洁组件2200包含外壳1820和滚筒2202。惰轮滚筒2204附接至(如粘附至、或化学接合至、或拧紧至)外壳1820的底表面462C。惰轮滚筒2204相对于外壳1820固定。
滚筒2202沿着y轴或惰轮滚筒2204的中心轴2210具有多个凹痕2214A与2214B。例如,凹痕2214A与2214B从滚筒2202的平坦表面2218向内延伸。例如,凹痕2214A与2214B朝向滚筒2202的中心轴2212延伸以在平坦表面2218中形成凹陷区域。中心轴2212通过滚筒2202的质心且中心轴2210通过惰轮滚筒2204的质心。
随着心轴212(图2)相对于轴216(图2)旋转、或旋转部408(图4A)相对于轴413(图4A)旋转、或其组合,滚筒2202相对于惰轮滚筒2204上的中心轴2212滚动且沿着中心轴2210在y方向上移动。滚筒2202滚动以清洁喷头108。
在一实施方案中,惰轮滚筒2204附接至(如粘附至、或化学接合至、或拧紧至)外壳1820的内表面部1808A与1808B而非惰轮滚筒2204附接至底表面462C。
图23A为系统2300的一实施方案的图,其图示了清洁组件2301。清洁组件2301包含外壳1820和锥形的滚筒2302。例如,清洁组件2301具有与清洁组件1800(图18A)的结构相同的结构,不同的是清洁组件2301具有滚筒2302而非滚筒1804。
系统2300包含清洁组件2301、心轴212和具有伸长部406和旋转部408(图4A)的臂404(图4A)。
滚筒2302具有与滚筒1804(图18A)所具有的结构相同的结构,不同的是滚筒2302为锥形的。例如,滚筒2302具有围绕棒1806的清洁层456(图4C)、或清洁层804(图10)、或清洁层1202、或1206、或1210、或1214、或1218、或1222、或1226(图12A-12G),清洁层按如下所述的方式为锥形。又例如,滚筒2302具有压板806和清洁层2102(图21B),不同的是清洁层2102是锥形的。
滚筒2302朝向心轴212呈锥形,以致于滚筒2302的末端2304A的外直径OD1大于滚筒2302的相对末端2304B的外直径OD2。滚筒2302沿着棒1806的中心轴1810的直径是非均匀的。例如,外直径OD1比直径OD2大5%。例如,外直径OD2比直径OD1大5%至15%之间。又例如,外直径OD2比直径OD1大2%。例如,外直径OD2比直径OD1大介于2%至15%之间。
末端2304A位于与末端2304B相反的方向且面对心轴212。末端2304B背离心轴212。例如,末端2304A比末端2304B更靠近心轴212。又例如,末端2304A位于心轴212的轴216的半径R1处,而末端2304B位于轴216的半径R2处,且半径R2大于半径R1。
每一外直径OD1与OD2都是滚筒2302的外表面2306的直径。类似地,滚筒2302具有围绕棒1806且与棒1806相邻的内表面2310。外表面2306不与棒1806相邻。此外,相比于内表面2310与中心轴1810之间的距离,外表面2306和棒1806的中心轴1810之间的距离更远。
内表面2310围绕棒1806而在内表面2310与棒1806之间产生间隙,以促进滚筒2302相对于棒1806旋转。滚筒2302的内表面2310与棒1806之间的间隙的产生方式与在滚筒1804(图18A)的内表面1805与棒1806之间的间隙的产生方式相同。
滚筒2302围绕棒1806。例如,在滚筒2302围绕棒1806滚动之后,滚筒2302的一边缘附接至(如粘附至或化学接合至)滚筒2302的相对边缘,以使滚筒2302围绕棒1806。又例如,利用模塑机制造滚筒2302以具有沿着滚筒2302长度延伸的孔洞以使棒1806能够通过孔洞。
随着心轴212相对于轴216旋转、或旋转部408(图4A)相对于轴413(图4A)旋转、或其组合,滚筒2302相对于棒1806的中心轴1810滚动。滚筒2302滚动以清洁喷头108。例如,外直径OD1与OD2的比率和半径R1与R2的比率相同。外直径OD1与OD2的比率和半径R1与R2的比率的匹配能促进随着滚筒2302围绕中心轴1810旋转而均匀地清洁喷头108。
在一实施方案中,滚珠轴承被插入内表面部1808A与1808B中并固定于其中且滚筒2302相对于棒1806固定,而非棒1806固定至内表面部1808A与1808B且滚筒2302围绕棒1806旋转。棒1806附接至滚珠轴承。例如,可使用滚珠轴承而非接收器附接件1822A与1822B(图18B)。滚筒2302相对于棒1806固定以在滚筒2302的内表面2310与棒1806之间无间隙或有最小间隙,以使滚筒2302不围绕棒1806旋转。随着滚珠轴承旋转,滚筒2302和棒1806围绕中心轴1810旋转。随着心轴212围绕轴216旋转或旋转部408围绕轴413旋转,滚珠轴承旋转。
图23B为系统2300的一实施方案的侧面图。系统2300包含清洁组件2301、心轴212和臂404。清洁组件2301的底表面462C通过突出部414A-414C被支撑于旋转部408上并配合至旋转部408。
图24为系统2400的一实施方案的俯视图,其图示了清洁组件2402,其中使用两个滚筒2302与2404而非一个滚筒。系统2400包含清洁组件2402、心轴212和臂404(图4A)。清洁组件2402的结构与清洁组件2050(图20B)相同,不同的是清洁组件2402包含滚筒2302和滚筒2405而非滚筒2052A-2052D(图20B)。例如,清洁组件2402具有外壳1820和滚筒2402与2405。又例如,滚筒2302围绕棒1806而非滚筒2052A与2052B围绕棒1806,滚筒2404围绕棒2006而非滚筒2052C与2052D围绕棒2006。
滚筒2405具有与滚筒2302的结构相同的结构。例如,滚筒2405朝向心轴212呈锥形,因此其外直径OD3小于外直径OD4。此外,外直径OD3与OD4的比率和半径R1与R2(图23)的比率相同。
滚筒2404的内表面2408围绕棒2006而在内表面2408与棒2006之间产生间隙,以促进滚筒2404相对于棒2006旋转。滚筒2404的内表面2408与棒2006之间的间隙的产生方式与在滚筒1804(图18A)的内表面1805与棒1806之间的间隙的产生方式相同。
在一实施方案中,在清洁组件2402中使用超过两个(如三个、四个)锥形滚筒。
在一实施方案中,滚珠轴承被插入内表面部1808A与1808B中并固定于其中且滚筒2404相对于棒2006固定,而非棒2006固定至内表面部1808A与1808B且滚筒2404围绕棒2006旋转。棒2006附接至滚珠轴承。例如,可使用滚珠轴承而非接收器附接件2004A与2004B。滚筒2404相对于棒2006固定以在滚筒2404的内表面2408与棒2006之间无间隙或有最小间隙,以使滚筒2404不围绕棒2006旋转。随着滚珠轴承旋转,滚筒2404和棒2006围绕中心轴2008旋转。随着心轴212围绕轴216旋转或旋转部408围绕轴413旋转,滚珠轴承旋转。
图25A为清洁组件2500的一实施方案的等距视图。清洁组件2500为清洁组件220(图2)和清洁组件222(图2)的示例。清洁组件2500也是清洁组件550(图5C)的示例,不同的是清洁层456被垫252所取代。
清洁组件2500包含垫2502、压板2504和支撑板2506。垫2502以模塑机制造。垫2502的示例为聚合物垫。例如,沿着z轴,垫2502具有0.03英寸或接近0.03英寸的厚度。例如,垫2502具有0.029英寸(0.029”)至0.031英寸之间的厚度范围。例如,垫2502的重量为0.246磅(lbs)或接近0.246lbs。例如,垫2502的重量介于0.244lbs与0.248磅之间。
垫2502的尺寸比压板2504的尺寸更小以应对垫2502的热膨胀。例如,当垫2502配合至压板2504的上部上时,压板2504的边缘2503被暴露。例如,压板2504沿着轴的宽度大于垫2502沿着y轴的宽度。可将压板2504的被暴露的板缘2503用于清洁组件2500在臂404(图4A)的旋转部408(图4A)上的自动居中。
例如,垫2502具有伸长的形状,此形状为方形或矩形与两个半圆形的组合。两个半圆形中的一者配合至方形或矩形的周长的一侧。两个半圆形中的另一者配合至方形或矩形的周长的相对侧。类似地,压板2504具有伸长的形状,该形状为方形与两个半圆形的组合。例如,压板2504的每一半圆形具有6.5英寸或接近6.5英寸的半径。例如,压板2504的每一半圆形具有介于6.3英寸与6.7英寸之间的半径。
此外,压板2504以模塑机制造且支撑板2506以模塑机制造。例如,压板2504由氧化铝或陶瓷制成。此外,例如,沿着z轴,压板2504具有0.03英寸或接近0.03英寸的厚度。例如,压板2504的厚度范围介于0.029英寸与0.031英寸之间。例如,压板2504的重量为0.533lbs或接近.533lbs。例如,压板2504的重量介于0.530磅与0.535磅之间。
此外,如图25A中所示,当压板2504耦合至支撑板2506时,支撑板2506的边缘2507暴露。相比于压板2504的边缘2503,支撑板2506的边缘2507暴露。例如,支撑板2506的直径大于压板2504沿着y轴的宽度。如下面所述,边缘2507暴露以进行缺口对准。
例如,沿着z轴支撑板2506具有0.06英寸或接近0.06英寸的厚度。例如,支撑板2506的厚度范围介于0.055英寸与0.065英寸之间。又例如,支撑板2506的重量为0.925lbs或接近0.925lbs。例如,支撑板2506的重量介于0.9磅与1磅之间。例如,支撑板2506具有300毫米(mm)或接近300mm的直径。例如,支撑板3506的直径范围介于290mm与310mm之间。
沿着x轴,压板2504的长度大于压板2504的宽度。例如,压板2504的长度为13英寸或接近13英寸且压板2504的宽度为12英寸或接近12英寸。例如,压板2504的长度范围介于12.5英寸与13.5英寸之间且压板2504的宽度范围介于10英寸与12英寸之间。
垫2502为清洁层804(图8)的示例。压板2504为压板554(图5C)和压板806(图8)的示例。支撑板2506为支撑部452(图4C)的示例。支撑板2506为支撑部552(图5C)的示例。垫2502配合至压板2504的上部上,压板耦合至支撑板2506上。
在一实施方案中,垫2502被清洁层456(图4C)所取代。
在一实施方案中,垫2502为清洁层456的平坦层1102(图4C)的示例且多个柱形成在垫2502的上部上。
图25B为位于减压位置的清洁组件2500的一实施方案的侧面图。清洁组件2500包含弹簧2508A和销2510A。弹簧2508A的示例为金属波形弹簧如不锈钢波形弹簧。弹簧2508A控制由垫2502施加至喷头108(图1)的力。弹簧2508A围绕销2510A。例如,销2510A沿着z轴或弹簧2508A的长度通过弹簧2508A,以使销2510A的长度被弹簧2508A的长度围绕。
销2510A以模塑机制造。销2510A附接至如接合至压板2504。例如,销2510A沿着z轴延伸通过压板2505的厚度以化学接合至压板2504。销2510A延伸通过压板2505的厚度而达到垫2502。
支撑板2506包含用于容纳销2510A的孔洞且孔洞沿着z轴延伸通过支撑板2506的厚度。支撑板2506还包含上部2514和用于容纳维持环2512A的孔2513A。维持环2512A以模塑机制造。销2510A具有用于容纳维持环2512A的槽口2516且维持环2512A环绕槽口2516以被槽口2516所留置。槽口2516是形成在销2510A的侧表面上的凹穴。
支撑板2506具有上部2514,弹簧2508A配合至上部2514。例如,弹簧2508A附接至上部2514以配合至上部2514。例如,弹簧2508A通过螺丝而附接至上部2514。
支撑板2506在其底部处具有孔2513A以使维持环2512A和销2510A进入和离开。孔2513A为形成在支撑板2506的底表面2506C中的槽口。孔2513A与上部2514相邻且在维持环2512A位于支撑板2506内时围绕销2510A的一部分和维持环2512A。
弹簧2508A、销2510A和维持环2512A有时在本文中被称为弹簧机构。压板2504与支撑板2506之间的多个弹簧机构有时在本文中被称为压缩接口。
弹簧2508A配合至压板2504的底表面2504C。例如,弹簧2508A附接至底表面2504C以配合至底表面2504C。例如,弹簧2508A利用螺丝而附接至底表面2504C。
清洁组件2500沿着z轴的总厚度介于支撑部2506的底表面2506C与垫2502的上表面2502A之间。例如,清洁组件2502的总厚度为0.245英寸或接近0.245英寸。例如,总厚度范围从0.225英寸至0.265英寸。此外,例如,清洁组件2500的总重量为1.7lbs或接近1.7lbs。例如,清洁组件2500的总重量范围介于1.65lbs与1.75lbs之间。
压板2504和垫2502沿着z轴相对于支撑板2506处于减压位置中。此外,在减压位置中,维持环2510A邻接或几乎邻接上部2514。例如,在清洁组件2500的减压位置中,维持环2510A位于孔2513A内且沿着z轴位于距离上部2514的预定距离处。
在一实施方案中,清洁组件2500包含任何数量的弹簧机构。例如,清洁组件2500包含三个弹簧机构且在沿着z方向观察时三个弹簧机构形成三角形的顶点。
在一实施方案中,当将15lbf的力施加至臂时,每一臂214A-214D(图2)断裂。因此可将施加至每一臂214A-214D的力的量限制在5lbf,5lbf为15lbf的三分之一。清洁组件2500的重量为1.7lbs。因此,搁置于臂上的清洁组件2500施加至每一臂214A-214D的最大压力为5lbf与1.7lbf之间的差,此差的量可以是3.3lbf。垫2502和压板2504的总重量为0.78lbs。因此,在50%压缩时,垫2502和压板2504以及在压板2504与支撑板2506之间的弹簧施加至每一臂214A-214D上的力的最大量为3.3lbf与0.78lbs的总和,其为4.08lbf。4.08lbf的力在816平方厘米上为18.15牛顿(N)。18.15N/cm2的力的量等于0.022N/cm2。又例如,在50%压缩时,垫2502和压板2504以及在压板2504与支撑板2506之间的弹簧施加至每一臂214A-214D上的力的最大量介于0.01N/cm2与0.1N/cm2之间。
图25C为处于压缩位置的清洁组件2500的一实施方案的侧面图。压板2504和垫2502相对于支撑板2506沿着z轴处于压缩位置。例如,相比于在减压位置中压板2504和垫2502沿着z轴的距离,压板2504和垫2502沿着z轴更靠近支撑板2506的上表面2506A。例如,在减压位置中压板2504与支撑板2506之间沿着z轴的距离范围介于0.12英寸与0.13英寸之间。还例如,在减压位置中压板2504与支撑板2506之间的距离为0.125英寸。
此外,相比于在减压位置中维持环2512A沿着z轴距离上部5214的预定距离,在压缩位置中维持环2512A沿着z轴距离支撑板2506的上部2514更远。例如,相比于在减压位置中维持环2512A距离底表面2506C的距离,在压缩位置中维持环2512A沿着z轴距离支撑板2506的底表面2506C更远。又例如,在压缩位置中维持环2512A位于孔2513A的外部。在减压位置中,维持环2512A位于孔2513A内。以此方式,弹簧2508A在清洁喷头108期间提供垫2502的移动程度。移动程度通过清洁组件2500在压缩位置与减压位置之间转换而提供。
图26A为压板2504的一实施方案的等距仰视图。压板2504并非圆形而是具有细长形状。例如,压板2504的两侧表面2504B与2504D为直的而压板2504的两侧表面2504E与2504F为弯曲的以形成细长形状。沿着y轴侧表面2504D的所在方向与侧表面2504B相对。此外,沿着x轴侧表面2504E的所在方向与侧表面2504F相对。每一侧表面2504B、2504D、2504E和2504F垂直或基本垂直于压板2504的底表面2504C。例如,每一侧表面2504B、2504D、2504E和2504F相对于底表面2504C形成90度的角度或与90度相差在预定范围(如±5度)内的角度。类似地,每一侧表面2504B-2504F垂直于或基本上垂直于压板2504的上表面2504A且上表面2504A平行于或基本平行于底表面2504C。例如,基本平行于底表面2504C的上表面2504A相对于底表面2504C形成在预定范围(如±5度)内的角度。
压板2504包含沿着z轴延伸通过压板2504的主体的多个侧槽口2602A、2602B、2602C和2602D。例如,每一侧槽口2602A-2602D从上表面2504A延伸通过压板2504的主体而到达压板2504的底表面2504C。压板2504还包含沿着z轴延伸通过压板2504的主体的中央槽口2604。例如,中央槽口2604从上表面2504A延伸通过压板2504的主体而到达压板2504的底表面2504C。中央槽口2604位于压板2504的中心或质心处,且侧槽口2602A与2602C被定位成沿着y轴距离中央槽口2604相等或基本相等的距离。例如,侧槽口2602A与2602C定位于与等距离相差在±5%内处。此外,侧槽口2602B与2602D被定位成沿着x轴距离中央槽口2604相等或基本相等的距离。例如,侧槽口2602B与2602D与中央槽口2604相距一定距离,且该距离的范围介于等距离的95%-105%内。
相比于中央槽口2604,侧槽口2602A-2602D是伸长的以允许垫2502热膨胀。例如,与中央槽口2604相比,每一侧槽口2602A-2602D具有更大的表面积。又例如,每一侧槽口2602A-2602D具有伸长的形状,该形状为方形或矩形与两个半圆形的组合。该方形或矩形与两个半圆形的组合如上所述。又例如,每一侧槽口2602A-2602D允许垫2502热膨胀2.1×10-4英寸或接近2.1×10-4英寸。例如,每一侧槽口2602A-2602D允许垫2502热膨胀的范围介于2.05×10-4英寸与2.18×10-4英寸之间。
在一实施方案中,术语槽口和孔洞可在本文中互换使用。
图26B为垫2502的一实施方案的等距仰视图。垫2502的两个侧表面2502B与2502D为直的而垫2502的两个侧表面2502E与2502F为弯曲的以形成垫2502的细长形状。沿着y轴侧表面2502D的所在方向与侧表面2502B相对。此外,沿着x轴侧表面2502E的所在方向与侧表面2502F相对。每一侧表面2502B、2502D、2502E和2502F垂直或基本垂直于垫2502的底表面2502C。例如,每一侧表面2502B、2502D、2502E和2502F相对于底表面2502C形成90度的角度或与90度相差在预定范围(如±5度)内的角度。类似地,每一侧表面2502B、2502D、2502E和2502F垂直于或基本上垂直于垫2502的上表面2502A且上表面2502A平行或基本平行于底表面2502C。例如,基本平行于底表面2502C的上表面2502A相对于底表面2502C形成在预定范围(如±5度)内的角度。
垫2502包含从垫2502的主体沿着z轴延伸的多个侧脚延伸部2610A、2610B、2610C和2610D。例如,每一脚延伸部2610A、2610B、2610C和2610D从垫2502的主体的底表面2502C沿着z轴延伸。垫2502还包含从底表面2502C沿着z轴延伸的中央脚延伸部2612。例如,中央脚延伸部2612沿着z轴的延伸方向与每一侧脚延伸部2610A-2610D的延伸方向相同。中央脚延伸部2612位于垫2502的中央或质心处,并且侧脚延伸部2610B与2610D被定位成沿着y轴距离中央脚延伸部2612相等或基本相等的距离。例如,侧脚延伸部2610B与2610D定位于与等距离相差在±5%内处。此外,侧脚延伸部2610A与2610C被定位成沿着x轴距离中央脚延伸部2612相等或基本相等的距离。例如,侧脚延伸部2610A与2610C的位置距离中央脚延伸部2612一定距离,而该距离落在等距离的95%-105%的范围内。
侧脚延伸部2610A-2610D具有圆形横截面以允许垫2502热膨胀。当垫2502在中间温度或高温下热膨胀时,侧槽口2602A允许侧脚延伸部2610A滑动、侧槽口2602B允许侧脚延伸部2610B滑动、侧槽口2602C允许侧脚延伸部2610C滑动、且侧槽口2602D允许侧脚延伸部2610D滑动。例如,通过在清洁喷头108之前使垫2502更靠近喷头108并使垫2502膨胀,以让垫2502预先调整为热膨胀。
侧脚延伸部2610A延伸通过侧槽口2602A、侧脚延伸部2610B延伸通过侧槽口2602B、侧脚延伸部2610C延伸通过侧槽口2602C、侧脚延伸部2610D延伸通过侧槽口2602D、且中央脚延伸部2612延伸通过中央槽口2604,以将垫2502配合至压板2504。垫2502与压板2504之间不需要粘合剂便可将垫2502配合至压板2502。
图26C为支撑板2506的一实施方案的仰视图。支撑板2506具有上表面2506A、侧表面2506B和底表面2506C。侧表面2506B具有圆形且垂直于或基本上垂直于上表面2506A与底表面2506C。例如,侧表面2506B相对于上表面2506A形成90度的角度或与90度相差在预定范围(如±5度)内的角度。又例如,侧表面2506B相对于底表面2506C形成90度的角度或与90度相差在预定范围(如±5度)内的角度。上表面2506A平行或基本平行于底表面2506C。上面已提供过基本上平行的上表面与底表面的示例。
通过底表面2506C可见多个销2510A、2510B和2510C和多个维持环2512A、2512B和2512C。维持环2512B配合至销2510B内的槽口的方式与维持环2512A配合至销2510A内的槽口2516(图25B)的方式相同。此外,维持环2512C配合至销2510C内的槽口的方式与维持环2512A配合至销2510A内的槽口2516的方式相同。此外,每一销2510B与2510C延伸通过弹簧的长度的方式与销2510A延伸通过弹簧2508A(图25B)的长度的方式相同。
销2510A-2510C为运动销。例如,销2510A-2510C位于形成在底表面2506C上的三角形的顶点处。每一销2510B与2510C的结构与销2510A相同。此外,每一销2510B与2510C的功能与销2510A相同。类似地,每一维持环2512B与2512C的结构与维持环2512A相同。此外,每一维持环2512B与2512C的功能与维持环2512A相同。销2510A-2510C的相同结构和维持环2512A-2512C的相同结构允许垫2502和压板2504相对于支撑板2506沿着竖直方向的移动程度,以压缩或减压清洁组件2500(图25A)的支撑部2506与压板2504(图25A)之间的多个弹簧。清洁组件2500还具有下面将描述的用于通过对准件进行对准的缺口2630。
在一实施方案中,在支撑部2506内使用任何数量的销和维持环。例如,在支撑部2506内使用五个销和五个对应的维持环。又例如,在支撑部2506内使用七个销和七个对应的维持环。
图27为在压板2504的底表面2504C(图25A)下方延伸的侧脚延伸部2700的一实施方案的等距视图。脚延伸部2700延伸通过形成在底表面2504C内的侧槽口2702。侧脚延伸部2700为侧脚延伸部2610A-2610D(图26B)中的任一者的示例。此外,侧槽口2702为侧槽口2602A-2602D(图26A)的任一者的示例。
侧脚延伸部2700具有上部2704和底部2706。上部2704位于底部2706的上部上并整合至底部2706。相比于底部2706,沿着z轴上部2704具有较小的圆形横截面,以致于当底部2706通过侧槽口2702时,底部2706邻接底表面2702以将垫2502配合至压板2504(图25A)。底部2706在通过侧槽口2702时收缩,并在通过侧槽口2702之后膨胀。
图28为销2802和维持环2804的组件的一实施方案的等距仰视图。销2802为销2510A-2510D(图26C)中任一者的示例。维持环2804为维持环2512A-2512D(图26C)中任一者的示例。销2802与维持环2804能够延伸至形成在底表面2506C内的孔的外部,以在底表面2506C下方延伸。
图29A为FOUP 2900的一部分的一实施方案的前侧图,其图示了将清洁组件2500放置至FOUP 2900中。FOUP 2900所具有的结构与FOUP1600(图16A)的结构相同,不同的是FOUP2900具有如下更进一步说明的支撑件而非基底层如基底层1616(图16A)。
FOUP 2900为舱204A或舱204B(图2)的示例。FOUP 2900具有基底2902A和销2904A。基底2902A支撑支撑板2506的底表面2506C。例如,当清洁组件2500被支撑于基底2902A上时,底表面2506C与基底2902A相邻。销2904A沿着竖直方向从基底2902A的上表面向上延伸。销2904A对于清洁组件2500的放置提供左侧边缘。
图29B为FOUP 2900的一实施方案的俯视图。FOUP 2900包含多个支撑件2902A与2902B。支撑件2902A沿着x轴自左侧壁1650D朝向支撑件2902B延伸,支撑件2902B沿着x轴从右侧壁1650D朝向支撑件2902A延伸。
FOUP 2900包含从FOUP 2900的底壁1650C(图16A)沿着竖直方向向上延伸的多个柱2910A与2910B。FOUP 2900还包含多个销2904A与2904B。销2904B自基底2902B的上表面沿着竖直方向向上延伸。销2904B对于清洁组件2500的放置提供右侧边缘。
此外,清洁组件2500在FOUP 2900中延伸,直到清洁组件2500到达柱2910A与2910B为止。当清洁组件2500被放置在FOUP 2900中时,柱2910A与2910B定义清洁组件2500的停止位置。
沿着y轴的方向观察时,柱2904A与2904B位于支撑件2902A与2902B后方。清洁组件2500被支撑于支撑件2902A与2902B所形成的水平高度上。清洁组件2500通过门1606而延伸进入FOUP 2900的外壳中,直到清洁组件2500邻接柱2904A与2904B为止。
当清洁组件2500延伸进入FOUP 2900中时,清洁组件受到柱2910A与2910B和销2904A与2904B的限制。此外,清洁组件2500搁置于支撑件2902A与2902B上。
图30为等离子体系统3000的一实施方案的图。等离子体系统3000包含EFEM 3002、装载锁3008,、真空传送模块(VTM)3010、传送站3012、另一VTM3014和多个等离子体室3018、3020、3022和3024。
EFEM 3002具有多个装载端口3006A、3006B和3006C。此外,EFEM 3002具有对准件3016。每一等离子体室3018-3024都具有多个站。例如,等离子体室3018具有站1、站2、站3和站4。例如,等离子体室105(图2)为等离子体室3018、或等离子体室3020、或等离子体室3022、或等离子体室3024的示例。FOUP如FOUP 204A或FOUP 204B被放置于装载端口3006A-3006C中的一者上。EFEM 3002将清洁组件220(图2)从FOUP移动至对准件3016。清洁组件2500(图26C)为清洁组件220的示例,其旋转以判断缺口2630(图26C)是否对准以更进一步判断清洁组件2500是否对准。
EFEM 3002将清洁组件2500从对准件3016移动至装载锁3008。装载锁3008将清洁组件2500送至VTM 3010,VTM 3010将清洁组件2500传送至等离子体室3018、或传送至等离子体室3020、或传送至传送站3012。传送站3012将清洁组件2500传送至VTM 3014。VTM 3014将清洁组件2500提供至等离子体室3020或等离子体室3022。
装载锁3008在EFEM 3002与VTM 3010之间传送清洁组件2500。VTM 3010在等离子体室3018与传送站3012之间、或在传送站3012与等离子体室3024之间、或在等离子体室3018与3024之间传送清洁组件2500。此外,传送站3012用作在VTM 3010与3014之间用于传送清洁组件2500的储存空间。VTM 3014在等离子体室3020与3022之间、在等离子体室3020与传送站3012之间、或在等离子体室3022与传送站3012之间传送清洁组件2500。
图31A为传送站3012的一实施方案的前侧图。传送站3012包含基底3102A。多个支撑件3104A、3104B、3104C、3104D和3104E从基底3102A沿着x轴延伸。清洁组件2500通过支撑件3104A-3104E中的任一者的支撑。
传送站3102具有内上表面3103。清洁组件2500与内上表面3103之间沿着z轴的竖直净空的示例为0.241英寸或接近0.241英寸。例如,竖直净空的范围介于0.238英寸与0.245英寸之间。
图31B为传送站3012的一实施方案的等距视图。传送站3012包含另一基底3102B。多个支撑件3104F、3104G、3104H、3104I和3104J从基底3102B沿着x轴延伸。支撑件3104A-3104E朝向支撑件3104F-3104J延伸而支撑件3104F-3104J朝向支撑件3104A-3104E延伸。清洁组件2500在支撑件3104A与3104F的水平高度处受到支撑件3104A与3104F的支撑、在支撑件3104B与3104G的水平高度处受到支撑件3104B与3104G的支撑、在支撑件3104C与3104H的水平高度处受到支撑件3104C与3104H的支撑、或在支撑件3104D与3104I的水平高度处受到支撑件3104D与3104I的支撑、或在支撑件3104E与3104J的水平高度处受到支撑件3104E与3104J支撑。
本文中所述的实施方案可利用各种计算机系统配置实行,这些计算机系统配置包含手持硬件单元、微处理器系统、基于微处理器的或可编程消费电子装置、微型计算机、主机等。所述实施方案也可在分布式的计算环境中实践,在这种环境中任务由经由网络链接的多个远程处理硬件单元执行。
在一些实施方案中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、RF产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与系统连接或通过接口连接的装载锁。
概括地说,在各种实施方案中,控制器被定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为ASIC的芯片、PLD、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实施方案中,控制器是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器在“云”中或是晶片厂(fab)主机系统的全部或一部分,其使得能对晶片处理进行远程访问。计算机实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。
在一些实施方案中,远程计算机(例如服务器)通过网络(其包括本地网络或因特网)向系统提供工艺配方。远程计算机包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例包括在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
在多种实施方案中,示例性系统包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
还应注意,在某些实施方案中,上述操作应用至数种等离子体室,例如包含感应耦合等离子体(ICP)反应器的等离子体室,变压器耦合等离子体室,电容耦合等离子体反应器,导体工具,介电工具,包含电子回旋共振(ECR)反应室的等离子体室等。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器与下列中的一个或多个通信:其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具。
考虑到上述实施方案,应理解,实施方案中的一些使用涉及储存在计算机系统中的数据的各种计算机实现操作。这些操作是通过物理方式操控物理数量的那些操作。形成实施方案的一部分的本文中所述的操作中的任何操作是有用的机械操作。
一些实施方案还涉及执行这些操作的硬件单元或设备。可针对专门用途的计算机专门建构设备。计算机在被定义为专门用途的计算机时,其执行不是专门用途的部分的其他处理、程序执行或例程,同时其仍然能够针对专门用途进行操作。
在一些实施方案中,操作可由计算机处理,所述计算机通过存储在计算机存储器、高速缓存或通过计算机网络所获得的一或多个计算机程序而选择性激活或配置。当数据是通过计算机网络获得时,该数据可由计算机网络上的其他计算机如计算资源云所处理。
一或多个实施方案也可制作成非瞬时计算机可读介质上的计算机可读代码。非瞬时计算机可读介质是储存数据且所述数据后续被计算机系统读取的任何数据储存硬件单元,如存储器装置。非瞬时计算机可读介质的示例包括硬盘驱动器、网络附加储存装置(NAS)、ROM、RAM、光盘-ROM(CD-ROM)、可录CD(CD-R)、可重复写入的CD(CD-RW)、磁带及其他光学式及非光学数据储存硬件单元。在一些实施方案中,非瞬时计算机可读介质可包含分散于网络耦合计算机系统的计算机可读有形介质,因此计算机可读代码以分散方式储存及执行。
虽然上述方法操作以特定顺序说明,但应理解,在各种实施方案中,在操作之间进行其他内务操作,或者调整方法操作使其发生的时间略有不同,或者将方法操作分配至允许方法操作以各种间隔进行的系统中,或者以不同于文中所示的顺序来进行方法操作。
还应注意,在一实施方案中,在不脱离本公开内容所述的各种实施方案的范围的情况下,来自任何上述实施方案的一或多个特征可与任何其他实施方案的一或多个特征组合。
虽然前述实施方案已为了清楚理解的目的而相当详细地进行了描述,但将显而易见的是某些改变与修改可在随附的权利要求的范围内实施。因此,本发明实施方案应视为说明性的而非限制性的,且这些实施方案不应受限于本文中所提供的细节,而是可在随附的权利要求的范围及等同方案内进行修改。

Claims (20)

1.一种清洁组件,其包含:
支撑部,其具有底侧和上侧,其中所述底侧连接至等离子体处理工具的臂,其中所述臂被配置成在真空下的所述等离子体处理工具中处理所述清洁组件的移动;
压板,其通过压缩接口而耦合至所述支撑部的所述上侧;
清洁层,其设置于所述压板上方,所述清洁层包含多个柱,
其中所述臂被配置成将所述清洁层移动至所述等离子体处理工具内的表面上,以使位于所述表面上的颗粒离开所述表面并且迁移到所述清洁层上。
2.根据权利要求1所述的清洁组件,其中所述压缩接口包含多个弹簧,所述多个弹簧在所述臂将所述清洁层移动至所述表面上时为所述表面提供缓冲。
3.根据权利要求1所述的清洁组件,其中所述支撑部的所述底侧包含多个突出部以在所述臂移动所述清洁组件时能实现与所述臂的所述连接。
4.根据权利要求1所述的清洁组件,其还包含:
缓冲层,其被设置于所述压板与所述清洁层之间以在所述臂将所述清洁层压靠至所述等离子体处理工具内的所述表面上时提供额外程度的压缩吸收。
5.根据权利要求1所述的清洁组件,其中所述清洁层由聚酰亚胺材料形成,所述聚酰亚胺材料被制造以限定所述多个柱。
6.根据权利要求1所述的清洁组件,其中所述多个柱以微结构图案排列,所述微结构图案包含所述多个柱的上接触表面和不接触的下表面。
7.根据权利要求6所述的清洁组件,其中所述臂所造成的移动使所述上接触表面接近或接触所述表面,以将所述颗粒从所述表面吸引至所述多个柱中的一或多者上,且其中所述颗粒中的一些朝向所述不接触的下表面迁移。
8.根据权利要求6所述的清洁组件,其中所述臂所造成的移动使所述上接触表面与所述表面周期性接触,以将所述颗粒从所述表面吸引至所述多个柱中的一或多者上,且其中所述颗粒中的一些朝向所述不接触的下表面迁移。
9.根据权利要求6所述的清洁组件,其中所述臂所造成的移动使所述上接触表面与所述表面接触且沿着所述表面呈水平接触滑动,且重复所述接触和沿着所述表面的所述呈水平接触滑动,以将所述颗粒从所述表面吸引至所述多个柱中的一或多者上。
10.根据权利要求6所述的清洁组件,其中所述臂所造成的移动使所述上接触表面与所述表面接触且沿着所述表面以前后移动方式呈水平接触滑动,使所述颗粒从所述表面被移除至所述多个柱中的一或多者上。
11.根据权利要求1所述的清洁组件,其中所述等离子体处理工具与装载锁对接,所述装载锁与舱对接,所述舱用于支撑所述清洁组件和一或多个额外清洁组件,
其中所述清洁组件被配置成被带入所述等离子体处理工具中以清洁所述表面并在执行所述清洁后被带离所述处理工具,且所述带入所述处理工具和带离所述处理工具是在不需要使所述等离子体处理工具离开所述真空的情况下进行。
12.根据权利要求1所述的清洁组件,其中所述臂为连接至设置于所述等离子体处理工具中的心轴组件的多个臂中的一者,其中所述心轴组件被定位在成组的处理站之间。
13.根据权利要求1所述的清洁组件,其中所述表面是所述等离子体处理工具中的处理站的喷头的表面。
14.根据权利要求1所述的清洁组件,其中所述清洁组件为消耗性部件。
15.根据权利要求1所述的清洁组件,其中所述多个柱中的每一者是圆顶柱、或蕈状柱、或锥形柱、或平顶柱、或凹顶柱、或多面顶柱、或槽口顶柱、或突顶柱、或其组合。
16.一种用于清洁等离子体处理工具内的表面的方法,其包含:
接收所述等离子体处理工具的臂上的清洁组件,其中所述清洁组件包含:
支撑部,其具有底侧和上侧,其中所述底侧连接至所述等离子体处理工具的所述臂;
压板,其通过压缩接口而耦合至所述支撑部的所述上侧;以及
清洁层,其设置于所述压板上方,其中所述清洁层包含多个柱;以及
沿着向上方向移动所述臂,以将所述清洁层移动至所述等离子体处理工具内的所述表面上,使所述清洁层接近所述表面。
17.根据权利要求16所述的方法,其中所述沿着向上方向移动所述臂致使所述多个柱接近或接触所述表面,以使所述表面下方的颗粒从所述表面被吸引至所述多个柱中的一或多者上,且其中所述颗粒中的一些朝向所述清洁层的不接触的表面迁移。
18.根据权利要求16所述的方法,其中所述沿着向上方向移动所述臂致使所述多个柱与所述表面周期性接触,以将所述颗粒从所述表面吸引至所述多个柱中的一或多者上,且其中所述颗粒中的一些朝向所述不接触的下表面迁移。
19.根据权利要求16所述的方法,其中所述表面为所述等离子体处理工具的喷头的表面。
20.根据权利要求16所述的方法,其还包含旋转所述臂以使所述清洁组件相对于所述等离子体处理工具的心轴沿着弧移动。
CN202080076895.0A 2019-11-01 2020-10-20 用于清洁喷头的系统和方法 Pending CN114630924A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962929328P 2019-11-01 2019-11-01
US62/929,328 2019-11-01
PCT/US2020/056534 WO2021086691A1 (en) 2019-11-01 2020-10-20 Systems and methods for cleaning a showerhead

Publications (1)

Publication Number Publication Date
CN114630924A true CN114630924A (zh) 2022-06-14

Family

ID=75715562

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080076895.0A Pending CN114630924A (zh) 2019-11-01 2020-10-20 用于清洁喷头的系统和方法

Country Status (6)

Country Link
US (1) US20220367159A1 (zh)
JP (1) JP2023501132A (zh)
KR (1) KR20220093181A (zh)
CN (1) CN114630924A (zh)
TW (1) TW202132610A (zh)
WO (1) WO2021086691A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11972935B2 (en) * 2021-08-27 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for processing a semiconductor substrate
KR102654366B1 (ko) 2024-03-06 2024-04-03 주식회사 디에프텍 반도체 제조공정에 사용되는 샤워헤드 세정 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030097987A1 (en) * 2001-11-27 2003-05-29 Asm Japan K.K. Plasma CVD apparatus conducting self-cleaning and method of self-cleaning
US20110052833A1 (en) * 2009-08-27 2011-03-03 Applied Materials, Inc. Gas distribution showerhead and method of cleaning
US20120255486A1 (en) * 2011-04-07 2012-10-11 Advanced Micro-Fabrication Equipment Inc., Shanghai Cleaning apparatus and method, and film growth reaction apparatus and method
US20160233115A1 (en) * 2010-02-01 2016-08-11 Hermes-Epitek Corporation Cleaning apparatus for semiconductor equipment
US20180056340A1 (en) * 2016-08-26 2018-03-01 Beijing Sevenstar Electronics Co.,Ltd. Ultrasonic/megasonic cleaning device
US20190172738A1 (en) * 2017-12-06 2019-06-06 Lam Research Corporation Auto-calibration to a station of a process module that spins a wafer

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0171491B1 (ko) * 1994-09-20 1999-03-30 이시다 아키라 회전식 기판세정장치
WO2008018251A1 (fr) * 2006-08-11 2008-02-14 Nitto Denko Corporation Élément de nettoyage, élément de distribution avec fonction de nettoyage, et procédé de nettoyage d'un appareil de traitement de substrat
JP4509981B2 (ja) * 2006-08-11 2010-07-21 日東電工株式会社 クリーニング部材、クリーニング機能付搬送部材、および基板処理装置のクリーニング方法
JP5038259B2 (ja) * 2008-08-26 2012-10-03 株式会社日立ハイテクノロジーズ クリーニング装置およびクリーニング方法
CN102251228B (zh) * 2011-03-25 2015-12-16 中微半导体设备(上海)有限公司 清洁气体输送装置的方法、生长薄膜的方法及反应装置
DE102015107315A1 (de) * 2014-07-02 2016-01-07 Aixtron Se Verfahren und Vorrichtung zum Reinigen eines Gaseinlassorgans
JP6775450B2 (ja) * 2017-03-21 2020-10-28 東京エレクトロン株式会社 ステージクリーニング方法およびステージクリーニング部材、ならびに検査システム

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030097987A1 (en) * 2001-11-27 2003-05-29 Asm Japan K.K. Plasma CVD apparatus conducting self-cleaning and method of self-cleaning
US20110052833A1 (en) * 2009-08-27 2011-03-03 Applied Materials, Inc. Gas distribution showerhead and method of cleaning
US20160233115A1 (en) * 2010-02-01 2016-08-11 Hermes-Epitek Corporation Cleaning apparatus for semiconductor equipment
US20120255486A1 (en) * 2011-04-07 2012-10-11 Advanced Micro-Fabrication Equipment Inc., Shanghai Cleaning apparatus and method, and film growth reaction apparatus and method
US20180056340A1 (en) * 2016-08-26 2018-03-01 Beijing Sevenstar Electronics Co.,Ltd. Ultrasonic/megasonic cleaning device
US20190172738A1 (en) * 2017-12-06 2019-06-06 Lam Research Corporation Auto-calibration to a station of a process module that spins a wafer

Also Published As

Publication number Publication date
WO2021086691A1 (en) 2021-05-06
KR20220093181A (ko) 2022-07-05
US20220367159A1 (en) 2022-11-17
TW202132610A (zh) 2021-09-01
JP2023501132A (ja) 2023-01-18

Similar Documents

Publication Publication Date Title
CN114630924A (zh) 用于清洁喷头的系统和方法
KR102388750B1 (ko) 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
KR102537053B1 (ko) 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링
CN108701592B (zh) 用于衬底的接合的装置和方法
JP5902085B2 (ja) 処理チャンバ内で基板を位置決めするための装置及び処理チャンバ内で基板をセンタリングするための方法
US9214376B2 (en) Substrate mounting stage and surface treatment method therefor
KR102478514B1 (ko) 프로세싱을 위한 기판들을 핸들링하기 위한 정전 캐리어
KR102445979B1 (ko) 벨로즈로의 휘어진 웨이퍼 처킹
CN109496345B (zh) 用于经控制地接合衬底的方法和样本支架
US8038837B2 (en) Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
TW201712778A (zh) 藉由控制晶圓支座以達成邊緣密封而減少背側沉積的方法及設備
JP6988801B2 (ja) 積層装置および積層方法
TW201351581A (zh) 可調適熱傳導方法及均勻熱傳導用系統
WO2010081003A2 (en) Systems, apparatus and methods for moving substrates
TW201812839A (zh) 積層基板製造方法、積層基板製造裝置、積層基板製造系統、及基板處理裝置
KR20170080501A (ko) 반도체 프로세싱 장치 내에서 샤워헤드 틸팅을 동적으로 조정하기 위한 액추에이터
JP2013506997A (ja) 洗浄モジュール内のブラシ洗浄デバイス用ローラアセンブリ
TW202036652A (zh) 用於處理基板的裝置及用於該裝置的基板邊緣環的升降解決方案
JP4979918B2 (ja) 加圧方法及び加圧装置
JP6818614B2 (ja) 基板処理装置および基板処理装置を含む基板処理システム
TW202100322A (zh) 可更換的端效器接觸墊、端效器及維護方法
JP2007067353A (ja) プラズマ処理用環状部品、プラズマ処理装置、及び外側環状部材
US8223470B2 (en) Apparatus and method to improve uniformity and reduce local effect of process chamber
JP2023515130A (ja) エッジリングの高度管理のための二重リフト機構を備える半導体処理チャンバ
KR20210002853A (ko) 리테이너 링

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination