TW202340522A - 具有錐狀表面的遠端電漿清潔(rpc)輸送入口配接器 - Google Patents

具有錐狀表面的遠端電漿清潔(rpc)輸送入口配接器 Download PDF

Info

Publication number
TW202340522A
TW202340522A TW111147117A TW111147117A TW202340522A TW 202340522 A TW202340522 A TW 202340522A TW 111147117 A TW111147117 A TW 111147117A TW 111147117 A TW111147117 A TW 111147117A TW 202340522 A TW202340522 A TW 202340522A
Authority
TW
Taiwan
Prior art keywords
region
gas
conical
inlet
delivery device
Prior art date
Application number
TW111147117A
Other languages
English (en)
Inventor
達那厄 妮可 凱
托馬斯 馬克 普拉特
馬修 帕爾默 官
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202340522A publication Critical patent/TW202340522A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

氣體輸送裝置包含入口部分及出口部分。入口部分可包含配置成從氣體源接收氣體的複數個入口埠。入口部分亦可包含與複數個入口埠相關之相應的複數個錐狀表面。複數個錐狀表面之各錐狀表面環繞複數個入口埠之相應的入口埠。出口部分可配置成將氣體輸送至製程腔室的氣體噴淋頭。複數個錐狀表面之各錐狀表面可包含第一區域及第二區域。第一區域係與第一曲率相關。第二區域係與第二曲率相關。第一曲率可不同於第二曲率。

Description

具有錐狀表面的遠端電漿清潔(RPC)輸送入口配接器
本文揭示之標的係大致關於與用於清除製程腔室內表面之殘餘沉積物的遠端電漿清潔(remote plasma clean,RPC)功能相關之系統、方法、裝置及機器可讀取媒體。 [相關申請案的交互參照]
本申請案主張2021年12月17日提出申請之美國專利申請案第63/290,893號之優先權。該申請案的全部揭示內容係藉由參照而併入本文。
半導體基板處理裝置係用於藉由包含下列之技術來處理半導體基板:蝕刻、物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD) 、原子層沉積(atomic layer deposition,ALD)、電漿增強原子層沉積(plasma-enhanced atomic layer deposition,PEALD)、脈衝沉積層(pulsed deposition layer,PDL)、電漿增強脈衝沉積層(plasma-enhanced pulsed deposition layer,PEPDL)處理、及光阻移除。
在半導體基板處理期間,製程腔室內化學反應物的存在導致該腔室的內表面上之殘餘沉積物。舉例而言,製程腔室可能在將非晶質硬遮罩(amorphous hard mask,AHM)處理施加於基板之後被碳殘餘沉積物覆蓋。使用習知的腔室清潔技術,引入製程腔室內之例如遠端電漿源(RPS)活化清潔氣體自由基物種(例如,原子氧或氟)的清潔氣體的一大部分可與未具有殘餘沉積物的腔室表面反應。腔室壁與清潔氣體之間的反應、以及殘餘沉積物之不完全蝕刻將粒子偏移引入可延伸至清潔氣體輸送路徑之製程腔室內,導致在腔室中之處理期間累積在基板上的缺陷增加(adder)數量增加。如本文所用,術語「缺陷增加」係與在經由製程腔室運行測試晶圓之前及之後之缺陷計數(例如,粒子)的差異相關。
本文所提供之先前技術說明係為了大體上呈現本揭示內容之脈絡的目的。應注意本先前技術章節中所描述之資訊係為熟習技藝者提供以下所揭示標的之一些脈絡而呈現,且不應將其視為承認的先前技術。更具體而言,本先前技術章節中所描述之本案列名發明人之成果、以及申請時不適格作為先前技術之說明書的實施態樣皆以不明示性或暗示性地承認為相對本揭示內容的先前技術。
方法、系統、及電腦程式係針對RPC相關技術而呈現。如此技術包含使用具有錐狀表面的氣體輸送裝置將殘留沉積物從製程腔室中移除。
在例示實施例中,氣體輸送裝置包含入口部分。入口部分包含配置成從氣體源接收氣體的複數個入口埠。入口部分更包含與複數個入口埠相關之相應的複數個錐狀表面。複數個錐狀表面之各錐狀表面環繞該複數個入口埠之相應的入口埠。氣體輸送裝置亦包含出口部分。出口部分係配置成將氣體輸送至製程腔室的氣體噴淋頭。
在另一例示實施例中,從製程腔室中移除殘餘沉積物的方法包含提供氣體輸送裝置。氣體輸送裝置包含入口部分及出口部分。入口部分包含複數個入口埠。入口部分亦包含與複數個入口埠相關之相應的複數個錐狀表面。複數個錐狀表面之各錐狀表面環繞複數個入口埠之相應的複數個入口埠。該方法更包含將氣體輸送裝置之出口部分耦接至製程腔室的噴淋頭。該方法更包含將入口部分耦接至遠端電漿源(RPS)。該方法更包含容許由該RPS產生之清潔氣體經由氣體輸送裝置之複數個入口埠及噴淋頭進入到製程腔室中。該方法更包含使用清潔氣體將殘餘沉積物從製程腔室中移除。在一些態樣中,清潔氣體並不經常運行。來自製程氣體之粒子的返流亦藉由通過RPS之主體、橫越掃略式表面、並進入該噴淋頭之惰性氣體之恆定流動而減少。
在又另一例示實施例中,半導體基板處理裝置包含配置成產生清潔氣體的遠端電漿源(RPS)。半導體基板處理裝置亦包含與RPS耦接的氣體輸送裝置。氣體輸送裝置包含入口部分及出口部分。入口部分包含配置成從氣體源接收氣體的複數個入口埠。入口部分亦包含與複數個入口埠相關之相應的複數個錐狀表面。複數個錐狀表面之各錐狀表面環繞該複數個入口埠之相應的入口埠。半導體基板處理裝置亦包含製程腔室,在該製程腔室中半導體基板受處理且殘餘沉積物形成。製程腔室係透過入口部分及出口部分流體耦接至RPS。半導體基板處理裝置亦包含耦接至RPS、氣體輸送裝置、及製程腔室的控制器模組。控制器模組透過入口部分及出口部分使RPS將清潔氣體供給至製程腔室中。
以下描述包含體現本揭示內容之說明性實施例的系統、方法、技術、指令序列、及計算機程式產品(例如,儲存在機器可讀取媒體上)。在以下描述中,出於解釋之目的而概括許多具體細節,以提供對例示實施例的透徹理解,該實施例為了將殘餘沉積物從製程腔室之表面移除之目的而導引製程腔室內之清潔氣體的間歇性停滯流動。然而,對於本領域技術人員將顯而易見的是,本實施例可在不具有這些具體細節的情況下實施。
在本申請案中,術語「半導體晶圓」、「晶圓」、「基板」、「半導體基板」、及「晶圓基板」可互換使用。術語「腔室」、「反應腔室」、「沉積腔室」、「反應器」、「化學品隔離腔室」、「製程腔室」、「處理腔室」、及「基板處理腔室」亦可互換使用。
一種基板處理裝置可包括包含上部及下部電極之製程腔室,其中射頻(radio frequency,RF)功率係施加於電極之間,以將製程氣體激發成用於處理製程腔室中之半導體基板的電漿。另一種基板處理裝置可包含ALD工具,其為特殊類型的CVD處理系統,其中ALD反應發生在引進為製程腔室(例如,ALD製程腔室)內之製程氣體的二或更多化學物種之間。CVD處理系統可配置成在不具有電漿的情況下運行,而電漿增強CVD(或PE-CVD)處理系統係配置成在具有電漿的情況下運行。同樣地,ALD處理系統可配置成在具有或不具有電漿的情況下運行。製程氣體(例如,前驅物氣體)係用於在例如半導體工業所使用之矽晶圓的基板上形成(例如,在多個ALD循環期間)材料的薄膜沉積。前驅物氣體可從氣體源按順序引進ALD處理腔室中,使得氣體與基板的表面反應,以在結合時形成沉積層。舉例而言,基板通常係暴露於包含第一化學品(或多個化學品之組合)的製程氣體以形成吸收層。第一化學品或多個化學品之過剩部分可藉由泵抽或驅淨加以移除。製程氣體可至少包含第二化學品或多個化學品之組合。可至少將第二化學品引進與吸收層反應,以形成沉積材料層。二個化學品或多個化學品之組合可特意選擇為與彼此反應,以形成沉積材料層。
在一些態樣中,管組件可用以透過噴淋頭將製程氣體輸送至製程腔室中。管組件亦可用以供製程腔室的原位清潔而輸送清潔氣體及驅淨氣體。如本文所用,術語「管組件」及「RPC管」可交替使用。如本文所用,術語「RPC輸送入口配接器」、「輸送入口配接器」、「異形端件」、及「氣體輸送裝置」可互換使用。具有製程腔室及RPC管之基板處理裝置的更詳細描述係關聯於圖1而提供。圖2-17顯示RPC管之輸送入口配接器之入口部分的不同組態。圖18為使用具有異形表面之輸送入口配接器從製程腔室中移除殘餘沉積物之方法的流程圖。
在一些態樣中,RPC管之輸送入口配接器可包含具有平坦表面的入口部分。該平坦表面係垂直於清潔氣體流動路徑。如此入口部分的實例顯示於圖2中。在粒子從腔室偏離的事件中,粒子可能堆積在此水平表面上。如此堆積的結果可為在操作沉積或清潔製程之後基板上不可接受的缺陷增加數量。晶圓上之缺陷增加可排列成與RPC輸送入口配接器上之入口埠之方向及間距一致的模式。就這一點而言,現有幾何結構之平坦表面可能藉由重力的幫助產生停滯區域,因此尋得途徑達到非掃略式現有設計之頂部上的任何粒子可能堆積在該處。所揭示技術使用與斜面相關的錐狀表面設計,該斜面不利於藉由重力堆積且亦非氣體流動停滯位置(使氣體之流線更不可能再循環)。
在一些實施例中,RPC管之輸送入口配接器可包含具有環繞各入口埠之錐狀表面的入口部分。就這一點而言,該入口部分未包含平坦表面,導致缺陷增加之累積減少。在一些態樣中,輸送入口配接器可基於排除清潔氣體流動路徑中之連續的水平表面而配置。輸送入口配接器亦可基於在RPC管內徑處使用恆定仰角且在各入口埠直徑處保持恆定仰角而配置。在一些態樣中,輸送入口配接器之表面被配置為與彼此呈正切,且表面之任何不連續性可使用球型端銑刀式工具及/或使用研磨片(例如,砂紙)之手動打磨加以平滑化及混合。
輸送入口配接器之錐狀表面減少粒子堆積在表面上的可能性。當氣體流經RPC管時,其不再停滯在輸送入口配接器的表面上,造成粒子堆積。相反地,由於輸送入口配接器之入口部分表面的每一部分朝向入口埠傾斜,流經輸送入口配接器之氣體可以受控方式將粒子從此表面移除。在粒子從輸送入口配接器偏離並至製程腔室之基板上的事件中,將粒子從傾斜表面移除可減少晶圓上的缺陷增加數量。
輸送入口配接器之所揭示入口部分使環繞各入口埠的空間參數化。入口部分可藉由基於從RPC管中心起之位置、從入口埠起之位置、及從RPC管內壁起之距離將材料從金屬或陶瓷棒移除而製成。在一些態樣中,入口部分可配置成包含與不同的斜面相關之第一區域及第二區域。第一區域可設置在入口埠與RPC管中心之間。第一區域之表面可藉由使用理論上圓錐工具從金屬或陶瓷棒移除材料而製成。圓錐工具可以入口埠為中心,且與恆定斜率、仰角、及直徑相關。第一區域的例示組態顯示於圖7-圖11中。
第二區域可設置在入口埠與RPC管內徑之間。第二區域之表面可藉由使用極薄圓柱體移除材料來製造。在移除之各步驟,圓柱體改變其仰角、原點、及直徑,以在RPC管內壁與入口埠壁之間進行線性內插。此在第二區域中產生平滑表面,因為在RPC管內徑及入口埠皆具有恆定仰角。第二區域之例示組態顯示於圖12-圖16中。第一及第二區域可使用球型端銑刀式工具加以混合,從而除去表面之間的不連續性。區域之間的例示混合顯示於圖17中。
所揭示技術係與移除RPC輸送入口配接器之入口部分上的水平表面相關。水平表面之移除減少入口部分表面上的RPC氣體停滯量,從而使粒子堆積的可能性最小化。此外,入口部分所產生之表面可使用電腦數值控制(computer numerical control,CNC)銑床(例如,5軸CNC銑床)進行加工。舉例而言,入口部分可藉由將表面以數學方式量化為角度、RPC管內徑、及自RPC管中心起算之入口埠距離的函數而加工。此使輸送入口配接器之可製造性的選擇最大化,同時使新特徵的成本最小化。雖然相似表面可使用混合特徵來產生,但各區域之參數化可提供可量化的平滑、可重複表面。
圖1為基板處理系統100之實例的功能方塊圖,其中可使用本揭示內容的實例。現在參考圖1,例示基板處理系統100係配置用於執行如圖所示之沉積。雖然將PECVD基板處理系統顯示為系統100,但PEALD基板處理系統或其他基板處理系統(例如,未將電漿用於沉積或蝕刻的處理系統)可關聯於本文所述的清潔技術而使用。基板處理系統100包括包圍基板處理系統100之其他元件並容納電漿的製程腔室102。製程腔室102包括氣體分配裝置104及基板支撐件106,例如靜電卡盤(electrostatic chuck,ESC)。在操作期間,將基板108設置在基板支撐件106上。在一些實施例中,基板支撐件可包含一或更多台座。
在一些實例中,氣體分配裝置104可包含在基板108上方分配製程氣體並充當電極以施加誘發離子轟擊之RF場的噴淋頭109。噴淋頭109可包括柄部,該柄部包含連接至製程腔室102之頂面的一端部。基部通常為圓柱形,且在可從製程腔室102之頂面隔開的位置從柄部之相對端部呈放射狀向外延伸。噴淋頭109之基部的面向基板之表面或面板包含製程氣體(或氣體)流動通過的複數個分配孔。氣體分配裝置104可由金屬材料製成且可充當上部電極。或者,氣體分配裝置104可由非金屬材料製成且可包含嵌入式電極。在其他實例中,上部電極可包含導電板,且製程氣體可以另一方式引進。
基板支撐件106包含充當下部電極的導電性底板。底板110支撐加熱板112,該加熱板112可對應於陶瓷多區域加熱板。熱阻層114可設置在加熱板112與底板110之間。底板110可包含用於使冷卻劑流動通過底板110的一或更多冷卻劑通道116。
射頻(RF)產生系統120產生RF電壓並將該RF電壓輸出至上部電極(例如,氣體分配裝置104)及下部電極(例如,基板支撐件106之底板110)其中一者。上部電極及下部電極中之另一者可為在接地端143的直流電(DC)接地、交流電(AC)接地、或浮接。在一些實例中,RF產生系統120可供給包含高頻(high frequency,HF)產生器121及低頻(low frequency,LF)產生器122之雙頻功率,該HF產生器121及LF產生器122產生藉由匹配及分配網路124饋送至上部電極或下部電極(或噴淋頭)的HF及LF功率(分別在預定頻率及預定功率水平)。
化學品輸送系統130(亦稱為化學品輸送模組)包含製程氣體源(例如一或更多前驅物罐)132-1、132-2、…、及132-N(統稱為製程氣體源132),其中N係大於0的整數。製程氣體源係流體耦接(例如,透過複數個氣體管線)至相應的閥134-1、134-2、…、及134-N。
製程氣體源132供給一或更多製程氣體混合物、摻雜劑、液體前驅物、前驅物氣體、清潔氣體、及/或驅淨氣體。在一些實例中,化學品輸送系統130在沉積期間輸送例如四乙基正矽酸鹽(tetraethyl orthosilicate,TEOS)氣體、含有氧物種及氬(Ar)氣之氣體之混合物的前驅物氣體、及含有磷酸三乙酯(triethyl phosphate,TEPO)及/或硼酸三乙酯(triethyl borate,TEB)之摻雜劑。在一些實例中,摻雜劑的擴散發生自氣相。舉例而言,載氣(例如,氮、氬、或其他者)富含所需之摻雜劑(亦為氣體形式,例如TEPO及/或TEB),且供給至可在其上發生濃度平衡的矽晶圓。在後續製程中,晶圓可放置在加熱至特定溫度的石英管中。
回到圖1,製程氣體源132係由閥134-1、134-2、…、及134-N(統稱為閥134)及質流控制器(mass flow controller,MFC)136-1、136-2、…、及136-N(統稱為MFC 136)連接至混合歧管140。混合歧管140係與製程腔室102流體連通。在一些應用中,混合歧管140與入口埠168之間可具有一或更多閥。將製程氣體供給至混合歧管140,並於其中混合。混合歧管140的輸出係透過製程氣體供給管線141及RPC管160饋送至製程腔室102。在一些態樣中,將混合歧管加熱至預定溫度,以在特定溫度(或溫度範圍)將前驅物氣體供給至製程腔室。
RPC管160可包含管162、縮小配接器164、及輸送入口配接器166。輸送入口配接器166包含耦接至縮小配接器164的入口部分167。輸送入口配接器166亦包含耦接至噴淋頭109的出口部分169。在一些實施例中,混合歧管140的輸出端係透過供給管線141及入口埠168饋送至噴淋頭109。在一些實施例中,基板處理系統100包含配置成從清潔氣體及驅淨氣體產生電漿及自由基的遠端電漿源(RPS)152。在一些態樣中,RPS 152係由製程氣體源132或其他製程氣體源所供給。清潔氣體及驅淨氣體可供給至噴淋頭109,以執行處理腔室102的原位清潔。更具體而言,清潔氣體及驅淨氣體係透過供給管線158、閥154、MFC 156、及RPC管160供給至噴淋頭109。在一些實施例中,閥154及/或MFC 156可能不存在。在一些態樣中,RPS 152可為化學品輸送系統130的一部分。下文提供關於圖2-18之輸送入口配接器166之態樣的更詳細描述。
溫度控制器142可連接至設置在加熱板112中的複數個溫度控制元件(thermal control element,TCE)144。舉例而言,TCE 144可包括但不限於對應於多區域加熱板中各區域之對應的大型TCE及/或設置在多區域加熱板中多個區域範圍內的微型TCE列陣。溫度控制器142可用於控制複數個TCE 144,以控制基板支撐件106及基板108的溫度。雖然圖1顯示基板支撐件結構中之TCE,但是本揭示內容在此方面並未受限且TCE可配置在腔室的其他區域(例如,腔室壁)中。在腔室壁中配置的如此TCE可控制腔室壁溫度,其可抑制沉積且有助於本文所述的腔室清潔技術(例如,藉由增加到達壁面之清潔氣體的反應性)。
溫度控制器142可與冷卻劑組件146連通,以控制冷卻劑流動通過通道116。舉例而言,冷卻劑組件146可包含冷卻劑泵及儲存器。溫度控制器142操作冷卻劑組件146而選擇性地使冷卻劑流動通過通道116,以冷卻基板支撐件106。閥148(例如,閘閥)及泵150(例如,排氣泵)可用於控制壓力且可用於從處理腔室102排出反應物。在例示實施例中,製程腔室可包含用於從腔室排出反應物(例如,製程氣體或清潔氣體)及驅淨氣體的多於一個閘閥(或其他類型的閥)。
系統控制器159可用於控制基板處理系統100的元件。舉例而言,系統控制器159可配置成控制透過輸送入口配接器166的清潔氣體及驅淨氣體之供給,以供製程腔室102的原位清潔。系統控制器159可配置成執行其他控制功能,例如動態監控及調節化學品輸送系統130內氣體管線之加熱元件的表面溫度。系統控制器159亦可配置成執行壓力控制功能,例如監控或調節製程腔室102內的壓力。雖然顯示為獨立的控制器,但是溫度控制器142可在系統控制器159內實施。
圖2顯示例如RPC管之第一氣體輸送裝置的入口部分,其可相關於將殘餘沉積物從製程腔室中移除而使用。參考圖2,入口部分200可包含設置在平坦表面204上的入口埠202A、202B、202C、202D、及202E。可相關於重力水平定向的平坦表面204係垂直於透過輸送入口配接器供給至處理腔室102的清潔氣體的流動路徑。在粒子從腔室偏離的事件中,粒子可能堆積在水平表面204上。粒子之堆積可能導致製程腔室中受處理之基板上之不可接受的缺陷增加數量。所揭示技術可用於配置RPC管的異形端件而具有至少一傾斜表面,以防止粒子之堆積及減少基板上的缺陷增加。
根據例示實施例,圖3顯示第二氣體輸送裝置(例如,輸送入口配接器166)之入口部分300的俯視圖。入口部分300可包含複數個錐狀表面304A、304B、304C、304D、304E、及304F。複數個錐狀表面304A、304B、304C、304D、304E、及304F可配置成環繞相應的複數個入口埠302A、302B、302C、302D、302E、及302F。入口部分300之相應的剖面圖A及B分別顯示於圖4及圖5。雖然入口部分300顯示具有6個入口埠302A-302F,但是本揭示內容在這方面並未受限。更具體而言,入口部分300可配置為具有不同的入口埠及相應的錐狀表面數量。
根據例示實施例,圖4顯示圖3之第二氣體輸送裝置的第一剖面圖400。參考圖4,第一剖面圖400顯示環繞輸送入口配接器166之入口部分300之入口埠302F的錐狀表面304F。
根據例示實施例,圖5顯示圖3之第二氣體輸送裝置的第二剖面圖500。參考圖5,第二剖面圖500顯示輸送入口配接器166之入口部分300的錐狀表面304B及304D。
根據例示實施例,圖6顯示圖3之第二氣體輸送裝置之入口部分300的俯視圖600,其包含多個傾斜區域。參考圖6,錐狀表面304A-304F係設置為環繞中心軸610。錐狀表面304A-304F之各者可設置為具有第一區域及第二區域。第一區域可與第一曲率相關,且第二區域可與第二曲率相關。第一曲率可不同於第二曲率。舉例而言,環繞入口埠302A之錐狀表面304A可包含第一區域602及第二區域604。同樣地,環繞入口埠302B之錐狀表面304B可包含第一區域606及第二區域608。
在一些實施例中,第一及第二區域可基於第一及第二區域之所揭示組態及特性使用CNC銑床來提供(或製造)。舉例而言,CNC銑床可與不同的銑削路徑(或圖案)一起使用,以產生與第一及第二區域相關的輪廓。用於產生第一及第二區域的例示銑削圖案於下文加以闡述。
根據例示實施例,圖7顯示圖6之多個傾斜區域之第一區域602的俯視圖700。在一些實施例中,第一區域602使用CNC銑床(例如,可配備球型端銑刀以促進錐狀輪廓的切割)環繞入口埠302A的中心軸702來產生。舉例而言,第一區域602可藉由在環繞中心軸702之同心圓704的圖案中進行銑削而產生。就這一點而言,第一區域602可與等同於使用置中於中心軸702之圓錐工具(例如,圖9中之圓錐工具902)的切口相關。
根據例示實施例,圖8顯示用於提供第一區域602之例示工具切口的側視圖800。參考圖8,第一區域602可使用圓錐切口806之一部分來產生,該圓錐切口806等同於使用置中於中心軸702的圓錐工具(例如,圖9中之圓錐工具902)。舉例而言,可將第一區域602進行銑削(例如,從鋼棒或其他類型之材料),使得圓錐表面得以實現。第一區域602之圓錐表面可由邊緣802、804界定之圓錐切口806的一部分所表示,如圖8所示。
根據例示實施例,圖9顯示圓錐工具902的立體圖900及用於提供第一區域602之圓錐切口806的俯視圖901。雖然第一區域602具有圓錐狀,但是圓錐工具902(如圖9所繪示)可阻止將第二區域604銑削成其預期的形狀,因為圓錐工具902可切割出完整的圓錐。在如此態樣中,CNC加工可用於促進製造,因為具有相同圓錐角之更小得多的工具可在不損壞第二區域604的情況下朝半徑、圓周、及垂直方向往復地柵格化。
根據例示實施例,圖10顯示用於提供與雙曲線輪廓相關之相鄰第第一區域之多個工具切口的俯視圖1000。參考圖10,圓錐切口1004及1006可分別用於銑削第一區域602及606。如此輪廓之雙曲線可標示為對稱平面的彎曲邊緣(例如,沿著輪廓1008之邊緣)。雙曲線為將例如區域602及606之相鄰圓錐面進行切割的人工產物。
當將圓錐切口用於產生(例如,銑削)環繞入口部分300之入口埠的第一區域時,圓錐切口之間的平面干涉在相鄰錐狀表面之間產生雙曲線輪廓。舉例而言,圖10顯示在具有相應的第一區域602及606之錐狀表面304A與304B之間的雙曲線輪廓1002之立體圖1001。圖10更顯示在圓錐面304E與304D之間產生之雙曲線輪廓1008的一部分。
根據例示實施例,圖11顯示與雙曲線輪廓相關之相鄰錐狀表面的剖面圖1100。更具體而言,剖面圖1100顯示與圖3之錐狀表面304A、304B、304E、及304D中之第一區域的銑削相關之雙曲線輪廓1002及1008。
根據例示實施例,圖12顯示圖6之多個傾斜區域(例如,錐狀表面304A-304E)之第二區域604的俯視圖1200。參考圖12,第二區域604可使用CNC銑床(例如,球型端銑床)來產生,而作成具有不同的外徑(outside diameter,OD)的多個弧形切割1206。舉例而言,銑床可依循具有基於RPC管之半徑1202(例如,半徑1202係中心軸610與RPC管160之內周長之間的距離)之OD的弧產生第一(最淺)切割1203(例如,銑床切割器具之最外側切線可位於半徑1202,該半徑1202為RPS管之內、圓柱壁)。隨著切割之深度增加,切割弧之OD隨之減小,從而使切割弧之中心軸接近相應的入口埠之中心軸。舉例而言,第二區域604之最後(最深)弧形切割1205係與基於入口埠302A之半徑1204的OD相關。第二區域604之第一及最後切割的不同視圖顯示於圖13-16中。
根據例示實施例,圖13顯示可用於產生第二區域604之第一切割1203的俯視圖1300。
根據例示實施例,圖14顯示基於半徑1202配置之第一切割1203的剖面圖1400。
根據例示實施例,圖15顯示可用於產生第二區域604之最後切割1205的俯視圖1500。
根據例示實施例,圖16顯示基於半徑1204配置之最後切割1205的剖面圖1600。
根據例示實施例,圖17顯示圖3之第二氣體輸送裝置之入口部分300的俯視圖1700,其包含在多個傾斜區域之間具有平滑輪廓的混合區域。參考圖17,入口部分300可配置具有例如混合區域1702、1704、及1706之例示混合區域。在一些態樣中,具有平滑輪廓之第一混合區域1702可環繞入口埠的開口而配置。舉例而言,可將1/16”圓化添加到入口埠開口以產生混合區域1702。在一些實施例中,當使用旋轉銑削工具切割部件時,混合區域1704之相交處可為若干非零半徑的混合。在一些態樣中,混合區域1706之相交處可具有比區域1704更尖銳的邊緣輪廓。
在一些態樣中,第二混合區域1704可配置在將錐狀表面之第一區域及第二區域分離的一或更多邊緣之間。第三混合區域1706可配置在相鄰錐狀表面之間。在一些實施例中,混合區域1704及1706可藉由將1/8”圓化添加到第一與第二區域之間及相鄰錐狀表面之間的相應邊緣而產生。在一些實施例中,可將不同程度之圓化用於混合區域1702、1704、及1706。
參考圖1-17,氣體輸送裝置(例如,輸送入口配接器166)可包含入口部分300(亦稱為入口部分167)及出口部分169。入口部分300可包含配置成從氣體源(例如,RPS 152)接收氣體的複數個入口埠302A-302F。入口部分300亦可包含與複數個入口埠相關之相應的複數個錐狀表面304A-304F。複數個錐狀表面304A-304F之各錐狀表面環繞複數個入口埠302A-302F之相應的入口埠。出口部分169可配置成將氣體輸送至製程腔室102的氣體噴淋頭109。
在一些實施例中,複數個錐狀表面304A-304F之各錐狀表面包含第一區域及第二區域。舉例而言,錐狀表面304A包含第一區域602及第二區域604。第一區域係與第一曲率相關,且第二區域係與第二曲率相關。第一曲率可不同於第二曲率。在一些態樣中,第一區域(例如,第一區域602)可包含圓錐表面。第二區域(例如,第二區域604)可包含非圓錐表面。圓錐表面可與恆定斜率及恆定仰角相關。在一些態樣中,圓錐表面可包含圓錐切口(例如,與第一區域602相關的圓錐切口806)。圓錐切口可包含具有共同中心軸的複數個部分同心圓(例如,環繞入口埠302A之中心軸702的同心圓)。
在一些實施例中,非圓錐表面可包含非圓錐切口(例如,基於多個弧形切割1206產生之第二區域604的切口)。非圓錐切口可包含複數個弧(例如,多個弧形切割1206)。複數個弧可與彼此不重合之相應的複數個中心軸相關(例如,各弧之OD如關於圖12所述而改變)。
在一些實施例中,複數個錐狀表面之各錐狀表面可更包含設置在第一區域與第二區域之間的第一混合區域(例如,混合區域1704)。此外,複數個錐狀表面之各錐狀表面可更包含環繞錐狀表面之入口埠設置的第二混合區域(例如,混合區域1702)。
根據例示實施例,圖18為使用具有異形表面之輸送入口配接器從製程腔室中移除殘餘沉積物之方法1800的流程圖。方法1800包含操作1802、1804、1806、1808、及18010,該等操作可由控制邏輯執行(或控制邏輯配置或使其他模組執行功能),例如圖1的系統控制器159。舉例而言,系統控制器159可配置成管理基板處理系統100的操作,包含執行與原位清潔相關的操作以從製程腔室中移除殘餘沉積物。
在操作1802,可提供氣體輸送裝置(例如,輸送入口配接器166)。氣體輸送裝置可包含入口部分(例如,入口部分167或300)及出口部分(例如,出口部分169)。入口部分可包含複數個入口埠(例如,入口埠302A-302F)。入口部分亦可包含與複數個入口埠相關之相應的複數個錐狀表面(例如,錐狀表面304A-304F)。複數個錐狀表面之各錐狀表面環繞複數個入口埠之相應的入口埠。
在操作1804,氣體輸送裝置之出口部分(例如,出口部分169)可耦接至製程腔室的噴淋頭(例如,噴淋頭109)。
在操作1806,氣體輸送裝置之入口部分(例如,入口部分300)可耦接至遠端電漿源(例如,RPS 152)。
在操作1808,由RPS產生之清潔氣體可經由氣體輸送裝置之複數個入口埠及噴淋頭進入製程腔室。舉例而言,由RPS 152產生之清潔氣體可透過供給管線158、閥154、MFC 156、管162、縮小配接器164、輸送入口配接器166、及噴淋頭109進入製程腔室102。
在操作1810,可使用清潔氣體將殘餘沉積物從製程腔室中移除。
圖19為顯示機器1900之實例的方塊圖,一或更多例示方法實施例可在該機器1900上實施,或一或更多例示實施例可藉由該機器1900加以控制。在替代實施例中,機器1900可作為獨立裝置運作或可連接(例如,連網)至其他機器。在以網路連接的部署中,機器1900可在伺服器-客戶端網路環境中以伺服器機器、客戶端機器、或兩者的形式運作。在實例中,機器1900可充當成點對點(peer-to-peer,P2P)(或其他分散式)網路環境中的同級機器。進一步而言,雖然僅顯示單一機器1900,但是術語「機器」應亦被理解成包含單獨或共同執行一組(或多組)指令之機器的任何集合,以例如經由雲端運算、軟體即服務(software as a service,SaaS)、或其他電腦叢集組態執行本文所述之方法的任何一或更多者。
如本文所述之實例可包含邏輯、若干元件、或裝置或可藉由邏輯、若干元件、或裝置來操作。電路系統係在包含硬體(例如,簡單電路、閘、邏輯)之有形實體中所實施之電路的集合。電路系統成員可隨時間及潛在硬體變異性而變動。電路系統包含在操作時可單獨或組合執行指定操作的成員。在實例中,電路系統之硬體可永久地設計成執行特定操作(例如,固線式)。在實例中,電路系統的硬體可包括不定連接的實體元件(例如,執行單元、電晶體、簡單電路),該實體元件包含物理性修改(例如,磁性修改、電修改、由不變質量之粒子的可移動設置修改)成將特定操作之指令編碼的電腦可讀媒體。在連接實體元件的過程中,硬體構成部分的基本電性質發生變化(例如,從絕緣體變成導體,或反之亦然)。這些指令使嵌入式硬體(例如,執行單元或載入機構)透過可變連接在硬體中產生電路系統之成員,以在操作時執行特定操作的多個部分。因此,當裝置正在操作時,電腦可讀媒體通訊耦接至電路系統的其他元件。在一些態樣中,可將實體元件之任何者用於不只一電路系統的不只一成員中。舉例而言,在操作的情況下,執行單元可在一個時間點用於第一電路系統之第一電路中,且在不同的時間點可由第一電路系統中的第二電路、或由第二電路系統中的第三電路重複利用。
機器(例如,電腦系統)1900可包含硬體處理器1902(例如,中央處理單元(central processing unit,CPU)、硬體處理器核心、圖形處理單元(graphics processing unit,GPU)、或其任何組合)、主記憶體1904、及靜態記憶體1906,該等元件之一些或全部者可憑藉鏈接(例如,匯流排)1908與彼此通訊。機器1900可更包含顯示裝置1910、文數字輸入裝置1912(例如,鍵盤)、及使用者介面(user interface,UI)導航裝置1914(例如,滑鼠)。舉例而言,顯示裝置1910、文數字輸入裝置1912、及UI導航裝置1914可為觸控螢幕顯示器。機器1900可額外包含大量儲存裝置(例如,驅動單元)1916、信號產生裝置1918(例如,揚聲器)、網路介面裝置1920、及一或更多感測器1921。機器1900可包含輸出控制器1928,例如串列(例如,通用串列匯流排(universal serial bus,USB)、並列、或其他有線或無線(例如,紅外線(infrared,IR)、近場通訊(near field communication,NFC)連接,以與一或更多週邊裝置(例如,印表機、讀卡機)通訊或控制該一或更多週邊裝置。
在例示實施例中,硬體處理器1902可執行系統控制器159或本文所述之任何控制邏輯的功能,以配置或控制本文所述之關於將殘餘沉積物從處理腔室中移除或配置RPC管之輸送入口配接器的錐狀表面的功能。就這一點而言,硬體處理器1902可配置為用以基於所揭示技術或組態產生RPC管的元件(例如,輸送入口配接器)之裝置(例如,銑床或另一裝置)的處理器。
大量儲存裝置1916可包含在其上儲存一或更多組資料結構或指令1924(例如,軟體)之機器可讀取媒體1922,該等資料結構或指令1924體現本文所述之技術或功能之任一或更多者或由本文所述之技術或功能之任一或更多者所利用。指令1924亦可在其由機器1900執行期間完全或至少部分停留於主記憶體1904內、於靜態記憶體1906內、或於硬體處理器1902內。在實例中,硬體處理器1902、主記憶體1904、靜態記憶體1906、或大量儲存裝置1916之一或任何組合可組成機器可讀取媒體。
雖然機器可讀取媒體1922顯示為單一媒體,但是術語「機器可讀取媒體」可包含配置成儲存一或更多指令1924的單一媒體或多個媒體(例如,集中式或分散式資料庫、及/或相關的快取及伺服器)。
術語「機器可讀取媒體」可包含能夠儲存、編碼、或傳輸由機器1900執行並使機器1900執行本揭示技術之一或更多者的指令1924、或能夠儲存、編碼、或傳輸由如此指令1924所使用或與之相關的資料結構的任何媒體。非限制性機器可讀取媒體實例可包含固態記憶體或光學及磁性媒體。在實例中,大量機器可讀取媒體包含具有複數個粒子的機器可讀取媒體1922,該複數個粒子具有不變(例如,靜止)質量。因此,大量機器可讀取媒體並非暫時性傳播信號。大量機器可讀取媒體的具體實例可包含非揮發性記憶體,例如半導體記憶體裝置(例如,電子可程式唯讀記憶體(Electrically Programmable Read-Only Memory,EPROM)、電子可抹除可程式化唯讀記憶體(Electrically Erasable Programmable Read-Only Memory,EEPROM)及快閃記憶體裝置;磁碟,例如內部硬磁碟及可移式磁碟;磁光碟;及CD-ROM和DVD-ROM碟片。
指令1924可進一步憑藉網路介面裝置1920使用傳輸媒體在通訊網路1926上進行傳送或接收。
先前技術的實施例可透過許多說明書、組態、或硬體及軟體的例示部署來實現。應理解本說明書中所述之功能單元及功能可被稱為或標記為元件或模組,以更具體強調其實施例獨立性。如此元件可由許多軟體或硬體形式體現。舉例而言,元件或模組可實施為包含超大型積體(very-large-scale integration,VLSI)電路或閘陣列、現成的半導體(例如邏輯晶片)、電晶體、或其他離散組件的硬體電路。元件或模組亦可在例如現場可程式閘陣列、可程式陣列邏輯、可程式邏輯裝置等的可程式硬體裝置中實施。元件或模組亦可在由各種類型之處理器執行之軟體中實施。舉例而言,可執行碼之識別元件或模組可包含電腦指令之一或更多實體或邏輯塊,該一或更多實體或邏輯塊可例如被組織為物件、程式、或功能。儘管如此,識別元件或模組之可執行檔案不需要實體定位在一起,但可包含儲存在不同位置中之截然不同的指令,當該等指令邏輯性結合在一起時,其組成元件或模組,且實現元件或模組之指定用途。
事實上,可執行碼之元件或模組可為單一指令、或許多指令,且甚至可分配在若干不同的代碼段上、在不同的程式之間、及在若干記憶體裝置或處理系統範圍內。特別是,所述程序之一些態樣(例如編碼修訂及編碼分析)可發生在與將代碼部署在其中(例如鑲嵌在感測器或機器人之電腦中)者不同的處理系統上(例如,在資料中心之電腦中)。同樣地,運算資料可被識別及顯示於本文之元件或模組內,且可以任何適當形式體現並在任何合適類型的資料結構內加以組織。運算資料可集合為單一資料集,或可分配在包含在不同的儲存設備之不同位置上,且可至少部分僅作為在系統或網路上的電子信號而存在。元件或模組可為被動式或主動式,包含可操作用以執行所期望之功能的媒介。
標的所述之實施例可包含如以下作為實例所示之單獨或成組的一或更多特徵部。
實例1為氣體輸送裝置,其包含:入口部分,該入口部分包含:複數個入口埠,配置成從氣體源接收氣體;及與該複數個入口埠相關之相應的複數個錐狀表面,該複數個錐狀表面之各錐狀表面環繞該複數個入口埠之相應的入口埠;及出口部分,該出口部分配置成將該氣體輸送至製程腔室之氣體噴淋頭。
在實例2中,如實例1之標的包含以下標的:該複數個錐狀表面之各錐狀表面包含第一區域及第二區域,該第一區域具有第一曲率,該第二區域具有第二曲率,且該第一曲率係不同於該第二曲率。
在實例3中,如實例2之標的包含以下標的:該第一區域包含圓錐表面,且其中該第二區域包含非圓錐表面。
在實例4中,如實例3之標的包含以下標的:該圓錐表面係與恆定斜率及恆定仰角相關。
在實例5中,如實例3-4之標的包含以下標的:該圓錐表面包含圓錐切口,該圓錐切口包含具有共同中心軸的複數個部分同心圓。
在實例6中,如實例3-5之標的包含以下標的:該非圓錐表面包含非圓錐切口,該非圓錐切口包含複數個弧,該複數個弧與彼此不重合之相應的複數個中心軸相關。
在實例7中,如實例2-6之標的包含以下標的:該複數個錐狀表面之各錐狀表面更包含配置在該第一區域及該第二區域之間的第一混合區域。
在實例8中,如實例7之標的包含以下標的:該複數個錐狀表面之各錐狀表面更包含環繞該錐狀表面之該入口埠設置的第二混合區域。
實例9為用於從製程腔室中移除殘餘沉積物之方法,該方法包含:提供氣體輸送裝置,該氣體輸送裝置包含入口部分及出口部分,該入口部分包含複數個入口埠及與該複數個入口埠相關之相應的複數個錐狀表面,該複數個錐狀表面之各錐狀表面環繞該複數個入口埠之相應的入口埠;將該入口部分耦接至遠端電漿源(RPS);容許由該RPS產生之清潔氣體經由該氣體輸送裝置之該複數個入口埠及該噴淋頭進入到該製程腔室中;及使用該清潔氣體將該殘餘沉積物從該製程腔室中移除。
在實例10中,如實例9之標的包含以下標的:容許驅淨氣體經由該氣體輸送裝置之該複數個入口埠及該噴淋頭進入到該製程腔室中。
在實例11中,如實例9-10之標的包含以下標的:該複數個錐狀表面之各錐狀表面包含第一區域及第二區域,該第一區域具有第一曲率,該第二區域具有第二曲率,且該第一曲率係不同於該第二曲率。
在實例12中,如實例11之標的包含以下標的:該第一區域包含圓錐表面,且其中該第二表面包含非圓錐表面。
在實例13中,如實例12之標的包含以下標的:該圓錐表面係與恆定斜率及恆定仰角相關。
實例14為半導體基板處理裝置,該裝置包含:遠端電漿源(RPS),其配置成從清潔氣體產生電漿及自由基;氣體輸送裝置,其耦接至該RPS,該氣體輸送裝置包含入口部分及出口部分,該入口部分包含:複數個入口埠,其配置成從氣體源接收氣體;及與該複數個入口埠相關之對應的複數個錐狀表面,該複數個錐狀表面之各錐狀表面環繞該複數個入口埠中之對應的入口埠;製程腔室,其中半導體基板受處理且殘餘沉積物形成,該製程腔室透過該入口部分及該出口部分流體耦接至該RPS;及控制器模組,其耦接至該RPS、該氣體輸送裝置、及該製程腔室,該控制器模組使該RPS從透過該入口部分及該出口部分流入該製程腔室中的該清潔氣體產生該自由基。
在實例15中,如實例14之標的包含以下標的:該複數個錐狀表面之各錐狀表面包含第一區域及第二區域,該第一區域具有第一曲率,該第二區域具有第二曲率,且該第一曲率係不同於該第二曲率。
在實例16中,如實例15之標的包含以下標的:該第一區域包含圓錐表面,且其中該第二表面包含錐狀、非圓錐表面。
在實例17中,如實例16之標的包含以下標的:該圓錐表面係與恆定斜率及恆定仰角相關。
在實例18中,如實例16-17之標的包含以下標的:該圓錐表面包含圓錐切口,該圓錐切口包含具有共同中心軸之複數個部分同心圓;且該非圓錐表面包含非圓錐切口,該非圓錐切口包含複數個弧,該複數個弧與彼此不重合之相應的複數個中心軸相關。
在實例19中,如實例16-18之標的包含以下標的:該複數個錐狀表面之各錐狀表面更包含設置在該第一區域及該第二區域之間的第一混合區域。
在實例20中,如實例19之標的包含以下標的:該複數個錐狀表面之各錐狀表面更包含設置環繞該錐狀表面之該入口埠設置的第二混合區域。
實例21為包含指令的至少一機器可讀取媒體,當該等指令由處理電路系統執行時,其使該處理電路系統執行操作,以實施實例1-20中之任何者。
實例22為包含用以實施實例1-20中之任何者之裝置的設備。
實例23為用以實施實例1-20中之任何者的系統。
實例24為用以實施實例1-20中之任何者的方法。
在本說明書各處,複數個實例可實施所述為單一實例的元件、操作、或結構。雖然一或更多方法中之個別操作顯示及描述為不同的操作,但是可同時執行個別方法中之一或更多者,且不需要以所述之次序執行操作。針對例示組態之呈現為不同元件的結構與功能可實施為組合結構或元件。同樣地,呈現為單一元件的結構與功能可實施為不同的元件。這些及其他變化、修改、添加、及改進落入本文標的之範圍內。
將本文所示的實施例足夠詳盡地描述,以使本領域技術人員能夠實施所揭示的教示。可使用其他實施例並從中推知,使得可在不脫離本揭示內容之範圍的情況下做出結構及邏輯替換與改變。因此,實施方法不應以限制性方式加以理解,且諸多實施例的範圍僅由附加之請求項、及享有如此權利的等效物的全部範圍界定。
本案請求項可能未闡明本文揭示之每一特徵,因為實施例可能體現前述特徵的子集。進一步而言,實施例可包括比特定實例中所揭示之特徵更少的特徵。因此,以下請求項係特此併入實施方法中,包括作為不同實施例而能獨立的請求項。
如本文所用,術語「或」可被解讀為包括或排除的意思。此外,多個實例可提供本文所述為單一實例的資源、操作、或結構。此外,諸多資源、操作、模組、工具、及資料儲存之間的界限在某種程度上係任意的,且特定操作係顯示於特定說明性組態之脈絡中。設想功能之其他配置,且該配置可發生在本揭示內容之諸多實施例的範圍內。一般而言,在例示組態中呈現為不同資源的結構與功能可實施為組合的結構或資源。同樣地,呈現為單一資源之結構與功能可實施為不同的資源。這些及其他變化、修改、添加、及改變落入作為由附加之請求項所表示之本揭示內容之實施例的範圍內。因此,說明書及圖式被認為係說明性而非限制性。
100:系統 102:製程腔室 104:氣體分配裝置 106:基板支撐件 108:基板 109:噴淋頭 110:底板 112:加熱板 114:熱阻層 116:通道 120:射頻產生系統 121:高頻產生器 122:低頻產生器 124:匹配及分配網路 130:化學品輸送系統 132:製程氣體源 132-1:製程氣體源 132-2:製程氣體源 132-N:製程氣體源 134:閥 134-1:閥 134-2:閥 134-N:閥 136:MFC 136-1:質流控制器、MFC 136-2:質流控制器 136-N:質流控制器 140:混合歧管 141:供給管線 142:溫度控制器 143:接地端 144:溫度控制元件 146:冷卻劑組件 148:閥 150:泵 152:遠端電漿源 154:閥 156:MFC 158:供給管線 159:系統控制器 160:RPC管 162:管 164:縮小配接器 166:輸送入口配接器 167:入口部分 168:入口埠 169:出口部分 200:入口部分 202A:入口埠 202B:入口埠 202C:入口埠 202D:入口埠 202E:入口埠 204:平坦表面 300:入口部分 302A:入口埠 302B:入口埠 302C:入口埠 302D:入口埠 302E:入口埠 302F:入口埠 304A:錐狀表面 304B:錐狀表面 304C:錐狀表面 304D:錐狀表面 304E:錐狀表面 304F:錐狀表面 400:第一剖面圖 500:第二剖面圖 600:俯視圖 602:區域 604:第二區域 606:區域 608:第二區域 610:中心軸 700:俯視圖 702:中心軸 704:同心圓 800:側視圖 802:邊緣 804:邊緣 806:圓錐切口 900:立體圖 901:俯視圖 902:圓錐工具 1000:俯視圖 1001:立體圖 1002:雙曲線輪廓 1004:圓錐切口 1006:圓錐切口 1008:輪廓 1100:剖面圖 1200:俯視圖 1202:半徑 1203:切割 1204:半徑 1205:切割 1206:弧形切割 1300:俯視圖 1400:剖面圖 1500:俯視圖 1600:剖面圖 1700:俯視圖 1702:混合區域 1704:區域 1706:混合區域 1800:方法 1802:操作 1804:操作 1806:操作 1808:操作 1810:操作 1900:機器 1902:處理器 1904:主記憶體 1906:靜態記憶體 1908:鏈接 1910:顯示裝置 1912:輸入裝置 1914:使用者介面(UI)導航裝置 1916:大量儲存裝置 1918:信號產生裝置 1920:網路介面裝置 1921:感測器 1922:機器可讀取媒體 1924:指令 1926:網路 1928:輸出控制器 A:剖面圖 B:剖面圖
隨附圖式中諸多者僅顯示本揭示內容之例示實施例,且不能視為限制其範圍。
圖1為基板處理系統之實例的功能方塊圖,其中可使用本揭示內容的實例。
圖2顯示例如RPC管之第一氣體輸送裝置的入口部分,其可關聯於將殘餘沉積物從製程腔室中移除而使用。
根據例示實施例,圖3顯示第二氣體輸送裝置的入口部分。
根據例示實施例,圖4顯示圖3之第二氣體輸送裝置的第一剖面圖。
根據例示實施例,圖5顯示圖3之第二氣體輸送裝置的第二剖面圖。
根據例示實施例,圖6顯示圖3之第二氣體輸送裝置之入口部分的俯視圖,其包含多個傾斜區域。
根據例示實施例,圖7顯示圖6之多個傾斜區域之第一區域的俯視圖。
根據例示實施例,圖8顯示用於提供圖7之第一區域之例示工具切口的側視圖。
根據例示實施例,圖9顯示用於提供圖7之第一區域之例示工具切口的俯視圖。
根據例示實施例,圖10顯示用於提供與雙曲線輪廓相關之相鄰第一區域之多個工具切口的俯視圖。
根據例示實施例,圖11顯示與雙曲線輪廓相關之相鄰錐狀表面的剖面圖1100。
根據例示實施例,圖12顯示圖6之多個傾斜區域之第二區域的俯視圖。
根據例示實施例,圖13顯示可用於製造圖12之第二區域之第一切割的俯視圖。
根據例示實施例,圖14顯示圖13之第一切割的剖面圖。
根據例示實施例,圖15顯示可用於產生圖12之第二區域之最後切割的俯視圖。
根據例示實施例,圖16顯示圖15之最後切割的剖面圖。
根據例示實施例,圖17顯示圖3之第二氣體輸送裝置之入口部分的俯視圖,其包含在多個傾斜區域之間具有平滑輪廓的混合區域。
根據例示實施例,圖18為使用具有異形表面之輸送入口配接器從製程腔室移除殘餘沉積物之方法的流程圖。
圖19為顯示機器之實例的方塊圖,一或更多例示方法實施例可在該機器上實施,或一或更多例示實施例可藉由該機器加以控制。
300:入口部分
302A、302B、302C、302D、302E、302F:入口埠
304A、304B、304C、304D、304E、304F:錐狀表面
A:剖面圖
B:剖面圖

Claims (20)

  1. 一種氣體輸送裝置,包括: 入口部分,該入口部分包含: 複數個入口埠,其配置成從氣體源接收氣體;及 相應的複數個錐狀表面,其與該複數個入口埠相關,該複數個錐狀表面之各錐狀表面環繞該複數個入口埠之相應的入口埠;以及 出口部分,該出口部分配置成將該氣體輸送至製程腔室的氣體噴淋頭。
  2. 如請求項1之氣體輸送裝置,其中該複數個錐狀表面之各錐狀表面包含第一區域及第二區域,該第一區域具有第一曲率,該第二區域具有第二曲率,且該第一曲率係不同於該第二曲率。
  3. 如請求項2之氣體輸送裝置,其中該第一區域包含圓錐表面,且其中該第二區域包含非圓錐表面。
  4. 如請求項3之氣體輸送裝置,其中該圓錐表面係與恆定斜率及恆定仰角相關。
  5. 如請求項3之氣體輸送裝置,其中該圓錐表面包含圓錐切口,該圓錐切口包含具有共同中心軸的複數個部分同心圓。
  6. 如請求項3之氣體輸送裝置,其中該非圓錐表面包含非圓錐切口,該非圓錐切口包含複數個弧,且該複數個弧係與彼此不重合之相應的複數個中心軸相關。
  7. 如請求項2之氣體輸送裝置,其中該複數個錐狀表面之各錐狀表面更包含設置在該第一區域及該第二區域之間的第一混合區域。
  8. 如請求項7之氣體輸送裝置,其中該複數個錐狀表面之各錐狀表面更包含環繞該錐狀表面之該入口埠設置的第二混合區域。
  9. 一種用於從製程腔室中移除殘餘沉積物之方法,該方法包含: 提供氣體輸送裝置,該氣體輸送裝置包含入口部分及出口部分,該入口部分包含複數個入口埠及與該複數個入口埠相關之相應的複數個錐狀表面,該複數個錐狀表面之各錐狀表面環繞該複數個入口埠之相應的入口埠; 將該氣體輸送裝置之該出口部分耦接至該製程腔室之噴淋頭; 將該入口部分耦接至遠端電漿源(RPS); 容許由該RPS所產生之清潔氣體及自由基經由該氣體輸送裝置之該複數個入口埠及該噴淋頭進入到該製程腔室中;及 使用該清潔氣體將該殘餘沉積物從該製程腔室中移除。
  10. 如請求項9之用於從製程腔室中移除殘餘沉積物之方法,更包含: 容許驅淨氣體經由該氣體輸送裝置之該複數個入口埠及該噴淋頭進入到該製程腔室中,以移除清潔副產物。
  11. 如請求項9之用於從製程腔室中移除殘餘沉積物之方法,其中該複數個錐狀表面之各錐狀表面包含第一區域及第二區域,該第一區域具有第一曲率,該第二區域具有第二曲率,且該第一曲率係不同於該第二曲率。
  12. 如請求項11之用於從製程腔室中移除殘餘沉積物之方法,其中該第一區域包含圓錐表面,且其中該第二區域包含非圓錐表面。
  13. 如請求項12之用於從製程腔室中移除殘餘沉積物之方法,其中該圓錐表面係與恆定斜率及恆定仰角相關。
  14. 一種半導體基板處理裝置,該裝置包括: 遠端電漿源(RPS),其配置成從清潔氣體產生自由基; 氣體輸送裝置,其耦接該RPS,該氣體輸送裝置包含入口部分及出口部分,該入口部分包含: 複數個入口埠,其配置成從氣體源接收氣體;及 相應的複數個錐狀表面,其與該複數個入口埠相關,該複數個錐狀表面之各錐狀表面環繞該複數個入口埠中之相應的入口埠; 製程腔室,在該製程腔室中半導體基板受到處理且殘餘沉積物形成,該製程腔室透過該入口部分及該出口部分流體耦接至該RPS; 控制器模組,其耦接至該RPS、該氣體輸送裝置、及該製程腔室,該控制器模組使該RPS從透過該入口部分及該出口部分流入該製程腔室中的該清潔氣體產生電漿及自由基。
  15. 如請求項14之半導體基板處理裝置,其中該複數個錐狀表面之各錐狀表面包含第一區域及第二區域,該第一區域具有第一曲率,該第二區域具有第二曲率,且該第一曲率係不同於該第二曲率。
  16. 如請求項15之半導體基板處理裝置,其中該第一區域包含圓錐表面,且其中該第二區域包含非圓錐表面。
  17. 如請求項16之半導體基板處理裝置,其中該圓錐表面係與恆定斜率及恆定仰角相關。
  18. 如請求項16之半導體基板處理裝置,其中: 該圓錐表面包含圓錐切口,該圓錐切口包含具有共同中心軸之複數個部分同心圓;及 該非圓錐表面包含非圓錐切口,該非圓錐切口包含複數個弧,該複數個弧與彼此不重合之相應的複數個中心軸相關。
  19. 如請求項16之半導體基板處理裝置,其中該複數個錐狀表面之各錐狀表面更包含設置在該第一區域及該第二區域之間的第一混合區域。
  20. 如請求項19之半導體基板處理裝置,其中該複數個錐狀表面之各錐狀表面更包含環繞該錐狀表面之該入口埠設置的第二混合區域。
TW111147117A 2021-12-17 2022-12-08 具有錐狀表面的遠端電漿清潔(rpc)輸送入口配接器 TW202340522A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163290893P 2021-12-17 2021-12-17
US63/290,893 2021-12-17

Publications (1)

Publication Number Publication Date
TW202340522A true TW202340522A (zh) 2023-10-16

Family

ID=86773312

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111147117A TW202340522A (zh) 2021-12-17 2022-12-08 具有錐狀表面的遠端電漿清潔(rpc)輸送入口配接器

Country Status (2)

Country Link
TW (1) TW202340522A (zh)
WO (1) WO2023114145A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
JP2011146464A (ja) * 2010-01-13 2011-07-28 Panasonic Corp プラズマ処理装置
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
JP6792786B2 (ja) * 2016-06-20 2020-12-02 東京エレクトロン株式会社 ガス混合装置および基板処理装置
JP7133454B2 (ja) * 2018-12-06 2022-09-08 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
WO2023114145A1 (en) 2023-06-22

Similar Documents

Publication Publication Date Title
JP6739940B2 (ja) 埋め込み電極を伴うガス分配セラミック板
TWI718120B (zh) 使用作為基板處理系統中的硬遮罩之非晶碳與矽膜的金屬摻雜
US10615169B2 (en) Selective deposition of SiN on horizontal surfaces
TWI697952B (zh) 用於晶圓副產物分佈及蝕刻特徵部輪廓均勻性之透過可調式電漿解離的氣體反應軌跡控制
TW201836440A (zh) 用以傳輸自由基與前驅物氣體至下游腔室以致能遠程電漿膜沉積之具有熱控制的整合式噴淋頭
CN107424898A (zh) 等离子体处理装置的清洁方法
TW201438103A (zh) 具有多個電漿配置構件之半導體處理系統
US11276590B2 (en) Multi-zone semiconductor substrate supports
TWI676706B (zh) 具有主動冷卻的柵條之氣體分配裝置
CN111247619B (zh) 用于控制等离子体室中的等离子体辉光放电的方法和系统
TW201706449A (zh) 在高密度電漿化學氣相沉積系統中第一片晶圓的金屬污染影響之消除
CN116013767A (zh) 用于硬掩模的金属介电膜的沉积
CN111041454A (zh) 具有歧管的基板处理装置
TW202340522A (zh) 具有錐狀表面的遠端電漿清潔(rpc)輸送入口配接器
US20200087788A1 (en) Multiple channel showerheads
US11557460B2 (en) Radio frequency (RF) signal source supplying RF plasma generator and remote plasma generator
TW202204686A (zh) 用於調節氣體之局部輸送的邊緣環
JP2022524280A (ja) 複数のプレナムおよびガス分配室を有する堆積ツール用のシャワーヘッド
US20230230820A1 (en) Intermittent stagnant flow
TWI838387B (zh) 功率供應系統、基板處理系統、及供應功率的方法
US20230215726A1 (en) Generating a low-temperature substrate protective layer
US20230369091A1 (en) High temperature pedestal with extended electrostatic chuck electrode
CN114830318A (zh) 用于管理不均匀性的晶片平面下方的非对称清扫块
KR20230006640A (ko) 가스 냉각을 사용한 샤워헤드 열 관리