JP7000466B2 - 電子デバイス製造システムにおいて直接的な構成要素同士の接触を介して間隙を較正するシステム及び方法 - Google Patents

電子デバイス製造システムにおいて直接的な構成要素同士の接触を介して間隙を較正するシステム及び方法 Download PDF

Info

Publication number
JP7000466B2
JP7000466B2 JP2019569777A JP2019569777A JP7000466B2 JP 7000466 B2 JP7000466 B2 JP 7000466B2 JP 2019569777 A JP2019569777 A JP 2019569777A JP 2019569777 A JP2019569777 A JP 2019569777A JP 7000466 B2 JP7000466 B2 JP 7000466B2
Authority
JP
Japan
Prior art keywords
actuator
load lock
motion
motion controller
feedback
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019569777A
Other languages
English (en)
Other versions
JP2020524899A (ja
Inventor
モーシン ワカール,
マーヴィン エル. フリーマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020524899A publication Critical patent/JP2020524899A/ja
Priority to JP2021209920A priority Critical patent/JP7114793B2/ja
Application granted granted Critical
Publication of JP7000466B2 publication Critical patent/JP7000466B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electron Beam Exposure (AREA)

Description

関連出願
[001]本出願は、あらゆる目的のために本書での参照により本書に援用される、2017年6月23日出願の「電子デバイス製造システムにおいて直接的な構成要素の接触を介して間隙を較正するシステム及び方法(SYSTEMS AND METHODS OF GAP CALIBRATION VIA DIRECT COMPONENT CONTACT IN ELECTRONIC DEVICE MANUFACTURING SYSTEMS)」と題された米国特許通常出願第15/632,031号(代理人整理番号25132/USA)に対して、優先権を主張するものである。
[002]この開示は、電子デバイス製造システムにおいて基板を支持し、処理するために使用される装置の、分散型モーション制御のシステム及び方法に関する。
[003]電子デバイス製造システムは一又は複数のプロセスチャンバを含むことがあり、かかるプロセスチャンバの中で、基板が処理されて、基板上に電子デバイス(例えば集積回路及び/又はフラットパネルディスプレイ)が製造される。プロセスチャンバは、減圧レベル(例えば約0.01トル~約80トルの範囲内)で、かつ高温(例えば摂氏約100度~摂氏約700度の範囲内)で運転されうる。電子デバイス製造システムの各プロセスチャンバ内では、同一の又は異なる基板プロセス(例えば、基板上の膜層の堆積、エッチング、アニーリング、硬化など)が行われうる。基板処理は、一部の電子デバイス製造システムのロードロック内でも行われうる。ロードロックは、チャンバであって、基板が、電子デバイス製造システム内のどこか他の場所に搬送されるためにそのチャンバを通ってプロセスチャンバとファクトリインターフェースとの間で移送される、チャンバである。
[004]基板プロセスにおいては、例えばパターンマスク及び/又はプラズマ若しくはガスの分配アセンブリといったプロセス実現装置(process delivery apparatus)を介して、望ましい厚さ及び均一性を有する望ましい材料の一又は複数の膜層が、選択的に、基板に付着させられうるか、又は基板から除去されうる。かかる望ましい厚さ及び均一性での精密な付着又は除去を確実にするためには、基板とプロセス実現装置との間の間隙が、厳密に制御されるべきである。しかし、基板サイズの大型化、基板のバッチロードの増大、及びプロセス温度の上昇(これはプロセス構成要素の熱膨張に影響を与えうる)に対応するために処理プロセスチャンバのサイズが大型化するにつれて、望ましい間隙を制御するのはより困難になりうる。したがって、電子デバイス製造システムは、間隙較正の改良型のシステム及び方法から恩恵を受けうる。
[005]第1態様によると、電子デバイス製造システムのモーション制御システムが提供される。このモーション制御システムは、モーションコントローラであって、プログラマブルプロセッサと、メモリと、メモリに記憶されかつプログラマブルプロセッサによって実行可能な間隙較正ソフトウェアプログラムとを備える、モーションコントローラを備える。モーション制御システムは、モーションコントローラに連結されたアクチュエータドライバであって、ドライバソフトウェアプログラムを備える、アクチュエータドライバも備える。モーション制御システムは、アクチュエータドライバに、かつプロセスチャンバ又はロードロックの中にあるプロセス実現装置又は基板支持体に連結されたアクチュエータであって、プロセス実現装置又は基板支持体を動かすよう構成されている、アクチュエータを更に備える。モーション制御システムは、また更に、アクチュエータ及びモーションコントローラに連結されたフィードバックデバイスを備える間隙較正ソフトウェアプログラムは、プロセス実現装置と基板支持体又は基板支持体上に受容された基板とのそれぞれの表面同士を直接接触させるよう、設定されている。
[006]第2態様によると、電子デバイス製造システムが提供される。この電子デバイス製造システムは、移送チャンバと、移送チャンバに連結されるプロセスチャンバとを備えており、移送チャンバは、一又は複数の基板をプロセスチャンバに出し入れするように移送するよう構成され、プロセスチャンバは、内部でこの一又は複数の基板を処理するよう構成されている。電子デバイス製造システムは、移送チャンバに連結されるロードロックも備えており、移送チャンバは、一又は複数の基板をロードロックに出し入れするように移送するよう構成されている。電子デバイス製造システムは、モーションコントローラであって、プログラマブルプロセッサと、メモリと、メモリに記憶されかつプログラマブルプロセッサによって実行可能な間隙較正ソフトウェアプログラムとを備えるモーションコントローラを、更に備える。間隙較正ソフトウェアプログラムは、プロセス実現装置と、基板支持体又は基板支持体上に受容された一又は複数の基板のうちの1つの基板との、それぞれの表面同士をプロセスチャンバの中で直接接触させるよう、設定されている。
[007]第3態様によると、電子デバイス製造システムのプロセスチャンバ又はロードロックの中で、構成要素の表面同士の間の間隙を較正する方法が提供される。この方法は、モーションコントローラから一又は複数のアクチュエータドライバに準備命令を発することによって、間隙較正の準備をすることと、プロセスチャンバ又はロードロックをオフラインにすることなく、構成要素の表面同士を直接接触させるよう、プロセスチャンバ又はロードロックの中で一又は複数のアクチュエータを作動させることと、構成要素の表面同士の間に検出された直接接触に応答することとを、含む。
[008]本開示の上記の実施形態及びその他の実施形態による、更に別の態様、特徴、及び利点が、以下の発明を実施するための形態、付随する特許請求の範囲、及び添付図面から、容易に自明となりうる。したがって、本書の図面及び説明は、本質的に例示的なものと見なすべきであり、限定的なものと見なすべきではない。
[009]後述する図面は、例示のみを目的としており、必ずしも縮尺通りではない。これらの図面は、本開示の範囲を限定することを意図するものでは全くない。
[0010]本開示の実施形態による電子デバイス製造システムの概略上面図を示す。 [0011]本開示の実施形態によるプロセス構成要素の構成の様々な概略側面図を示す。 [0012]本開示の実施形態によるモーション制御システムの概略側面図を示す。 [0013]本開示の実施形態による、電子デバイス製造システムのプロセスチャンバ又はロードロックの中で構成要素の表面同士の間の間隙を較正する方法のフロー図を示す。 [0014]本開示の実施形態による、アクチュエータドライバにおいて実行可能な位置及び速度のソフトウェア制御ループを示す。
[0015]これより、本開示の例示的な実施形態に詳しく言及していく。かかる例示的な実施形態は、添付図面に図示されている。同一又は類似の部分に言及するために、同一の参照番号が、可能な限り、図面全体を通じて使用される。
[0016]本開示の一又は複数の実施形態による電子デバイス製造システムは、基板を処理するよう構成されたプロセスチャンバ又はロードロックの中での、可動プロセス構成要素同士の直接接触を検出するよう設定された、分散型モーション制御システムを含みうる。間隙較正ソフトウェアプログラムを実行するモーションコントローラによる直接接触の検出が、プロセス構成要素の表面同士の間の間隙の間隔を較正するために使用されうる。間隙間隔を較正すること、及び、次いで厳密に制御することにより、望ましい厚さ及び均一性での付着及び/又は除去を含む膜堆積及び/又は膜エッチングの特性が、有利に改善されうる。間隙間隔を厳密に制御することは更に、膜の堆積及び/又はエッチングの速度に良い影響を与えうる。間隙間隔は、精密に制御されれば、2つ以上のプロセスチャンバを有する電子デバイス製造システムにおけるプロセスチャンバの性能の整合性を、更に改善しうる。望ましい間隙間隔は、応用によって変動する。例えば、原子層堆積向けの望ましい間隙間隔は、化学インジェクタと基板との間の間隙では4ミル~80ミルで変動してよく、ベベルエッチング(bevel etch)向けの望ましい間隙間隔は、パターンマスクと基板との間の間隙では1ミル~20ミルで変動しうる。
[0017]間隙較正中のプロセス構成要素の表面同士の直接接触は、基板表面、基板支持体の表面、及び/又はプロセス実現装置の表面の、いかなる組み合わせ同士の接触も含みうる。
[0018]プロセス構成要素の表面同士の直接接触を介する間隙較正について図示し説明している例示的な実施形態の更なる詳細事項だけでなく、電子デバイス製造システムのプロセスチャンバ又はロードロックの中でプロセス構成要素の表面同士の間の間隙を較正する方法を含むその他の態様についても、図1から5Bに関連付けて、より詳細に後述する。
[0019]図1は、一又は複数の実施形態による電子デバイス製造システム100を示している。電子デバイス製造システム100は、基板102に一又は複数のプロセスを実施しうる。基板102は、好適に硬性であり、決まった寸法であり、平面である、任意の物品(例えばケイ素を含有するディスク又はウエハ、パターニングされたウエハ、ガラスプレートなど)であって、その上に電子デバイス又は回路構成要素を製造するのに適した物品でありうる。一部の実施形態では、基板は、例えば、直径が200mm、300mm、又は450mmの半導体ウエハでありうる。
[0020]電子デバイス製造システム100は、プロセスツール104と、プロセスツール104に連結されるファクトリインターフェース106とを含みうる。プロセスツール104は、内部に移送チャンバ110を有するハウジング108を含んでよく、移送チャンバ110の中には、基板移送ロボット112が配置されうる。複数のプロセスチャンバ114、116、及び118が、ハウジング108及び移送チャンバ110に連結されうる。ロードロック120も、ハウジング108及び移送チャンバ110に連結されうる。移送チャンバ110、プロセスチャンバ114、116、及び118、並びにロードロック120は、減圧レベルに維持されうる。移送チャンバ110の減圧レベルは、例えば約0.01トル~約80トルの範囲内でありうる。その他の減圧レベルも使用されうる。
[0021]移送ロボット112は、基板102を、移送チャンバ110に物理的に連結されたプロセスチャンバとロードロックのいずれかに出し入れするように移送するよう構成されている、複数のアーム及び一又は複数のエンドエフェクタを含みうる(図1では基板102及び基板配置場所が円で示されていることに留意されたい)。
[0022]一又は複数の基板には、プロセスチャンバ114、116、及び118の各々において、同一の又は異なる基板プロセス(例えば原子層堆積(ALD)、物理的気相堆積(PVD)、化学気相堆積(CVD)、エッチング、アニーリング、硬化、予洗浄、金属又は金属酸化物の除去など)が行われうる。例えば、プロセスチャンバ114の一方又は両方においてPVDプロセスが行われてよく、プロセスチャンバ116の一方又は両方においてエッチングプロセスが行われてよく、プロセスチャンバ118の一方又は両方においてアニーリングプロセスが行われうる。チャンバ内では、基板にその他のプロセスも実施されうる。
[0023]ロードロック120は、その一方の側で、移送チャンバ110とインターフェース接続するよう構成され、かつ移送チャンバに連結されてよく、反対の側では、ファクトリインターフェース106とインターフェース接続するよう構成され、かつファクトリインターフェース106に連結されうる。ロードロック120は、環境的に制御された雰囲気(atmosphere)であって、減圧環境(この環境において基板は移送チャンバ110に出入りするように移送されうる)から、大気圧の又はそれに近い不活性ガス環境(この環境において基板はファクトリインターフェース106に出入りするように移送されうる)まで変動しうる、雰囲気を有しうる。一部の実施形態では、ロードロック120は、異なる垂直方向高さに位置している(例えば一方が他方の上にある)上側内部チャンバの対と下側内部チャンバの対とを有する、積層ロードロックでありうる。一部の実施形態では、上側内部チャンバの対は、処理済みの基板を、プロセスツール104から除去するために、移送チャンバ110から受容するよう構成されてよい一方、下側内部チャンバの対は、基板を、プロセスツール104内での処理のために、ファクトリインターフェース106から受容するよう構成されうる。一部の実施形態では、ロードロック120は、内部に受容した一又は複数の基板102に、基板プロセス(例えばエッチング又は予洗浄)を実施するよう構成されることもある。
[0024]ファクトリインターフェース106は、任意の好適な筐体(例えば、機器フロントエンドモジュールすなわちEFEMなど)でありうる。ファクトリインターフェース106は、ファクトリインターフェース106の様々な負荷ポート124にドッキングされた基板キャリア122(例えば、前方開口型統一ポッドすなわちFOUPでありうる)から、基板102を受容するよう構成されうる。ファクトリインターフェースロボット126(点線で示している)が、基板キャリア122とロードロック120との間で基板102を移送するために使用されうる。任意の従来的なロボットの種類が、ファクトリインターフェースロボット126として使用されうる。移送は、任意の順序で又は任意の方向に実施されうる。ファクトリインターフェース106は、若干正圧の、非反応性ガス環境(例えば、窒素を非反応性ガスとして使用する)などに維持されうる。
[0025]移送ロボット112及びファクトリインターフェースロボット126の動き、並びに、プロセスチャンバ114、116、118と、ロードロック120と、ファクトリインターフェース106と、基板キャリア122との間での及び/又はそれらの中での基板102の移送は、モータ駆動システム(図1には図示せず)によって制御されてよく、このモータ駆動システムは、複数のサーボモータ又はステッピングモータを含みうる。
[0026]電子デバイス製造システム100は、システムコントローラ128も含みうる。システムコントローラ128は、能動的なハードウェア構成要素の動作を制御するために、かかるハードウェア構成要素の各々に連結されうる。システムコントローラ128は、プログラマブルプロセッサと、プロセッサにより実行可能な命令/ソフトウェアプログラム/ファームウェアを記憶しているメモリと、様々なサポート回路と、入/出力回路とを含みうる。システムコントローラ128は、オペレータ人員によるデータや動作コマンドなどの入力及び表示を許可するようにも、設定されうる。
[0027]電子デバイス製造システム100は、モーションコントローラ130(図3から図5Bに関連付けてより詳細に後述する)を更に含みうる。モーションコントローラ130は、プログラマブルプロセッサと、プロセッサにより実行可能な命令/ソフトウェアプログラム/ファームウェアを記憶しているメモリと、様々なサポート回路と、入/出力回路とを含みうる。モーションコントローラ130は、閉ループ位置制御システム(サーボ制御システムと称されうる)において、モータの転流(commutation)を超える高次の監視タスクのために、アクチュエータ(モーション)機器のアクチュエータ(モーション)ドライバの内部と外部の両方でネットワークに連結されうる様々なデバイスを使用することによって、電子デバイス製造システム100内でアクチュエータ(モーション)機器からのデータを収集し、処理するよう、動作しうる。モーションコントローラ130は、システムコントローラ128とは別個に動作してよく、システムコントローラ128に情報を提供し、かつ/又はシステムコントローラ128によって制御されうる。あるいは、システムコントローラ128がモーションコントローラ130の機能を果たすことがあり、モーションコントローラ130は、電子デバイス製造システム100から省略されうる。
[0028]半導体デバイスのサイズが小型化するにつれて、半導体業界におけるプロセス変動の許容誤差も小さくなり続けており、これと共に、基板処理(例えば、堆積、アニーリング、硬化、エッチング、及び/又はその他の、プロセスチャンバ又はロードロックにおける基板上での膜の処理)中に、プロセス構成要素同士の間に厳密に制御された間隙を維持することが、必要とされている。
[0029]プロセス構成要素は、基板支持装置及びプロセス実現装置を含みうる。基板支持装置は、単一軸の又は多軸のアクチュエータ(モータなど)を含んでよく、単一の又は(垂直方向に2つ以上の)マルチスロットに重なった基板を有することがあり、基板を搬送し、支持するためのリフト、エレベータ、又はインデクサ(indexer)を有しうる。プロセス実現装置の様々な実施形態は、プロセスチャンバ又はロードロックの内部に、プロセス供給アセンブリ(例えばパターンマスク、及び/又はプラズマ、ガス、若しくは熱を分配するアセンブリ)を位置決めするために使用されうる、アクチュエータを有しうる。かかるアセンブリの例は、エッチング用プロセスチャンバ又はロードロック内のカソードアセンブリ、化学気相堆積及び原子層堆積のプロセスチャンバ内のヒータペデスタルアセンブリ及びガス分配シャワーヘッドアセンブリ、並びにベベルエッチング用プロセスチャンバ又はロードロック内の基板パターンマスキングアセンブリを含む。ベベルエッジエッチングは、基板のエッジ領域上の堆積膜の望ましくない部分を除去するために使用されうる。
[0030]一又は複数の実施形態によると、図2A-2Eは、プロセスチャンバ又はロードロック(プロセスチャンバ114、116、118のうちの一又は複数、及び/又はロードロック120と同様又は同一でありうる)における基板支持装置及びプロセス実現装置の様々な構成を示している。
[0031]図2Aは、プロセス実現装置233Aの底面が基板202A又は(例えば、基板202Aが基板支持体203A上にまだ受容されていない場合には)基板支持体203Aの上面と直接接触するように、間隙較正中にプロセス実現装置233Aを垂直方向に下向きに動かすよう構成されたプロセス装置モータ232Aを含む、プロセスチャンバ又はロードロック214Aを示している。
[0032]図2Bは、基板202B又は(例えば、基板202Bが基板支持体203B上にまだ受容されていない場合には)基板支持体203Bの上面がプロセス実現装置233Bの底面と直接接触するように、間隙較正中に基板支持体203Bを垂直方向に上向きに動かすよう構成された基板支持モータ234Bを含む、プロセスチャンバ又はロードロック214Bを示している。
[0033]図2Cは、間隙較正中に、プロセス実現装置233Cの底面と基板202C又は(例えば、基板202Cが基板支持体203C上にまだ受容されていない場合には)基板支持体203Cの上面との間で直接接触が発生するように、プロセス実現装置233Cを垂直方向に下向きに動かすよう構成されたプロセス実現装置232Cと、基板支持体203Cを垂直方向に上向きに動かすよう構成された基板支持モータ234Cの両方を含む、プロセスチャンバ又はロードロック214Cを示している。
[0034]図2Dは、基板202Dを内部に受容するためのポケット205Dを有する基板支持体203Dを示している(チャンバは図示していない)。基板支持モータ234Dは、基板202Dが基板支持体203D上に受容されていても、間隙較正中に基板支持体上部表面207Dとプロセス実現装置(例えば、プロセス実現装置233A、233B、及び/又は233Cなど)の底面との間に直接接触が発生するように、基板支持体203Dを垂直方向に上向きに動かすよう構成されている。
[0035]また、図2E(チャンバは図示していない)は、基板支持体203Eを垂直方向に上向きに動かすよう構成された基板支持モータ234Eを示しており、基板支持体203Eは、間隙較正中に基板202Eの上面とプロセス実現装置(例えば、プロセス実現装置233A、233B、及び/又は233Cなど)の底面との間に直接接触が発生するように、基板202Eを支持するためのピン又はシャフト209Eを有する。
[0036]図2A-2Eに示している可動プロセス構成要素は、大トルクのドライブトレインを有するアクチュエータを含みうる。かかる大トルクのドライブトレインは、基板のための硬性かつ安定したプラットフォームであって、最低限の振動しか有さない一貫して平らな表面をもたらすプラットフォームを提供するように、基板を搬送しかつ/又は支持するために使用されうる。かかる硬性のプロセス構成要素は、厚い壁厚を有することがあり、変形に対して耐性を有する材料(例えば、鋼又はセラミックなど)で構築されうる。厚い壁厚と耐変形性材料により、移動質量が増大しうる。このようなプロセス構成要素は、例えば加熱すること、冷却すること、及び機械的に又は静電的に基板をチャックすることを含む複数の機能を提供することも可能であり、ゆえに、多数の入れ子式の(nested)サブコンポーネントであって、加熱する要素、冷却する要素、基板をチャックする要素、及びガスを分配する要素を含みうる、サブコンポーネントを含みうる。更に、基板はプロセスチャンバ内で継続的に減圧下にあってよく、減圧環境を大気から分離させるために、上記のプロセス構成要素と共に、減圧分離要素(ベローズなど)が含まれうる。そのため、アクチュエータと負荷とをつなぐアクチュエータシャフトを減圧下で封入するために、大直径の減圧分離要素が用いられる場合、その減圧分離界面全体において、強い圧迫力が生じるアクチュエータシャフトの直径は、その内径が、流体、ガス、及び電力のための供給チャネル及び還流チャネルを収容するのに十分なものであるべきである。減圧分離界面には軸方向力が生じることがあり、この軸方向力は、減圧分離要素の直径に比例しうる。ゆえに、かかるプロセス構成要素は、大トルクで高効率のボールネジ式ドライブトレイン(これは、結果的に、一部のプロセス構成要素を損傷する(例えば衝突させる)能力を有することになる)を必要とする、大きな搭載荷重(payload)を有しうる。
[0037]更に、補完的なフィードバックデバイスが、一又は複数の実施形態によりリアルタイムで間隙を測定し制御するために、プロセスチャンバに埋設されうる。このようなフィードバックデバイスは、直接接触型であっても、非接触型であってもよく、例えば光学センサ、容量性センサ、誘導性センサ、及び/又はCCD(電荷結合素子)カメラを含みうる。プロセスチャンバ又はロードロック内の埋設型センサの実際的な制約は、繊細なセンサパッケージング及び電子部品が高いプロセス温度及び強い化学反応に曝露されること、電子部品がプロセスチャンバからの高周波の電気的ノイズとプラズマノイズに対して高感度であること、並びに、センサ及びルーティング信号を狭いスペース内にパッケージングしても減圧完全性の維持が必要であることにより機械的複雑性が付加されることを、含みうる。
[0038]一又は複数の実施形態によるモーション制御のシステム及び方法は、完全に閉ざされたチャンバと、プロセス圧力(例えば0.01トル~約80トルの範囲内)及び/又はプロセス温度(例えば摂氏100度~摂氏700度の範囲内)におけるインシトゥ(その場)の基板間隙較正とを、有利に提供する。ゆえに、プロセスチャンバ又はロードロックは、間隙較正を実施するために、典型的にはまさに手作業で行われる保守手順のためにオフラインにされる必要がなくなりうる。更に、本開示のモーション制御のシステム及び方法により、有利には、間隙の測定及び較正のための専用の補完的なセンサ、製品、及び/又はツールの必要性がなくなりうる。更に、本開示のモーション制御のシステム及び方法は、有利には、機械的又は電気的な複雑性の追加を必要としなくなることがあり、一部の実施形態では、アクチュエータフィードバック信号が直接使用されうる。また更に、本開示のモーション制御のシステム及び方法では、有利には、プロセスの最中に、主たるアクチュエータのフィードバックを使用して、ルーチンに従って精密な間隙制御を確認し維持するために、ゲイン計画の原理と、分散型モーションプランニングの原理と、信号処理の原理とが組み合わされうる。本開示のモーション制御のシステム及び方法は、処理環境に対する感度が低くなり、(高いプロセス温度によって引き起こされる)熱膨張による実際の寸法改変の間隙間隔に対する影響に対処しうる。これにより、間隙の較正及び制御の精度が増大する。
[0039]一又は複数の実施形態によるモーション制御のシステム及び方法は、(1)プロセスチャンバ内部の構造的構成要素の許容可能な応力限界を超過することが可能な、大トルクアクチュエータ、(2)プロセスチャンバ又はロードロックの構造的構成要素の機械的総たわみ量、(3)モーション制御信号及びモーションフィードバック信号に課される、分散型モーションネットワークの帯域幅限界、及び(4)モーションフィードバック信号におけるノイズ、を含みうるいくつかの実際的な制約を、有利に克服しうる。
[0040]図3は、電子デバイス製造システム(例えば電子デバイス製造システム100など)において使用されうるモーション制御システム300を示している。モーション制御システム300は、一又は複数の実施形態により、プロセスチャンバ又はロードロック314の中で、プロセス実現装置333(例えば、図2のプロセス実現装置233A又は233Cと同一又は同様のものでありうる)、及び/又は基板支持体303(例えば、図2の基板支持体203B~Eと同一又は同様のものでありうる)の動きを制御するために使用されうる。プロセスチャンバ又はロードロック314は、減圧ポンプ(図示せず)によって均一に排気されうる。基板支持体303は、プロセスチャンバ又はロードロック314の中央に配置されてよく、一部の実施形態では、少なくとも1つの埋設型ヒータを含みうる。この埋設型ヒータは、基板支持体303及びその上に受容されている基板302を、所定の温度まで制御可能に加熱するよう、動作可能でありうる。
[0041]モーション制御システム300は、図1のモーションコントローラ130と同一又は同様でありうるモーションコントローラ330を含みうる。モーション制御システム300は、一又は複数のアクチュエータ332と334、モーションコントローラ330に連結された通信ネットワーク336、一又は複数のアクチュエータドライバ338Aと338Bであって、各々が通信ネットワーク336に連結されたアクチュエータドライバ338Aと338B、及び一又は複数のフィードバックデバイス340Aと340Bも、含みうる。フィードバックデバイス340A及び340Bは各々、例としては、位置センサ、並びに/又はその他の好適な、例えば速度、トルク、電流、力、及び/又はゆがみを感知するよう構成されたセンサデバイス(複数可)でありうる。アクチュエータ332及び334は、基板支持体303及びプロセス実現装置333の構成に応じて、例としては、プロセス装置モータ及び/又は基板支持モータ(例えば、プロセス装置モータ232A及び/若しくは232C、並びに/又は基板支持モータ234B~Eなど)のうちの、一又は複数でありうる。プロセス実現装置333は、パターンマスク及び/又はプラズマ若しくはガスの分配アセンブリを含みうる。
[0042]一部の実施形態では、アクチュエータ332は、基板302に対してプロセス実現装置333を動かし、位置決めするよう構成された、多方向移動デバイスでありうる。アクチュエータ332は、3つの軸(X-Y-Z)の全てに沿ってプロセス実現装置333の位置を調整するために使用されうる、電動モータベースのシステムの一部でありうる。一部の実施形態では、アクチュエータ332は、第1方向にプロセス実現装置333を動かしうる電動モータに接続されている機械的固定部を含みうる。電動モータは次いで、第2固定部(ステンレス鋼リングなど)を介して、プロセス実現装置333を第2方向及び第3方向に動かしうる。ゆえに、プロセス実現装置333を、上下に動かしつつ枢動させることによって、プロセス実現装置333は、3つの軸の全てに沿って位置決めし直されうる。更に、アクチュエータ332は、基板支持体303に対してプロセス実現装置333を水平化しうる。
[0043]電子デバイス製造システムのシステムコントローラ(例えば、図1のシステムコントローラ128など)でありうるシステムコントローラ328は、通信ネットワーク336を介して、あるいはモーションコントローラ330に直接連結された専用の通信チャネル337を介して、モーションコントローラ330並びに/又はアクチュエータドライバ338A及び338Bと通信しうる。あるいは、システムコントローラ328がモーションコントローラ330の機能を果たしうる(モーションコントローラ330は省略されうる)。
[0044]アクチュエータドライバ338Aは、対応するアクチュエータ332及びフィードバックデバイス340Bと通信し、それらを制御してよく、アクチュエータドライバ338Bは、対応するアクチュエータ334及びフィードバックデバイス340Bと通信し、それらを制御しうる。アクチュエータドライバ338A及び338Bは各々、それぞれのアクチュエータ332及び334の制御されたモーションを提供するよう構成され、これにより、プロセス実現装置333及び/又は基板支持体303のそれぞれの移動が制御される。アクチュエータドライバ338A及び338Bは各々、図4及び図5A‐5Bに関連付けてより詳細に後述するように、プログラマブル命令/ソフトウェアプログラム/ファームウェア(例えば、位置フィードバックループ、速度フィードバックフープ、及びモーションプランナを含みうる)を実行するよう設定された、プログラマブル処理能力を含みうる。
[0045]モーションコントローラ330は、プログラマブルプロセッサと、プロセッサにより実行可能な命令/ソフトウェアプログラム/ファームウェア、及びアクチュエータドライバ338A、338Bとフィードバックデバイス340A、340Bから受信したデータを記憶しているメモリとを、含みうる。モーションコントローラ330は、様々なサポート回路(例えば、電力供給及びネットワーク通信のためのもの)、及び入/出力回路も含みうる。一部の実施形態では、モーションコントローラ330は、そのメモリに記憶されており、かつそのプログラマブルプロセッサによって実行可能な、信号処理能力を有する実行可能な命令/ソフトウェアプログラム/ファームウェアを含みうる。モーションコントローラ330は、アクチュエータドライバ338A及び338Bに加えて一又は複数のその他の遠隔デバイス342にも、通信ネットワーク336を介して連結されうる。遠隔デバイス342は、例えば、一又は複数のプロセス構成要素の位置、配置、又はその他の状況に基づく信号などを提供する、一又は複数のI/Oモジュールでありうる。
[0046]モーションコントローラ330は、例えばCANopen(コントローラエリアネットワークオープン)通信プロトコルを使用し、通信ネットワーク336を経由して、通信を行いうる。CANopenプロトコルは、マスタ―スレーブ型通信モデルに基づくものである。そのため、モーションコントローラ330は、マスタ(スレーブにデータを送り、データをリクエストする)と指定されたCANopenノードであってよく、スレーブは、スレーブと指定された、アクチュエータドライバ338A及び338B、並びに他の任意の遠隔デバイス342でありうる。この通信モデルを使用することで、マスタが、スレーブを始動させ、停止させ、かつリセットすることが(他のコマンドもあるが)可能になる。一又は複数の実施形態によると、モーションコントローラ330(マスタ)は、全ての命令を実行し、通信ネットワーク336を経由してアクチュエータドライバ338A及び338Bに全てのコマンドを送信しうる。アクチュエータドライバ338A及び338Bは遠隔ノード(スレーブ)と称されうる。一部の実施形態では、通信ネットワーク336は、約50Hzを上回り、約1000Hzを下回るサンプリングレートで動作しうる。例示的なモーション命令は、アクチュエータドライバ338Aと338Bの一方又は両方によって実行されると、予め決まっている速度及び加速の制約の中で、第1位置と第2位置との間でのアクチュエータ332と334の一方又は両方の移動を開始させうる。
[0047]図4は、一又は複数の実施形態により、プロセスチャンバ又はロードロックをオフラインにすることなく、電子デバイス製造システム(電子デバイス製造システム100など)のプロセスチャンバ又はロードロックの中で、プロセス構成要素同士の間の間隙を較正する、方法400を示している。方法400は、図1のプロセスチャンバ114、116、118、及びロードロック120、図2のプロセスチャンバ又はロードロック214A~C、図3のプロセスチャンバ又はロードロック314、のうちのいずれか1つにおいて、及び、基板処理が行われうる他の任意のチャンバにおいて、モーションコントローラ(例えば、図1のモーションコントローラ130、又は図3のモーションコントローラ330など)によって実施されうる。方法400は、プロセス実現装置333と基板302との間の間隙G1(図3参照)、及び/又はプロセス実現装置333と(基板302がまだ基板支持体303上に受容されていない場合には)基板支持体303との間の間隙G2といった、間隙を較正しうる。
[0048]例としては、モーションコントローラ(マスタ)で実行されるソフトウェア制御プログラムは、例えば図3のアクチュエータドライバ338A及び338Bといったアクチュエータドライバ(スレーブ)で実行されるソフトウェア/ファームウェアを特定することが可能であり、通信ネットワーク(例えば通信ネットワーク336など)を経由して、アクチュエータドライバに命令を送信しうる。アクチュエータドライバは、命令を受信し、命令を完遂すると、完遂したことを示すために、モーションコントローラ(マスタ)と通信する。後続の命令は、アクチュエータドライバにおいて成功裏に完遂された先行する命令に従属する。
[0049]一又は複数の実施形態による分散型モーションネットワークは、モーションコントローラ(マスタ)からの命令と緊密な調整をとりながら、分散型モーションプランニングを許可しうる。ソフトウェアプログラム/ファームウェアは、モーションコントローラ(マスタ)とアクチュエータドライバ(スレーブ)のそれぞれに記憶されうる。これにより、モーションプランニングが、マスタとスレーブ(複数可)とに分散されることが可能になってよく、通信ネットワーク上で行われうるデータ伝送の総量が減少することによりマスタ及び通信ネットワークの負担が軽減される。例えば、モーションコントローラ(マスタ)によって生成される、間隙較正に関するモーション命令が、予め決まっている速度と加速の制約の中で、第1位置(例えば安全始動位置)と第2位置(例えば、予想接触位置を超えたターゲット位置)との間でのアクチュエータ(モータなど)の移動を開始させうる。モーション命令は、アクチュエータドライバ(スレーブ)の内部のモーションプランナによって受信されてよく、アクチュエータドライバは、モーション命令のデータに基づいて、スピードを制御し、かつモータ及び付属構成要素に望ましくない摩耗又は揺動を発生させうる加速度変化を制御するために(例えば、加速度の一次導関数である「ジャーク(jerk)」を制限するために)、ある瞬間のアクチュエータ(モータなど)のモーションを即時ベースで正確に描写する、モーションプロファイルを生成する。
[0050]したがって、コマンドモーションのデータは、通信ネットワーク経由で送信される必要はないが、アクチュエータドライバ内のモーションプランナを始動させる高次モーションコマンドだけは、送信される必要がある。モーションプランニングの分散型という性質により、モーション位置決め性能を落とすことなく、モーションフィードバックデータ(これは1ミリ秒あたり1サンプル~20ミリ秒あたり1サンプルでありうる)を含むリアルタイムのプロセスデータをアクチュエータドライバからモーションコントローラに送信するための、通信ネットワークのトラフィックが解放される。このことは、これから自明になるように、モーションコントローラ(マスタ)が、間隙較正又はその他の機能のために、複数のアクチュエータドライバ(スレーブ)を同時に制御することも可能にする。したがって、方法400は、一又は複数の実施形態による、モーションプランニングが可能なアクチュエータドライバを有するモーション制御システムにおいて、実施されうる。
[0051]方法400は、プロセスブロック402において、モーションコントローラ(マスタ)から一又は複数のアクチュエータドライバ(スレーブ)に準備命令を発することにより間隙較正の準備をすることによって、開始されうる。これは、モーションコントローラ(マスタ)と一又は複数のアクチュエータ(スレーブ)との間の通信を、開通又は確立することを含みうる。アクチュエータドライバの数は、プロセス構成要素の構成(例えば図2A-E参照)によって変わる。詳細には、プロセスブロック402は、一又は複数のアクチュエータドライバ(スレーブ)を設定しかつ/又は動作させるために、モーションコントローラ(マスタ)から以下の準備命令のうちの一又は複数を発することを含みうる。
[0052](1)一又は複数のアクチュエータドライバの動作のモードを、一又は複数のアクチュエータドライバが適切なモーションプロファイルを内部生成する(又はプランニングする)ことを可能にするよう設定する(例えば、アクチュエータドライバは、速度及び加速度についての予め決まっている制約の中で、アクチュエータドライバ内のモーションプランナによって台形位置プロファイルが内部生成される、動作の位置制御モードを提供しうる)。
[0053](2)一又は複数のアクチュエータ(例えばアクチュエータ332及び/又は334など)に、予め決まっている2つの表面(例えば、基板302の上面とプロセス実現装置333の底面など)の間の予想接触位置から安全始動位置に、動くよう命じる。
[0054](3)間隙較正プロセスが完了前に故障停止(fault-out)しないように、位置追跡エラーを含む一又は複数のアクチュエータドライバにおける関連障害の防止機構を無効化する。
[0055](4)一又は複数のアクチュエータドライバにおいて、低周波のアクチュエータ応答(例えばモータ応答)(すなわち、直接接触の妨害といった非常に緩慢で経時的に変動する外乱に対して、アクチュエータ(モータなど)の電流(トルク)が応答するレート)を減少させるために、位置ループ及び速度ループのフィードバックゲイン(PIDゲインと称されうる)を設定(又はスケジューリング)する。
[0056](5)コマンド速度を、非常に緩慢なアクチュエータシャフト周波数(1rpm未満でありうる)に設定(又はスケジューリング)する(間隙較正モーションの開始がトリガされると、アクチュエータシャフト周波数は、アクチュエータ電流に対する積分動作の消去と組み合わされた時に、プロセス構成要素同士の直接接触において妨害されたモーションにアクチュエータ電流が早急に応答しないことがあるほど、十分に低くなりうる。これにより、これらの構成要素に対する接触力が大幅に低減されうる)。
[0057](6)プロセス構成要素の表面同士の接触の発生を確実にするために、コマンド位置を、予想接触位置を超過したターゲット位置に設定(又はスケジューリング)する。
[0058]準備命令(4)に関して、図5A-5Bに示している一又は複数の実施形態では、アクチュエータドライバにおける位置及び速度のループはカスケードフォームでありうる。図5Aは、「プラント(plant)」とコントローラとに分割された全体制御システムを示している。この制御システムの目指すところは、外乱を克服しつつ、コマンド(ycmd)に応答してプラントを駆動することである。プラントは、フィードバックデバイスと、システム応答を発生させる一又は複数の要素とを含む。例えば、プラントは、負荷に連結されたモータであって、フィードバックデバイスが取り付けられているモータか、その負荷か、又はこの両方でありうる。プラントは、電力変換装置(図示せず)からコントローラ出力(u)を受信し、フィードバック信号(y)をコントローラに送る。コントローラは、カスケードされた位置ループと速度ループとに分割されうる。位置ループは、位置比例ゲイン(Ppos)を包含し、エラー信号(e)を受信する。エラー信号(e)は、コマンド位置(ycmd)とフィードバック信号(y)との差異である。速度ループは、速度比例ゲイン(Pvel)及び速度積分ゲイン(Ivel)を包含する。速度ループは、位置ループの出力と、コマンド位置の導関数(コマンド速度)と、フィードバック信号の導関数とを含む、信号の和を受信する。s項は信号についての微分演算を表わし、1/s項は信号についての積分演算を表わす。同様に、図5Bは、プラントとコントローラとに分割された全体制御システムを示している。この場合のコントローラは、単ループからなり、比例ゲイン(P)、積分ゲイン(I)、及び微分ゲイン(D)からなる。PID理論によると、図5A-5Bに示している、コントローラにおける制御項の各々は、アクチュエータ電流応答の低周波数ゾーン、中周波数ゾーン、高周波数ゾーンのうちの1つにおいて優勢になる。アクチュエータ電流に対する積分動作を提供する項を設定することによって、非常に緩慢で経時的に変動する外乱に対するアクチュエータ電流応答(トルク応答)のレートが、有効に緩慢化されうる。一部の実施形態では、このことは、モーションコントローラ(マスタ)から一又は複数のアクチュエータドライバに、図5Aの「Ivel」項及び図5Bの「I」項をゼロに設定するための命令を送ることによって、実現されうる。
[0059]方法400は、プロセスブロック404において、プロセスチャンバ又はロードロックをオフラインにすることなく、プロセス構成要素の表面同士を直接接触させるよう、プロセスチャンバ又はロードロックの中で一又は複数のアクチュエータを作動させることを含みうる。詳細には、プロセスブロック404は、以下の動作を実施するモーションコントローラ(マスタ)を含みうる。
[0060](1)一又は複数のフィードバックデバイス(例えばフィードバックデバイス340A及び340Bなど)からの時系列のプロセスデータを、継続的に調査し(すなわち収集し)、記録することであって、このプロセスフィードバックは、位置、位置エラー、アクチュエータ(モータなど)の電流、アクチュエータ(モータなど)の速度、ゆがみ、力、又は通信ネットワーク上で入手可能なその他の信号を含んでよく、サンプリングレートは、通信ネットワーク上で許容される最大のもの(おおよそ1ミリ秒あたり1サンプル~20ミリ秒あたり1サンプルの範囲内でありうる)でありうる、プロセスデータを調査し、記録すること。
[0061](2)モーションコントローラで実行されるソフトウェア制御プログラムを介して、アクチュエータ(モータなど)のフィードバックから、統計的ノイズ(ランダムノイズ)及び確定的ノイズ(周期性ノイズ)をデジタルフィルタリングする(すなわち除去する)ことであって、本書においてプロセスチャンバ及びロードロックの中で使用されるアクチュエータは、モータと負荷との適合性、軸受とモータ軸との位置ずれ、回転構成要素の偏心、及び/又は低速でのモータコギングによる脈動トルクリップル、のうちの一又は複数によって引き起こされる、低周波の機械共振を有することがあり、ローパスフィルタ、バンドパスフィルタ、及びノッチパスフィルタの各々が、ノイズと見なされる望ましくない周波数をフィルタリングするために使用されてよく、モーションコントローラで実行されるソフトウェア制御プログラムが、より明確かつ早急にプロセス構成要素の表面同士の直接接触を認識し、それに応答しうるように、信号ノイズ比を改善するために使用される、ノイズをデジタルフィルタリングすること。
[0062](3)モーションコントローラで実行されるソフトウェア制御プログラムを介して、実際のフィードバック信号に適合するよう循環移動平均フィルタ(circular moving average filter)を使用してアクチュエータフィードバックを推定することであって、モーションコントローラのメモリ内に、少なくとも1つのアクチュエータ(モータなど)の回転と等しいほど十分に大きな信号バッファを創出することによって、モーションコントローラで実行されるソフトウェア制御プログラムが、推定フィードバックと実際のフィードバックとの差異でありうる導出推定フィードバック信号及び二次導出残留信号を創出しうる、アクチュエータフィードバックを推定すること。
[0063](4)プロセス実現装置と基板又は基板支持体の表面同士の直接接触を検出することであって、アクチュエータが動いているリアルタイムで、推定信号と実際の信号とが、プロセス実現装置と基板又は基板支持体の表面同士の直接接触の時点において激しくずれることがあり、モーション妨害が発生した場合、推定モータフィードバックにはずれが生じないことがあるのに対して、実際のフィードバックには早急にずれが生じることがあり、次いで残留信号が非常に早急に上昇又は下降することがあり、ゆえに、モーションコントローラで実行されるソフトウェア制御プログラムが、十分に正確かつ早急に、プロセスチャンバ又はロードロックの中での直接接触を検出しうる、直接接触を検出すること。
[0064]方法400は、プロセスブロック406において、構成要素の表面同士(例えば、プロセスチャンバ又はロードロックの中の、プロセス実現装置と基板又は基板支持体の表面同士など)の検出された直接接触に応答することを含みうる。詳細には、プロセスブロック406は、一又は複数のアクチュエータによって駆動されているモーションを停止させ、一又は複数のアクチュエータの較正位置をモーションコントローラのメモリに記録させるための命令を発するよう、モーションコントローラがソフトウェア制御プログラムを実行することを含みうる。較正位置は、実際の、接触位置におけるアクチュエータ位置、及び既定の機械的間隙間隔(たとえば1ミル程度)におけるアクチュエータ位置を含みうる。プロセスブロック406は、ソフトウェア制御プログラムが、一又は複数のアクチュエータドライバの動作のモードを通常動作モードに戻すための命令を発することも含みうる。
[0065]上述の説明は、本発明の例示的な実施形態を開示しているにすぎない。上述の装置、システム、及び方法の改変例も、本開示の範囲内に含まれうる。したがって、本開示の例示的な実施形態を開示してきたが、その他の実施形態も、以下の特許請求の範囲によって定義される本開示の範囲内に含まれうることを理解すべきである。

Claims (12)

  1. 電子デバイス製造システムのモーション制御システムであって、
    プログラマブルプロセッサと、メモリと、前記メモリに記憶され、かつ前記プログラマブルプロセッサによって実行可能な間隙較正ソフトウェアプログラムとを備える、モーションコントローラと、
    前記モーションコントローラに連結され、かつドライバソフトウェアプログラムを備える、アクチュエータドライバと、
    前記アクチュエータドライバに、かつプロセスチャンバ又はロードロックの中にあるプロセス実現装置又は基板支持体に連結されたアクチュエータであって、前記プロセス実現装置又は前記基板支持体を動かすよう構成された、アクチュエータと、
    前記アクチュエータ及び前記モーションコントローラに連結された、フィードバックデバイスとを備え、
    前記間隙較正ソフトウェアプログラムは、前記プロセス実現装置と、前記基板支持体又は前記基板支持体上に受容された基板との、それぞれの表面同士を直接接触させるよう設定されており、
    前記モーションコントローラ、前記アクチュエータドライバ、前記アクチュエータ、及び前記フィードバックデバイスは、前記間隙較正ソフトウェアプログラムの実行中、前記プロセスチャンバ又は前記ロードロックがプロセス温度又はプロセス圧力にある期間中に動作するように構成されている、
    モーション制御システム。
  2. 前記プロセス温度の範囲が摂氏100度~摂氏700度であり、前記プロセス圧力の範囲が0.01トル~0トルである、請求項に記載のモーション制御システム。
  3. 前記モーションコントローラ、前記アクチュエータドライバ、前記アクチュエータ、及び前記フィードバックデバイスに連結された通信ネットワークであって、CANopen通信プロトコルを使用する通信ネットワークを更に備える、請求項1に記載のモーション制御システム。
  4. 前記フィードバックデバイスが、位置、速度、トルク、電流、力、ゆがみのうちの少なくとも1つを測定する、請求項1に記載のモーション制御システム。
  5. 前記アクチュエータドライバが複数のアクチュエータドライバを含み、
    前記アクチュエータが複数のアクチュエータを含み、
    前記フィードバックデバイスが複数のフィードバックデバイスを含み、
    前記間隙較正ソフトウェアプログラムの実行中に、前記複数のアクチュエータドライバと、前記複数のアクチュエータと、前記複数のフィードバックデバイスとが、前記モーションコントローラによって同時に操作される、請求項1に記載のモーション制御システム。
  6. 電子デバイス製造システムであって、
    移送チャンバと、
    前記移送チャンバに連結されるプロセスチャンバであって、前記移送チャンバは一又は複数の基板を前記プロセスチャンバに出し入れするように移送するよう構成され、前記プロセスチャンバは内部で前記一又は複数の基板を処理するよう構成されている、プロセスチャンバと、
    前記移送チャンバに連結されるロードロックであって、前記移送チャンバは前記一又は複数の基板を前記ロードロックに出し入れするように移送するよう構成されている、ロードロックと、
    プログラマブルプロセッサと、メモリと、前記メモリに記憶され、かつ前記プログラマブルプロセッサによって実行可能な間隙較正ソフトウェアプログラムとを備えるモーションコントローラであって、前記間隙較正ソフトウェアプログラムは、プロセス実現装置と、基板支持体又は前記基板支持体上に受容された前記一又は複数の基板のうちの1つの基板との、それぞれの表面同士を前記プロセスチャンバ内で直接接触させるよう設定されている、モーションコントローラとを備え
    前記間隙較正ソフトウェアプログラムの実行中、前記プロセスチャンバ又は前記ロードロックがプロセス温度又はプロセス圧力にある、
    電子デバイス製造システム。
  7. 前記ロードロックは、内部で前記一又は複数の基板のうちの一又は複数を処理するよう構成されており、前記間隙較正ソフトウェアプログラムは、ロードロックのプロセス実現装置と、ロードロックの基板支持体又は前記ロードロックの基板支持体上に受容された前記一又は複数の基板のうちの1つの基板との、それぞれの表面同士を前記ロードロック内で直接接触させるよう更に設定されている、請求項に記載の電子デバイス製造システム。
  8. 前記モーションコントローラに連結され、かつドライバソフトウェアプログラムを備える、アクチュエータドライバと、
    前記アクチュエータドライバに、かつ前記プロセスチャンバの中にある前記プロセス実現装置又は前記基板支持体に連結されたアクチュエータであって、前記プロセス実現装置又は前記基板支持体を動かすよう構成された、アクチュエータと、
    前記アクチュエータ及び前記モーションコントローラに連結された、フィードバックデバイスとを更に備える、請求項に記載の電子デバイス製造システム。
  9. 電子デバイス製造システムのプロセスチャンバ又はロードロックの中で、構成要素の表面同士の間の間隙を較正する方法であって、
    モーションコントローラから一又は複数のアクチュエータドライバに準備命令を発することによって、間隙較正の準備をすることと、
    前記プロセスチャンバ又は前記ロードロックをオフラインにすることなく、前記構成要素の表面同士を直接接触させるよう、前記プロセスチャンバ又は前記ロードロックの中で一又は複数のアクチュエータを作動させることと、
    前記構成要素の表面同士の間に検出された直接接触に応答することとを含み、
    前記プロセスチャンバ又は前記ロードロックがプロセス温度又はプロセス圧力に維持される期間中に、前記準備すること、前記作動させること、及び前記応答することが実施される、
    方法。
  10. 前記準備をすることが、
    前記一又は複数のアクチュエータドライバがモーションプロファイルを生成することを可能にするために、前記一又は複数のアクチュエータドライバの動作のモードを間隙較正モードに設定することと、
    前記一又は複数のアクチュエータに、安全始動位置へと動くよう命じることと、
    記一又は複数のアクチュエータドライバにおける障害防止機構を無効化することと、
    位置ループ及び速度ループのフィードバックゲインを設定することと、
    回転構成要素を含む前記一又は複数のアクチュエータのコマンド速度を低シャフト周波数に設定することと、
    前記構成要素の表面同士の直接接触の発生を確実にするために、前記一又は複数のアクチュエータのコマンド位置を、予想接触位置を超過したターゲット位置に設定することとを含む、請求項に記載の方法。
  11. 前記作動させることが、
    一又は複数のフィードバックデバイスからの時系列のプロセスデータを調査し、記録することと、
    前記モーションコントローラで実行されるソフトウェア制御プログラムを介して、アクチュエータフィードバックから統計的ノイズ及び確定的ノイズをデジタルフィルタリングすることと、
    前記モーションコントローラで実行される前記ソフトウェア制御プログラムを介して、実際のフィードバック信号に適合するよう循環移動平均フィルタを使用して前記アクチュエータフィードバックを推定することと、
    前記構成要素の表面同士の間の直接接触を検出することとを含む、請求項に記載の方法。
  12. 前記応答することが、
    前記一又は複数のアクチュエータのモーションを停止させることと、
    前記一又は複数のアクチュエータの較正位置を、前記モーションコントローラのメモリに記憶させることと、
    前記一又は複数のアクチュエータドライバの動作のモードを通常動作モードに戻すこととを含む、請求項に記載の方法。
JP2019569777A 2017-06-23 2018-05-25 電子デバイス製造システムにおいて直接的な構成要素同士の接触を介して間隙を較正するシステム及び方法 Active JP7000466B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021209920A JP7114793B2 (ja) 2017-06-23 2021-12-23 電子デバイス製造システムにおいて直接的な構成要素同士の接触を介して間隙を較正するシステム及び方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/632,031 2017-06-23
US15/632,031 US10361099B2 (en) 2017-06-23 2017-06-23 Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
PCT/US2018/034704 WO2018236547A1 (en) 2017-06-23 2018-05-25 SYSTEMS AND METHODS FOR CONTACT SPACING CALIBRATION OF DIRECT COMPONENT IN ELECTRONIC DEVICE MANUFACTURING SYSTEMS

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021209920A Division JP7114793B2 (ja) 2017-06-23 2021-12-23 電子デバイス製造システムにおいて直接的な構成要素同士の接触を介して間隙を較正するシステム及び方法

Publications (2)

Publication Number Publication Date
JP2020524899A JP2020524899A (ja) 2020-08-20
JP7000466B2 true JP7000466B2 (ja) 2022-01-19

Family

ID=64692702

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019569777A Active JP7000466B2 (ja) 2017-06-23 2018-05-25 電子デバイス製造システムにおいて直接的な構成要素同士の接触を介して間隙を較正するシステム及び方法
JP2021209920A Active JP7114793B2 (ja) 2017-06-23 2021-12-23 電子デバイス製造システムにおいて直接的な構成要素同士の接触を介して間隙を較正するシステム及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021209920A Active JP7114793B2 (ja) 2017-06-23 2021-12-23 電子デバイス製造システムにおいて直接的な構成要素同士の接触を介して間隙を較正するシステム及び方法

Country Status (6)

Country Link
US (2) US10361099B2 (ja)
JP (2) JP7000466B2 (ja)
KR (2) KR102324077B1 (ja)
CN (2) CN112542404B (ja)
TW (2) TWI723599B (ja)
WO (1) WO2018236547A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
JP7449215B2 (ja) 2019-10-11 2024-03-13 キヤノントッキ株式会社 アライメント装置、アライメント方法、成膜装置及び成膜方法
US11355368B2 (en) * 2020-07-13 2022-06-07 Applied Materials, Inc. Decentralized substrate handling and processing system
EP4181306A1 (en) 2021-03-12 2023-05-17 LG Energy Solution, Ltd. Bus bar assembly, battery pack comprising bus bar assembly, and vehicle comprising battery pack
WO2023063633A1 (ko) 2021-10-12 2023-04-20 주식회사 엘지에너지솔루션 배터리 팩 및 이를 포함하는 자동차

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009076521A (ja) 2007-09-19 2009-04-09 Yaskawa Electric Corp 精密微動位置決め装置およびそれを備えた微動位置決めステージ、露光装置、検査装置
JP2009267048A (ja) 2008-04-24 2009-11-12 Sharp Corp プラズマ処理装置およびそれを用いたプラズマ処理方法
WO2016001209A1 (en) 2014-07-03 2016-01-07 Atlas Copco Industrial Technique Ab Method, nodes and computer program of a tool communications network
JP2016510946A (ja) 2013-02-21 2016-04-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 噴射器から基板までの間隙の制御のための装置および方法
JP2016102242A (ja) 2014-11-28 2016-06-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP2016148107A (ja) 2015-01-22 2016-08-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 間隙検出用のインテリジェントなハードストップと制御機構

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5100502A (en) 1990-03-19 1992-03-31 Applied Materials, Inc. Semiconductor wafer transfer in processing systems
US5815396A (en) * 1991-08-12 1998-09-29 Hitachi, Ltd. Vacuum processing device and film forming device and method using same
US6542925B2 (en) 1995-05-30 2003-04-01 Roy-G-Biv Corporation Generation and distribution of motion commands over a distributed network
JPH1123615A (ja) * 1997-05-09 1999-01-29 Hitachi Ltd 接続装置および検査システム
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US20020045956A1 (en) 2000-02-18 2002-04-18 Kapitan Brian A. Network distributed motion control system
JP2004510221A (ja) 2000-06-14 2004-04-02 アプライド マテリアルズ インコーポレイテッド 環境が制御されたチャンバ内で圧力を維持するための装置及び方法
KR100914363B1 (ko) 2001-07-15 2009-08-28 어플라이드 머티어리얼스, 인코포레이티드 처리 시스템
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
JP4712379B2 (ja) 2002-07-22 2011-06-29 ブルックス オートメーション インコーポレイテッド 基板処理装置
KR20120004551A (ko) * 2003-10-28 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 스크러버 박스 및 그 사용 방법
JP2006174690A (ja) * 2004-11-18 2006-06-29 Smc Corp アクチュエータ制御システム
TWI259631B (en) * 2005-09-23 2006-08-01 Furutech Co Ltd Shaft lock socket system
WO2007075840A2 (en) 2005-12-20 2007-07-05 Applied Materials, Inc. Extended mainframe designs for semiconductor device manufacturing equipment
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US7942556B2 (en) * 2007-06-18 2011-05-17 Xicato, Inc. Solid state illumination device
US7831135B2 (en) * 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8991785B2 (en) 2007-10-26 2015-03-31 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
JP5015857B2 (ja) 2008-05-02 2012-08-29 日東電工株式会社 保護テープ貼付け装置
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP5284212B2 (ja) * 2009-07-29 2013-09-11 株式会社東芝 半導体装置の製造方法
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
KR101134277B1 (ko) 2010-10-25 2012-04-12 주식회사 케이씨텍 원자층 증착 장치
JP2012112034A (ja) * 2010-11-04 2012-06-14 Canon Inc 真空蒸着装置
JP2012156163A (ja) * 2011-01-21 2012-08-16 Toshiba Corp 半導体製造装置
US9323235B2 (en) 2011-04-11 2016-04-26 Rockwell Automation Technologies, Inc. Industrial control system with distributed motion planning
TWI549210B (zh) * 2012-02-27 2016-09-11 Screen Holdings Co Ltd A sample preparation apparatus for evaluation, a sample manufacturing method for evaluation, and a substrate processing apparatus
US10014202B2 (en) * 2012-06-12 2018-07-03 Erich Thallner Device and method for aligning substrates
US10847391B2 (en) 2013-03-12 2020-11-24 Applied Materials, Inc. Semiconductor device manufacturing platform with single and twinned processing chambers
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
WO2014150260A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
WO2014144162A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Temperature control systems and methods for small batch substrate handling systems
KR20210014778A (ko) * 2013-03-15 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법
KR101734821B1 (ko) 2013-03-15 2017-05-12 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시 기판들을 프로세싱하도록 적응된 프로세싱 시스템들, 장치, 및 방법들
US9134261B2 (en) * 2013-04-22 2015-09-15 Ebara Corporation Inspection apparatus
JP6145334B2 (ja) * 2013-06-28 2017-06-07 株式会社荏原製作所 基板処理装置
CN105453246A (zh) 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
US9196514B2 (en) 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9435025B2 (en) 2013-09-25 2016-09-06 Applied Materials, Inc. Gas apparatus, systems, and methods for chamber ports
CN105580124B (zh) 2013-09-26 2018-05-18 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
US10971381B2 (en) 2013-11-04 2021-04-06 Applied Materials, Inc. Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10294394B2 (en) * 2014-05-08 2019-05-21 3M Innovative Properties Company Pressure sensitive adhesive tape with microstructured elastomeric core
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
JP6607873B2 (ja) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 埋め込み式ファイバーオプティクス及びエポキシ光ディフューザーを使用した基板の温度制御のための装置、システム、並びに方法
JP6608923B2 (ja) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 溝に経路指定された光ファイバーによる加熱を含む温度制御装置、基板温度制御システム、電子デバイス処理システム、及び処理方法
US9954132B2 (en) * 2014-10-29 2018-04-24 General Electric Company Systems and methods for detectors having improved internal electrical fields
CN104723235B (zh) * 2015-03-09 2016-07-06 上海交通大学 一种高度可调的反馈杆与管弹簧刚度测量通用夹具
US9601391B2 (en) * 2015-03-12 2017-03-21 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Mechanical stress measurement during thin-film fabrication
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10403515B2 (en) 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
TW201727104A (zh) 2016-01-27 2017-08-01 應用材料股份有限公司 陶瓷狹縫閥門及組件
US20180082870A1 (en) 2016-09-16 2018-03-22 Applied Materials, Inc. Assemblies and methods of process gas flow control

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009076521A (ja) 2007-09-19 2009-04-09 Yaskawa Electric Corp 精密微動位置決め装置およびそれを備えた微動位置決めステージ、露光装置、検査装置
JP2009267048A (ja) 2008-04-24 2009-11-12 Sharp Corp プラズマ処理装置およびそれを用いたプラズマ処理方法
JP2016510946A (ja) 2013-02-21 2016-04-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 噴射器から基板までの間隙の制御のための装置および方法
WO2016001209A1 (en) 2014-07-03 2016-01-07 Atlas Copco Industrial Technique Ab Method, nodes and computer program of a tool communications network
JP2016102242A (ja) 2014-11-28 2016-06-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP2016148107A (ja) 2015-01-22 2016-08-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 間隙検出用のインテリジェントなハードストップと制御機構

Also Published As

Publication number Publication date
JP7114793B2 (ja) 2022-08-08
TW201905824A (zh) 2019-02-01
KR20200010607A (ko) 2020-01-30
US20180374719A1 (en) 2018-12-27
US10916451B2 (en) 2021-02-09
US20190318940A1 (en) 2019-10-17
KR102324077B1 (ko) 2021-11-08
TWI679605B (zh) 2019-12-11
TWI723599B (zh) 2021-04-01
CN110770888A (zh) 2020-02-07
WO2018236547A1 (en) 2018-12-27
KR102395861B1 (ko) 2022-05-06
CN110770888B (zh) 2020-12-11
US10361099B2 (en) 2019-07-23
KR20210135356A (ko) 2021-11-12
JP2022050467A (ja) 2022-03-30
JP2020524899A (ja) 2020-08-20
CN112542404A (zh) 2021-03-23
CN112542404B (zh) 2022-03-22
TW202020794A (zh) 2020-06-01

Similar Documents

Publication Publication Date Title
JP7000466B2 (ja) 電子デバイス製造システムにおいて直接的な構成要素同士の接触を介して間隙を較正するシステム及び方法
KR102621965B1 (ko) 회전 조인트 인코더들을 갖는 웨이퍼 핸들링 로봇들
US9818633B2 (en) Equipment front end module for transferring wafers and method of transferring wafers
TWI573675B (zh) 振動控制的基板傳送機械臂、系統及方法
JP7274460B2 (ja) 光計測を質量計測と組合せるためのシステム及び方法
KR102507845B1 (ko) 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션
KR20090023313A (ko) 카메라를 이용한 로봇 교정 방법 및 시스템
US9845531B2 (en) Substrate processing system
TW201442138A (zh) 適用於電子元件製造中處理基材的處理系統、設備及方法
US10971384B2 (en) Auto-calibrated process independent feedforward control for processing substrates
TWI692051B (zh) 晶圓交換技術
US20140044502A1 (en) Vacuum processing apparatus and method of operating the same
KR102239515B1 (ko) 기판 처리 장치 및 기판 처리 방법
US11881436B2 (en) Pre and post processing metrology apparatus
US20240170311A1 (en) Methods and apparatus for processing a substrate
TWI838131B (zh) 對於旋轉晶圓之處理模組之處理站的自動校正
JP2017174402A (ja) 予防保守システム、予防保守方法
TW202414637A (zh) 用於處理基板的方法和設備
JP2016213394A (ja) 真空処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210330

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210420

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210716

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211223

R150 Certificate of patent or registration of utility model

Ref document number: 7000466

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150