TW202020794A - 在電子裝置製造系統中透過直接部件接觸進行縫隙校準的系統及方法 - Google Patents

在電子裝置製造系統中透過直接部件接觸進行縫隙校準的系統及方法 Download PDF

Info

Publication number
TW202020794A
TW202020794A TW108138942A TW108138942A TW202020794A TW 202020794 A TW202020794 A TW 202020794A TW 108138942 A TW108138942 A TW 108138942A TW 108138942 A TW108138942 A TW 108138942A TW 202020794 A TW202020794 A TW 202020794A
Authority
TW
Taiwan
Prior art keywords
actuator
processing
motion
loading gate
motion controller
Prior art date
Application number
TW108138942A
Other languages
English (en)
Other versions
TWI723599B (zh
Inventor
穆赫辛 瓦卡
馬文L 佛里曼
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202020794A publication Critical patent/TW202020794A/zh
Application granted granted Critical
Publication of TWI723599B publication Critical patent/TWI723599B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electron Beam Exposure (AREA)

Abstract

一種電子裝置製造系統包括運動控制系統,該運動控制系統透過將彼等部件表面移動成彼此直接接觸來校準處理腔室或裝載閘部件的表面之間的縫隙。部件表面可包括基板及/或基板支座的表面及處理傳遞設備的表面,其可為例如:圖案遮罩及/或電漿或氣體分配組件。運動控制系統可包括運動控制器、可由運動控制器執行的軟體程式、網路、一或更多個致動器驅動器、可由一或更多個致動器驅動器執行的軟體程式、一或更多個致動器,以及一或更多個反饋裝置。還提供了透過處理腔室或裝載閘部件表面的直接接觸來校準縫隙的方法,以及其他態樣。

Description

在電子裝置製造系統中透過直接部件接觸進行縫隙校準的系統及方法
本申請案主張2017年6月23日提出申請且名稱為「SYSTEMS AND METHODS OF GAP CALIBRATION VIA DIRECT COMPONENT CONTACT IN ELECTRONIC DEVICE MANUFACTURING SYSTEMS」的美國非臨時申請案第15/632,031號的優先權(代理人案卷號25132/USA),該申請案在此透過引用納入本說明書中以用於所有目的。
本揭示內容涉及設備的分佈運動控制的系統及方法,該設備用於支撐及處理電子裝置製造系統中的基板。
電子裝置製造系統可包含一或更多個處理腔室,其中基板在該處理腔室中經處理以在其上製造電子裝置(例如,積體電路及/或平板顯示器)。處理腔室可在真空位準(例如,範圍從約0.01 Torr到約80 Torr)及高溫(例如,範圍從約100℃到700℃)下操作。電子裝置製造系統的每個處理腔室中可能發生相同或不同的基板處理,例如基板上的薄膜層的沉積、蝕刻、退火、固化等。基板處理也可能發生在一些電子裝置製造系統的裝載閘(loadlock)中。裝載閘是一種腔室,其中基板透過該裝載閘以在處理腔室及工廠介面之間移送,以便運輸到電子裝置製造系統中的其他地方。
在基板處理中,具有所需厚度及均勻性的所需材料的一或更多個薄膜層可經由處理傳遞設備(例如圖案遮罩及/或電漿或氣體分配組件)選擇性地施加到基板或從基板移除。為了確保精確地施加或移除這種所需厚度及均勻性,應當嚴格控制基板及處理傳遞設備之間的縫隙。然而,隨著處理腔室的尺寸增加以處理更大的基板尺寸、更大的基板批量負載以及更高的處理溫度(其可能影響處理部件的熱膨脹),所需縫隙可能變得更難控制。電子裝置製造系統因此可受益於改進的縫隙校準系統及方法。
根據第一態樣,提供了一種電子裝置製造系統的運動控制系統。運動控制系統包括運動控制器,該運動控制器包括可程式處理器、記憶體以及縫隙校準軟體程式,該縫隙校準軟體程式儲存在記憶體中並且可由可程式處理器執行。運動控制系統還包括致動器驅動器,該致動器驅動器耦合到運動控制器並包括驅動器軟體程式。運動控制系統還包括致動器,該致動器耦合到致動器驅動器並且耦合到位於處理腔室或裝載閘中的處理傳遞設備或基板支座,其中致動器經配置以移動處理傳遞設備或基板支座。運動控制系統還包括反饋裝置,該反饋裝置耦合到致動器及運動控制器。縫隙校準軟體程式經配置以造成處理傳遞設備與基板支座或基板支座所接收的基板的相應表面之間的直接接觸。
根據第二態樣,提供了一種電子裝置製造系統。該電子裝置製造系統包括移送腔室及耦合到該移送腔室的處理腔室,其中該移送腔室經配置以將一或更多個基板移送進出該處理腔室,且該處理腔室經配置以在其中處理一或更多個基板。電子裝置製造系統還包括耦合到移送腔室的裝載閘,其中移送腔室經配置以將一或更多個基板移送進出裝載閘。電子裝置製造系統還包括運動控制器,該運動控制器包括可程式處理器、記憶體以及儲存在記憶體中並且可由該可程式處理器執行的縫隙校準軟體程式。縫隙校準軟體程式經配置以在處理腔室中造成處理傳遞設備與基板支座或在基板支座上所接收的一或更多個基板的其中一基板的相應表面之間直接接觸。
根據第三態樣,提供了一種校準處理腔室中或電子裝置製造系統的裝載閘中的部件表面之間的縫隙的方法。該方法包括以下步驟:藉由從運動控制器向一或更多個致動器驅動器發送準備指令,來準備縫隙校準;致動該處理腔室或該裝載閘中的一或更多個致動器,以造成該等部件表面之間的直接接觸,而不使得該處理腔室或該裝載閘離線(offline);及對偵測到該等部件表面之間的直接接觸進行回應。
根據本揭示內容的該等實施例及其他實施例的更其他態樣、特徵及優點可從以下詳細描述、附隨之請求項及附圖而輕易觀察到。從而,本文繪圖及描述在本質上被認為是說明性的,而非限制性的。
現在將詳細參考本揭示內容的示例實施例,該等實施例繪示於附圖中。儘可能地,整個繪圖中將使用相同的參考符號來代表相同或相似的部件。
根據本揭示內容的一或更多個實施例的電子裝置製造系統可包括分佈式運動控制系統,該分佈式運動控制系統經配置以偵測在配置為處理基板的處理腔室或裝載閘內的移動處理部件的直接接觸。由執行縫隙校準軟體程式的運動控制器偵測直接接觸可用於校準處理部件表面之間的縫隙間距。校準並接著嚴密控制縫隙間距可有利地改進薄膜沉積及/或薄膜蝕刻特性,包括施加及/或移除所需厚度及均勻性。嚴密地控制縫隙間距亦可有利地影響薄膜沉積及/或蝕刻的速率。當精確控制時,縫隙間距可進一步改善電子裝置製造系統中的處理腔室效能的匹配,該電子裝置製造系統具有兩個或更多個處理腔室。所需的縫隙間距因應用而異。例如,對於化學物質注入器與基板之間的縫隙而言,用於原子層沉積的所需縫隙間距可在4 mil與80 mil之間變化,且對於圖案遮罩與基板之間的縫隙而言,用於斜角(bevel)蝕刻的所需縫隙間距可在1 mil與20 mil之間變化。
在縫隙校準期間,處理部件表面之間的直接接觸可包括以下部件的任何組合之間的接觸:基板表面、基板支座表面及/或處理傳遞設備表面。
在下方連同圖1至圖5B更詳細地解釋示例實施例的進一步細節,該等實施例繪示及描述透過處理部件表面的直接接觸來進行縫隙校準,以及解釋其他態樣,包括校準處理腔室或電子裝置製造系統的裝載閘中的處理部件表面之間的縫隙的方法。
圖1根據一或更多個實施例繪示了電子裝置製造系統100。電子裝置製造系統100可在基板102上執行一或更多個處理。基板102可為適用於在其上製造電子裝置或電路部件的任何合適剛性、固定尺寸的平面製品,例如含矽圓盤或晶圓、圖案化晶圓、玻璃板等。在一些實施例中,基板可為例如200 mm、300 mm或450 mm直徑的半導體晶圓。
電子裝置製造系統100可包括處理工具104及耦合到處理工具104的工廠介面106。處理工具104可包括殼體108,該殼體在其中具有移送腔室110,且移送腔室110可具有位於其中的基板移送機器人112。複數個處理腔室114、116及118可耦合到殼體108及移送腔室110。裝載閘120亦可耦合到殼體108及移送腔室110。移送腔室110、處理腔室114、116及118以及裝載閘120可保持在真空位準。移送腔室110的真空位準的範圍可為,例如,大約0.01 Torr到大約80 Torr。可使用其他真空位準。
移送機器人112可包括多個臂及一或更多個終端作用器,該臂及終端作用器經配置以將基板102移送進出實體耦合到移送腔室110的任何處理腔室及裝載閘(注意到,在圖1中,基板102及基板放置位置顯示為圓圈)。
在每個處理腔室114、116及118中,一或更多個基板上可發生相同的或不同的基板處理,例如原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、蝕刻、退火、固化、預清潔、金屬或金屬氧化物移除等等。例如,PVD處理可發生在處理腔室114的其中一者或兩者中,蝕刻處理可發生在處理腔室116的其中一者或兩者中,且退火處理可發生在處理腔室118的其中一者或兩者中。其他處理可在其中的基板上進行。
裝載閘120可經配置以在一個側面上與移送腔室110介面連接並耦合,且在相對的側面上與工廠介面106介面連接並耦合。裝載閘120可具有環境受控的大氣,該大氣可從真空環境(其中基板可被移送進出移送腔室110)改變到處於或接近大氣壓力的惰性氣體環境(其中基板可被移送進出工廠介面106)。在一些實施例中,裝載閘120可為堆疊式裝載閘,該堆疊式裝載閘具有一對上位內部腔室及位於不同垂直高度的一對下位內部腔室 (例如,一個在另一個之上)。在一些實施例中,該對上位內部腔室可經配置以從移送腔室110接收已處理的基板以便從處理工具104移除,而該對下位內部腔室可經配置以從工廠介面106接收基板以便在處理工具104中處理。在一些實施例中,裝載閘120可經配置以在其中所接收到的一或更多個基板102上執行基板處理(例如,蝕刻或預清潔)。
工廠介面106可為任何合適的包體,例如設備前端模組(Equipment Front End Module)或EFEM。工廠介面106可經配置以從基板載具122(其可為例如前開式晶圓盒(Front Opening Unified Pods)或FOUP)接收基板102,該基板載具停靠在工廠介面106的各種裝載埠124處。工廠介面機器人126(虛線所示)可用於在基板載具122與裝載閘120之間移送基板102。任何常規機器人類型可用於工廠介面機器人126。移送可依任何順序或方向進行。工廠介面106可保持在,例如,輕微正壓力的非反應性氣體環境中(例如使用氮氣作為非反應性氣體)。
可由馬達驅動系統(圖1中未顯示)來控制移送機器人112及工廠介面機器人126的移動以及在處理腔室114、116及118;裝載閘120;工廠介面106;及基板載具122內及/或之間的基板102的移送,該馬達驅動系統可包括複數個伺服馬達或步進馬達。
電子裝置製造系統100還可包括系統控制器128。系統控制器128可耦合到每個主動硬體部件以控制其操作。系統控制器128可包括可程式處理器、儲存處理器可執行的指令/軟體程式/韌體的記憶體、各種支援電路以及輸入/輸出電路。系統控制器128還可經配置以允許由人類操作者輸入及顯示資料、操作命令等。
電子裝置製造系統100可進一步包括運動控制器130,其在下方連同圖3至圖5B更詳細地描述。運動控制器130可包括可程式處理器、儲存處理器可執行的指令/軟體程式/韌體的記憶體、各種支援電路以及輸入/輸出電路。運動控制器130可在封閉迴路位置控制系統中操作,該封閉迴路位置控制系統可被稱為伺服控制系統,以透過使用各種裝置來收集並處理來自電子裝置製造系統100內的致動器(運動)設備的資料,該各種裝置可耦合到網路,該網路在致動器(運動)設備的致動器(運動)驅動器的內部及外部,以用於馬達換向之外的高階監控任務。運動控制器130可獨立於系統控制器128操作、可向系統控制器128提供資訊,及/或可由系統控制器128來控制。替代地,系統控制器128可執行運動控制器130的功能,該運動控制器可從電子裝置製造系統100中省略。
儘管隨著半導體裝置尺寸的縮小,半導體產業中的製程變化允差持續減小,但在基板處理(例如,在處理腔室或裝載閘中的基板上的薄膜的沉積、退火、固化、蝕刻及/或其他處理)期間仍需要在處理部件之間維持嚴密控管的縫隙。
處理部件可包括基板支座及處理傳遞設備。基板支撐設備可包括單軸或多軸致動器(例如,馬達),且可具有單槽或多槽(兩個或更多個垂直)堆疊的基板,該基板可具有升降機、電梯或索引器(indexer)來運輸及支撐基板。處理傳遞設備的各種實施例可具有致動器,該致動器可用於將處理傳遞組件(例如,圖案遮罩及/或電漿、氣體或熱分配組件)定位在處理腔室或裝載閘內。這種組件的範例包括在蝕刻處理腔室或裝載閘中的陰極組件、在化學氣相沉積及原子層沉積處理腔室中的加熱器基座組件及氣體分配噴淋頭組件、及在斜角蝕刻處理腔室或裝載閘中的基板圖案屏蔽組件。可使用斜角邊緣蝕刻來移除基板的邊緣區域上的沉積薄膜的不需要部分。
根據一或更多個實施例,圖2A至圖2E繪示了處理腔室或裝載閘中的基板支座及處理傳遞設備的各種配置,其可與處理腔室114、116及/或118的其中一或更多者及/或裝載閘120類似或相同。
圖2A繪示了處理腔室或裝載閘214A,其包括處理設備馬達232A,該處理設備馬達經配置以在縫隙校準期間使處理傳遞設備233A垂直向下移動,使得處理傳遞設備233A的底表面直接接觸基板202A或基板支座203A的頂表面(例如,在基板支座203A上尚未接收基板202A的該等情況下)。
圖2B繪示處理腔室或裝載閘214B,其包括基板支座馬達234B,基板支座馬達234B經配置以在縫隙校準期間使基板支座203B垂直向上移動,使得基板202B或基板支座203B的頂表面(例如,在基板支座203B上尚未接收基板202B的該等情況下)直接接觸處理傳遞設備233B的底表面。
圖2C繪示處理腔室或裝載閘214C,其包括處理設備馬達232C及基板支座馬達234C兩者,該處理設備馬達經配置以使處理傳遞設備233C垂直向下移動,且該基板支座馬達經配置以使基板支座203C垂直向上移動,使得在縫隙校準期間,處理傳遞設備233C的底表面與基板202C或基板支座203C的頂表面之間發生直接接觸(例如,在基板支座203C上尚未接收基板202C的該等情況下)。
圖2D繪示了基板支座203D(其中未顯示腔室),該基板支座具有口袋205D以便在其中接收基板202D。基板支座馬達234D經配置以使基板支座203D垂直向上移動,使得在縫隙校準期間,在頂部基板支撐表面207D與處理傳遞設備(例如處理傳遞設備233A、233B,及/或233C)的底表面之間發生直接接觸,即使基板支座203D上已接收基板202D。
另外圖2E(其中未顯示腔室)繪示了基板支座馬達234E,該基板支座馬達經配置以使基板支座203E垂直向上移動,其中基板支座203E具有銷或軸209E以便支撐基板202E,使得在縫隙校準期間,在基板202E的頂表面與處理傳遞設備(例如處理傳遞設備233A、233B,及/或233C)的底表面之間發生直接接觸。
圖2A至圖2E所示的移動處理部件可包括致動器,該致動器具有大扭矩驅動系(train)。這種大扭矩驅動系可用於傳送及/或支撐基板,以便為基板提供剛性且穩定的平台,從而產生具有最小振動的一致平面表面。這種剛性處理部件可具有較大的壁厚並且可由抗變形的材料構成,例如鋼或陶瓷。大的壁厚及抗變形材料可能會導致更大的移動質量。該等處理部件還可提供多種功能,例如包括加熱、冷卻及機械或靜電夾緊基板,且因此可包括大量的嵌套子部件,該等嵌套子部件可包括加熱、冷卻、基板夾緊及氣體分配元件。此外,基板在處理腔室中可連續地處於真空下,且為了將真空環境與大氣隔離,該等處理部件可包含諸如伸縮囊(bellow)的真空隔離元件。因此,當採用大直徑的真空隔離元件來封閉在真空中將致動器橋接到負載的致動器軸時,可能在該等真空隔離介面上產生大的壓力。致動器軸的直徑應具有足夠的內徑以適應流體、氣體及電力的供應及返回通道。軸向力可能在真空隔離介面處形成,該真空隔離介面與真空隔離元件的直徑可能成比例。因此,這樣的處理部件可具有顯著的載量,其需要大扭矩的高效滾珠螺桿驅動系,該高效滾珠螺桿驅動系因此具有破壞(例如壓碎)一些處理部件的能力。
根據一或更多個實施例,補充反饋裝置亦可嵌入到處理腔室中以用於即時的縫隙量測及控制。該等反饋裝置可為直接接觸式或非接觸式的,且可包括例如光學感測器、電容式感測器、電感式感測器及/或CCD(電荷耦合裝置)攝影機。將感測器嵌入到處理腔室或裝載閘的實際限制可能包括將脆弱的感測器封裝及電子裝置暴露於升高的處理溫度及強烈的化學反應中、電子裝置對來自處理腔室的高頻電性雜訊及電漿雜訊的易感性,以及當在狹小空間中封裝感測器及路由訊號時必須保持真空完整性所增加的機械複雜度。
根據一或更多個實施例的運動控制系統及方法有利地在處理壓力(範圍從例如0.01 Torr到約80 Torr)及/或在處理溫度(範圍從例如100℃至700℃)下提供了完全封閉腔室及原位(in-situ)的基板縫隙校準。因此,處理腔室或裝載閘可不需要為了執行縫隙校準而通常非常涉及手動維護的程序而離線。本揭示內容的運動控制系統及方法還可有利地消除對用於縫隙量測及校準的專用及額外感測器、產品及/或工具的需求。本揭示內容的運動控制系統及方法還可有利地不需要額外的機械或電子複雜性,其中在一些實施例中可直接使用致動器反饋訊號。本揭示內容的運動控制系統及方法可更進一步有利地組合增益排程,分佈式運動規劃及訊號處理的原理,以使用主致動器的反饋來常規地確認及維持在處理中心處的精確縫隙控制。本揭示內容的運動控制系統及方法可能對處理環境較不敏感,並且可能考量到(由高處理溫度引起的)熱膨脹對縫隙間距的實際尺寸變化影響,從而增加縫隙校準及控制的準確度。
根據一或更多個實施例的運動控制系統及方法有利地可克服許多實際限制,其可包括(1)能夠超過處理腔室內的結構部件的容許應力極限的大扭矩致動器,(2)處理腔室或裝載閘的結構部件的總體機械撓曲,(3)對運動控制訊號及運動反饋訊號施加的分佈式運動網路帶寬限制,以及(4)運動反饋訊號中的雜訊。
圖3繪示運動控制系統300,該運動控制系統用於電子裝置製造系統中,例如電子裝置製造系統100。根據一或更多個實施例,運動控制系統300可用於控制處理傳遞設備333(其可例如與圖2的處理傳遞設備233A或233C相同或相似)的處理腔室或裝載閘314內的移動,及/或基板支座303(其可例如與圖2的基板支座203B-203E相同或相似)的移動。處理腔室或裝載閘314可透過真空泵(未繪示)均勻地排氣。基板支座303可中央地設置在處理腔室或裝載閘314中,且在一些實施例中,基板支座303可包括至少一個嵌入式加熱器,該嵌入式加熱器可經操作以將基板支座303及其上所接收的基板302可控制地加熱至預定溫度。
運動控制系統300可包括運動控制器330,該運動控制器可與圖1的運動控制器130相同或相似。運動控制系統300還可包括一或更多個致動器332及334、耦合到運動控制器330的通訊網路336、一或更多個致動器驅動器338A及338B,其每個致動器驅動器耦合到通訊網路336,以及一或更多個反饋裝置340A及340B。反饋裝置340A及340B的每一者可為,例如,位置感測器及/或其他合適的感測器裝置,其經配置以感測例如速度、扭矩、電流、力量及/或應變(strain)。取決於基板支座303及處理傳遞設備333的配置,致動器332及334可為以下一或更多者,例如,處理設備馬達及/或基板支座馬達,例如,處理設備馬達232A及/或232C及/或基板支座馬達234B-234E。處理傳遞設備333可包括圖案遮罩及/或電漿或氣體分配組件。
在一些實施例中,致動器332可為多方向移動裝置,該多方向移動裝置經配置以相對於基板302移動及定位處理傳遞設備333。致動器332可為基於電動馬達的系統的一部分,其可用於沿著所有三個軸(X-Y-Z)調整處理傳遞設備333的位置。在一些實施例中,致動器332可包括與電動馬達連接的機械固定器(fixture),該電動馬達可在第一方向中移動處理傳遞設備333。電動馬達透過第二固定器(例如不銹鋼環)可接著在第二方向中及第三方向中移動處理傳遞設備333。因此,透過在使處理傳遞設備333上下移動的同時樞轉處理傳遞設備333,處理傳遞設備333可沿著所有三個軸重新定位。此外,致動器332可控制處理傳遞設備333相對於基板支座303的位準。
系統控制器328(其可為電子裝置製造系統的系統控制器),例如圖1的系統控制器128,可透過通訊網路336或替代地透過專用通訊通道337以與運動控制器330及/或致動器驅動器338A及338B通訊,該專用通訊通道直接耦合到運動控制器330。替代地,系統控制器328可執行運動控制器330的功能,該運動控制器可省略。
致動器驅動器338A可與相應的致動器332及反饋裝置340B通訊並且控制該致動器332及反饋裝置340B,且致動器驅動器338B可與相應的致動器334及反饋裝置340B通訊並控制該致動器334及反饋裝置340B。致動器驅動器338A及338B的每一者經配置以提供相應致動器332及334的受控運動,從而控制處理傳遞設備333及/或基板支座303的相應移動。致動器驅動器338A及338B的每一者可包括可程式處理能力,該可程式處理能力經配置以執行可程式指令/軟體程式/韌體,其可包括例如位置反饋迴路、速度反饋迴路及運動規劃器,如下方連同圖4及圖5A至圖5B更詳細地描述。
運動控制器330可包括可程式處理器及記憶體,該記憶體儲存處理器可執行指令/軟體程式/韌體及從致動器驅動器338A及338B及反饋裝置340A及340B接收到的資料。運動控制器330還可包括各種支援電路(例如,用於電源及網路通訊)及輸入/輸出電路。在一些實施例中,運動控制器330可包括可執行的指令/軟體程式/韌體,其具有儲存在其記憶體中並且可由其可程式處理器執行的訊號處理能力。除了致動器驅動器338A及338B之外,運動控制器330還可透過通訊網路336耦合到一或更多個其他遠端裝置342,該遠端裝置可為例如一或更多個I/O模組,該I/O模組提供,例如,基於位置、對準或一或更多個處理部件的其他狀態的訊號。
運動控制器330可使用例如CANopen(Controller Area Network open,控制器區域網路開啟)通訊協定以經由通訊網路336進行通訊。CANopen協定是基於主從(master-slave)通訊模型。因此,運動控制器330可為被指定為主機(master)的CANopen節點,其向從屬(slave)發送資料及從該從屬請求資料,該從屬可為致動器驅動器338A及338B以及任何指定為從屬的其他遠端設備342。使用這種通訊模型,主機能夠啟動、停止及重設從屬以及其他命令。根據一或更多個實施例,運動控制器330(主機)可執行所有指令並透過通訊網路336將所有命令傳輸到致動器驅動器338A及338B,該等致動器驅動器可稱為遠端節點(從屬)。在一些實施例中,通訊網路336可由大於約50 Hz且小於約1000 Hz的取樣率操作。當由致動器驅動器338A及338B的其中一者或兩者執行示例性運動指令時,可啟動致動器332及334的其中一者或兩者在速度及加速度的預定約束內的位於第一位置與第二位置之間的移動。
圖4根據一或更多個實施例繪示了校準在處理腔室或電子裝置製造系統(例如電子裝置製造系統100)的裝載閘中的處理部件之間的縫隙,而不使處理腔室或裝載閘離線的方法400。方法400可由運動控制器(例如圖1的運動控制器130或圖3的運動控制器330)於以下位置執行:圖1的處理腔室114、116、118及裝載閘120中的任何一者中、圖2的處理腔室或裝載閘214A-214C、圖3的處理腔室或裝載閘314,及可能發生基板處理的任何其他腔室。方法400可校準縫隙,例如處理傳遞設備333與基板302之間的縫隙G1(參見圖3),及/或處理傳遞設備333與基板支座303之間的縫隙G2(在基板支座303尚未接收基板302的彼等情況下)。
軟體控制程式(其例如在運動控制器(主機)中執行)可識別在一或更多個致動器驅動器(從屬)中執行的軟體/韌體,該致動器驅動器例如圖3的致動器驅動器338A及338B,且該軟體控制程式可透過通訊網路(例如通訊網路336)以將指令傳輸到致動器驅動器。在收到指令並完成指令後,致動器驅動器與運動控制器(主機)通訊以指示完成。後續指令取決於在致動器驅動器中成功完成先前指令。
根據一或更多個實施例的分佈式運動網路可允許分佈式運動規劃,同時提供與來自運動控制器(主機)的指令的密切協調。軟體程式/韌體可分別儲存在運動控制器(主機)及致動器驅動器(從屬)中。此舉可允許運動規劃在主機及從屬之間分配,並且透過減少通訊網路上可能發生的資料移送量來減輕主機及通訊網路的負擔。例如,由運動控制器(主機)產生的關於縫隙校準的運動指令可啟動致動器(例如,馬達)在第一位置(例如,安全起始位置)與第二位置(例如,超出預期接觸位置的目標位置)之間的移動,該移動在預定的速度及加速度約束內。運動指令可由位於致動器驅動器(從屬)內部的運動規劃器接收,該運動規劃器基於運動指令資料產生運動輪廓(profile),該運動輪廓精確地描述致動器(例如,馬達)基於每一瞬間的運動,以控制速度及加速度的變化,例如,以便限制「急動(jerk)」,亦即加速度的一階導數,該加速度的變化可能會在馬達及附接部件上產生不期望的磨損或振盪。
從而,所命令的運動資料不需要透過通訊網路傳輸,而只需要在致動器驅動器中啟動運動規劃器的更高階運動命令。運動規劃的分佈式特性敞開了通訊網路流量,以便從致動器驅動器向運動控制器傳送即時的處理資料,包括運動反饋資料(其可介於每毫秒一個樣本與每20毫秒一個樣本之間),而不會降低運動定位效能。將明顯觀察到,此舉亦允許運動控制器(主機)同時控制多個致動器驅動器(從屬)以便進行縫隙校準或其他功能。方法400因此可在根據一或更多個實施例的運動控制系統中執行,該運動控制系統具有能夠規劃運動的致動器驅動器。
在處理方塊402處,方法400可開始透過從運動控制器(主機)向一或更多個致動器驅動器(從屬)發出準備指令來準備縫隙校準。此舉可包括在運動控制器(主機)與一或更多個致動器驅動器(從屬)之間打開或建立通訊。致動器驅動器的數量取決於處理部件配置(參見例如圖2A至圖2E)。具體而言,處理方塊402可包括從運動控制器(主機)發出以下準備指令之一或更多個以配置及/或操作一或更多個致動器驅動器(從屬):
(1)在一或更多個致動器驅動器中設定操作模式,以允許一或更多個致動器驅動器在內部產生(或規劃)適當的運動輪廓。例如,致動器驅動器可提供位置控制操作模式,其中在速度及加速度的預定約束內,致動器驅動器中的運動規劃器在內部產生梯形位置輪廓。
(2)命令一或更多個致動器(例如致動器332及/或334)從兩個預定表面(例如基板302的頂表面及處理傳遞設備333的底表面)之間的預期接觸位置移動到安全起始位置。
(3)關閉(disable)一或更多個致動器驅動器中的故障保護,包括位置追蹤錯誤,使得縫隙校準過程不會過早地故障失能。
(4)在一或更多個致動器驅動器中設定(或排程)位置迴路及速度迴路反饋增益(其可被稱為PID增益),以減少低頻致動器(例如馬達)的回應(亦即,致動器(例如馬達)電流(扭矩)針對非常緩慢的時間變化的干擾的回應速率,該干擾例如直接接觸阻礙)。
(5)將命令的速度設定(或排程)至非常低的致動器軸頻率(其可能小於1 rpm;當觸發縫隙校準運動開始時,致動器軸頻率可低到足以在結合消除致動器電流的積分作用(integral action)時,致動器電流可能不會對處理部件直接接觸時的受阻運動快速地回應,且此舉可大幅降低該等部件上的接觸力)。
(6)將命令的位置設定(或排程)到超過預期接觸位置的目標位置,以確保處理部件表面之間的接觸確實發生。
關於準備指令(4),在圖5A及圖5B所示的一或更多個實施例中,致動器驅動器中的位置及速度迴路可為級聯(cascaded)形式。圖5A描繪了總體控制系統,該總體控制系統分為「受控體(plant)」及控制器。該控制系統的目標是在克服干擾的同時,回應命令(ycmd)以驅動受控體。該受控體包括反饋裝置及產生系統回應的元件或多個元件。例如,受控體可為馬達,該馬達與其負載耦合,其中反饋裝置附接到該馬達、該負載或兩者。受控體接收來自功率轉換器(未顯示)的控制器輸出(u),並將反饋訊號(y)發送到控制器。控制器可分為級聯位置及速度迴路。位置迴路包含位置比例增益(Ppos)並接收誤差訊號(e),該誤差訊號為所命令的位置(ycmd)及反饋訊號(y)之間的差異。速度迴路包含速度比例增益(Pvel)及速度積分增益(Ivel)。速度迴路接收包含以下訊號的總合:位置迴路之輸出、命令位置的導數(命令速度)及反饋訊號的導數。「s」項表示對訊號的微分操作,且「1/s」項表示對訊號的積分操作。類似地,圖5B描繪了總體控制系統,該總體控制系統劃分為受控體及控制器。在這種情況的控制器由單一個迴路組成,且含有比例增益(P)、積分增益(I)及微分增益(D)。根據PID理論,圖5A及圖5B中所示的控制器內的每個控制項在致動器電流回應的低頻、中頻或高頻區域之一者中佔主地位(dominant)。透過設定對致動器電流提供積分作用的項,可有效地減緩致動器電流(扭矩)回應非常緩慢的時變干擾的速率。在一些實施例中,此舉可透過從運動控制器(主機)向一或更多個致動器驅動器發送指令以將圖5A中的「Ivel」項及圖5B中的「I」項設定成零來實現。
在處理方塊404處,方法400可包括致動處理腔室或裝載閘中的一或更多個致動器,以造成處理部件表面之間的直接接觸,而不使處理腔室或裝載閘離線。具體而言,處理方塊404可包括運動控制器(主機)執行以下操作:
(1)連續地進行採樣(亦即收集),並繪製來自一或更多個反饋裝置(例如反饋裝置340A及/或340B)的處理資料的時間序列。此處理反饋可包括位置、位置誤差、致動器(例如,馬達)電流、致動器(例如,馬達)速度、應變、力量,或通訊網路上可取得的其他訊號。取樣率可為通訊網路上允許的最大值(其範圍可從每毫秒約一個樣本到每20毫秒一個樣本)。
(2)透過在運動控制器中執行的軟體控制程式以數位方式以從致動器(例如馬達)反饋過濾(亦即移除)隨機(任意)雜訊及確定性(週期性)雜訊。此處用於處理腔室及裝載閘中的致動器可具有低頻機械共振,該低頻機械共振由以下一或更多者引起:馬達與負載之間的順應性、軸承及馬達軸的未對準、旋轉部件的偏心,及/或在低速率下來自馬達齒槽的脈動扭矩波動。低通、帶通及陷波通(notch pass)濾波器可各自用來過濾被認為是雜訊的不需要的頻率。過濾用於改善訊噪比,使得在運動控制器中執行的軟體控制程式可更清楚及更迅速地辨別及回應處理部件表面之間的直接接觸。
(3)透過在運動控制器中執行的軟體控制程式,使用圓形移動平均濾波器(circular moving average filter)擬合實際的反饋訊號,來估計該致動器反饋。藉由在運動控制器的記憶體中產生相當於至少一個致動器(例如,馬達)轉數的足夠大的訊號緩衝器,在運動控制器中執行的軟體控制程式可產生推導出的估計反饋訊號及第二個推導出的殘餘訊號(residual signal),該殘餘訊號可為估計反饋與實際反饋之間的差異。
(4)偵測處理傳遞設備與基板或基板支座的表面之間的直接接觸。在致動器處於運動中的即時情況下,在處理傳遞設備與基板或基板支座的表面之間的直接接觸點處所估計的訊號及實際訊號可能會急劇偏離。當遇到受阻運動時,所估計的馬達反饋可能不會偏離,而實際反饋可能會迅速偏離。順次地,殘餘訊號可能非常迅速地上升或下降,且在運動控制器中執行的軟體控制程式因此可充分、準確且快速地偵測處理腔室或裝載閘內部的直接接觸。
在處理方塊406處,方法400可包括以下步驟:回應偵測到的部件表面(例如處理傳遞設備與處理腔室或裝載閘中的基板或基板支座的表面)之間的直接接觸。具體而言,處理方塊406可包括運動控制器執行軟體控制程式以發出指令,以暫停由一或更多個致動器驅動的運動並將一或更多個致動器的校準位置記錄到運動控制器的記憶體中。校準位置可包括在接觸位置處及在定義的機械縫隙間距(例如,小至1 mil)處的實際致動器位置。處理方塊406還可包括軟體控制程式發出指令以將一或更多個致動器驅動器中的操作模式恢復到正常操作模式。
以上描述僅揭示了本揭示內容的示例實施例。上方揭示的設備、系統及方法的修改可落入本揭示內容的範疇內。因此,儘管已經揭示了本揭示內容的示例實施例,但應理解到其他實施例可能落入由以下請求項定義的本揭示內容的範疇內。
100:電子裝置製造系統 102:基板 104:處理工具 106:工廠介面 108:殼體 110:移送腔室 112:基板移送機器人 114:處理腔室 116:處理腔室 118:處理腔室 120:裝載閘 122:基板載具 124:裝載埠 126:工廠介面機器人 128:系統控制器 130:運動控制器 202A:基板 202B:基板 202C:基板 202D:基板 202E:基板 203A:基板支座 203B:基板支座 203C:基板支座 203D:基板支座 203E:基板支座 205D:口袋 207D:頂部基板支撐表面 209E:銷或軸 214A:處理腔室或裝載閘 214B:處理腔室或裝載閘 214C:處理腔室或裝載閘 232A:處理設備馬達 232C:處理設備馬達 233A:處理傳遞設備 233B:處理傳遞設備 233C:處理傳遞設備 234B:基板支座馬達 234C:基板支座馬達 234D:基板支座馬達 234E:基板支座馬達 300:運動控制系統 302:基板 314:處理腔室或裝載閘 328:系統控制器 330:運動控制器 332:致動器 333:處理傳遞設備 334:致動器 336:通訊網路 337:專用通訊通道 338A:致動器驅動器 338B:致動器驅動器 340A:反饋裝置 340B:反饋裝置 342:遠端裝置 400:方法 402:處理方塊 404:處理方塊 406:處理方塊 G1:縫隙 G2:縫隙
下方描述的繪圖僅用於說明目的且不一定按比例繪製。繪圖並非意圖以任何方式限制本揭示內容的範疇。
圖1根據本揭示內容的實施例繪示了電子裝置製造系統的示意頂視圖。
圖2A至圖2E根據本揭示內容的實施例繪示了處理部件配置的各種示意側視圖。
圖3根據本揭示內容的實施例繪示了運動控制系統的示意側視圖。
圖4根據本揭示內容的實施例繪示校準處理腔室中或電子裝置製造系統的裝載閘中的部件表面之間的縫隙的方法流程圖。
圖5A及圖5B根據本揭示內容的實施例繪示可在致動器驅動器中執行的位置及速度軟體控制迴路。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
300:運動控制系統
302:基板
314:處理腔室或裝載閘
328:系統控制器
330:運動控制器
332:致動器
333:處理傳遞設備
334:致動器
336:通訊網路
337:專用通訊通道
338A:致動器驅動器
338B:致動器驅動器
340A:反饋裝置
340B:反饋裝置
342:遠端裝置
G1:縫隙
G2:縫隙

Claims (20)

  1. 一種電子裝置製造系統的運動控制系統,該運動控制系統包括: 一運動控制器; 一致動器驅動器,該致動器驅動器耦合到該運動控制器; 一致動器,該致動器耦合到該致動器驅動器且耦合到位於一處理腔室或裝載閘(loadlock)中的一處理傳遞設備或一基板支座,該致動器經配置以移動該處理傳遞設備或該基板支座;及 一反饋裝置,該反饋裝置耦合到該致動器且耦合到該運動控制器; 其中該運動控制器經配置以: 造成該處理傳遞設備與該基板支座或在該基板支座上所接收的一基板的相應表面之間的直接接觸;及 基於該直接接觸以執行縫隙校準。
  2. 如請求項1所述之運動控制系統,其中在該縫隙校準的期間,該運動控制器、該致動器驅動器、該致動器及該反饋裝置經配置以在以下至少一者的同時進行操作: a)該處理腔室或裝載閘處於一處理溫度或b)該處理腔室或裝載閘處於一處理壓力。
  3. 如請求項2所述之運動控制系統,其中該處理溫度的範圍為攝氏100度至攝氏700度,且該處理壓力的範圍為0.01 Torr至80 Torr。
  4. 如請求項1所述之運動控制系統,其中該致動器驅動器包括以下至少一者:一訊號處理功能、一位置反饋迴路、一速度反饋迴路,或一運動規劃器。
  5. 如請求項1所述之運動控制系統,其中該運動控制器包括以下至少一者:一訊號處理功能或一運動規劃器。
  6. 如請求項1所述之運動控制系統,進一步包括一通訊網路,該通訊網路耦合到該運動控制器、該致動器驅動器、該致動器及該反饋裝置,該通訊網路利用一CANopen通訊協定。
  7. 如請求項1所述之運動控制系統,其中該反饋裝置測量以下至少一者:位置、速度、扭矩、電流、力量,或應變(strain)。
  8. 如請求項1所述之運動控制系統,其中: 該致動器驅動器包括複數個致動器驅動器; 該致動器包括複數個致動器;及 該反饋裝置包括複數個反饋裝置; 其中該複數個致動器驅動器、致動器及反饋裝置同時由該運動控制器所操作。
  9. 一種電子裝置製造系統,包括: 一移送腔室; 一處理腔室,該處理腔室耦合到該移送腔室,該移送腔室經配置以將一或更多個基板移送進出該處理腔室,該處理腔室經配置以在其中處理該一或更多個基板; 一裝載閘,該裝載閘耦合到該移送腔室,該移送腔室經配置以將該一或更多個基板移送進出該裝載閘;及 一運動控制器,該運動控制器經配置以: 在該處理腔室內造成一處理傳遞設備與一基板支座或在該基板支座上所接收的該一或更多個基板的其中一基板的相應表面之間的直接接觸;及 基於該直接接觸以執行縫隙校準。
  10. 如請求項9所述之電子裝置製造系統,其中該裝載閘經配置以在其中處理該一或更多個基板的其中一或更多者。
  11. 如請求項10所述之電子裝置製造系統,其中該運動控制器進一步經配置以在該裝載閘內造成一裝載閘處理傳遞設備與一裝載閘基板支座或在該裝載閘基板支座上所接收的該一或更多個基板的其中一基板的相應表面之間的直接接觸。
  12. 如請求項9所述之電子裝置製造系統,其中以下至少一者:a)該處理腔室或該裝載閘在該縫隙校準的期間處於一處理溫度或b)該處理腔室或該裝載閘在該縫隙校準的期間處於一處理壓力。
  13. 如請求項9所述之電子裝置製造系統,進一步包括: 一致動器驅動器,該致動器驅動器耦合到該運動控制器; 一致動器,該致動器耦合到該致動器驅動器且耦合到位於該處理腔室中的該處理傳遞設備或該基板支座,該致動器經配置以移動該處理傳遞設備或該基板支座;及 一反饋裝置,該反饋裝置耦合到該致動器且耦合到該運動控制器。
  14. 一種校準一處理腔室中或一電子裝置製造系統的一裝載閘中的部件表面之間的一縫隙的方法,該方法包括以下步驟: 致動該處理腔室或該裝載閘中的一或更多個致動器,以造成該等部件表面之間的直接接觸,而不使得該處理腔室或該裝載閘離線;及 對該等部件表面之間所偵測到的直接接觸進行回應; 其中該致動步驟及該回應步驟是在維持以下至少其中一者的同時執行:a)該處理腔室或裝載閘處於一處理溫度或b)該處理腔室或裝載閘處於一處理壓力。
  15. 如請求項14所述之方法,進一步包括以下步驟: 將該一或更多個致動器驅動器中的一操作模式設定成一縫隙校準模式,以允許該一或更多個致動器驅動器產生一運動輪廓(profile); 命令該一或更多個驅動器移動到一安全的起始位置; 關閉(disable)該一或更多個致動器驅動器中的故障保護; 設定位置迴路及速度迴路的反饋增益; 將一命令的速度設定至一低軸頻率;及 將一命令的位置設定至一目標位置,該目標位置超過一預期的接觸位置。
  16. 如請求項14所述之方法,其中該致動的步驟包括以下步驟: 將來自一或更多個反饋裝置的處理數據的一時間序列進行採樣及製圖; 透過一運動控制器以從致動器反饋來過濾掉隨機雜訊(stochastic noise)及確定性雜訊(deterministic noise); 透過該運動控制器,利用一圓形移動平均濾波器(circular moving average filter)擬合一實際的反饋訊號,來估計該致動器反饋;及 偵測該等部件表面之間的直接接觸。
  17. 如請求項14所述之方法,其中該回應之步驟包括以下步驟: 暫停該一或更多個致動器的運動; 將該一或更多個致動器的校準位置儲存至該運動控制器的一記憶體中;及 將該一或更多個致動器驅動器中的一操作模式回復到一正常操作模式。
  18. 如請求項14所述之方法,其中該等部件表面包括:a)一處理傳遞設備的表面及b)一基板或一基板支座的表面。
  19. 如請求項14所述之方法,其中該處理溫度的範圍為攝氏100度至攝氏700度,且該處理壓力的範圍為0.01 Torr至80 Torr。
  20. 如請求項14所述之方法,進一步包括以下步驟: 基於該等部件表面之間所偵測到的直接接觸來執行縫隙校準。
TW108138942A 2017-06-23 2018-06-12 在電子裝置製造系統中透過直接部件接觸進行縫隙校準的系統及方法 TWI723599B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/632,031 2017-06-23
US15/632,031 US10361099B2 (en) 2017-06-23 2017-06-23 Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems

Publications (2)

Publication Number Publication Date
TW202020794A true TW202020794A (zh) 2020-06-01
TWI723599B TWI723599B (zh) 2021-04-01

Family

ID=64692702

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108138942A TWI723599B (zh) 2017-06-23 2018-06-12 在電子裝置製造系統中透過直接部件接觸進行縫隙校準的系統及方法
TW107120154A TWI679605B (zh) 2017-06-23 2018-06-12 在電子裝置製造系統中透過直接部件接觸進行縫隙校準的系統及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107120154A TWI679605B (zh) 2017-06-23 2018-06-12 在電子裝置製造系統中透過直接部件接觸進行縫隙校準的系統及方法

Country Status (6)

Country Link
US (2) US10361099B2 (zh)
JP (2) JP7000466B2 (zh)
KR (2) KR102395861B1 (zh)
CN (2) CN112542404B (zh)
TW (2) TWI723599B (zh)
WO (1) WO2018236547A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
JP7449215B2 (ja) 2019-10-11 2024-03-13 キヤノントッキ株式会社 アライメント装置、アライメント方法、成膜装置及び成膜方法
US11355368B2 (en) * 2020-07-13 2022-06-07 Applied Materials, Inc. Decentralized substrate handling and processing system
JP2023529119A (ja) 2021-03-12 2023-07-07 エルジー エナジー ソリューション リミテッド バスバーアセンブリ、このようなバスバーアセンブリを含むバッテリーパック、及びこのようなバッテリーパックを含む自動車
EP4369507A1 (en) 2021-10-12 2024-05-15 LG Energy Solution, Ltd. Battery pack and vehicle comprising same

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5100502A (en) 1990-03-19 1992-03-31 Applied Materials, Inc. Semiconductor wafer transfer in processing systems
US5815396A (en) * 1991-08-12 1998-09-29 Hitachi, Ltd. Vacuum processing device and film forming device and method using same
US6542925B2 (en) 1995-05-30 2003-04-01 Roy-G-Biv Corporation Generation and distribution of motion commands over a distributed network
JPH1123615A (ja) * 1997-05-09 1999-01-29 Hitachi Ltd 接続装置および検査システム
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US20020045956A1 (en) 2000-02-18 2002-04-18 Kapitan Brian A. Network distributed motion control system
JP2004510221A (ja) 2000-06-14 2004-04-02 アプライド マテリアルズ インコーポレイテッド 環境が制御されたチャンバ内で圧力を維持するための装置及び方法
US8796589B2 (en) 2001-07-15 2014-08-05 Applied Materials, Inc. Processing system with the dual end-effector handling
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
TWI304391B (en) 2002-07-22 2008-12-21 Brooks Automation Inc Substrate processing apparatus
KR20120004551A (ko) * 2003-10-28 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 스크러버 박스 및 그 사용 방법
JP2006174690A (ja) 2004-11-18 2006-06-29 Smc Corp アクチュエータ制御システム
TWI259631B (en) * 2005-09-23 2006-08-01 Furutech Co Ltd Shaft lock socket system
CN101341574B (zh) 2005-12-20 2012-11-28 应用材料公司 用于半导体设备制造装备的延伸主机设计
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US7942556B2 (en) * 2007-06-18 2011-05-17 Xicato, Inc. Solid state illumination device
US7831135B2 (en) * 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
JP4853836B2 (ja) * 2007-09-19 2012-01-11 株式会社安川電機 精密微動位置決め装置およびそれを備えた微動位置決めステージ
WO2009055507A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
JP4547443B2 (ja) 2008-04-24 2010-09-22 シャープ株式会社 プラズマ処理装置およびそれを用いたプラズマ処理方法
JP5015857B2 (ja) 2008-05-02 2012-08-29 日東電工株式会社 保護テープ貼付け装置
US8033771B1 (en) * 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP5284212B2 (ja) * 2009-07-29 2013-09-11 株式会社東芝 半導体装置の製造方法
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
KR101134277B1 (ko) 2010-10-25 2012-04-12 주식회사 케이씨텍 원자층 증착 장치
JP2012112034A (ja) * 2010-11-04 2012-06-14 Canon Inc 真空蒸着装置
JP2012156163A (ja) * 2011-01-21 2012-08-16 Toshiba Corp 半導体製造装置
US8850092B2 (en) 2011-04-11 2014-09-30 Rockwell Automation Technologies, Inc. Input module for an industrial controller
TWI549210B (zh) * 2012-02-27 2016-09-11 Screen Holdings Co Ltd A sample preparation apparatus for evaluation, a sample manufacturing method for evaluation, and a substrate processing apparatus
KR101944148B1 (ko) * 2012-06-12 2019-01-30 에리히 탈너 기판 정렬 장치 및 방법
TW201437423A (zh) * 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
US10847391B2 (en) 2013-03-12 2020-11-24 Applied Materials, Inc. Semiconductor device manufacturing platform with single and twinned processing chambers
KR20150128965A (ko) 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 구리를 위한 uv-보조 반응성 이온 에칭
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
TWI614102B (zh) * 2013-03-15 2018-02-11 應用材料股份有限公司 基板沉積系統、機械手臂運輸設備及用於電子裝置製造之方法
KR20150132506A (ko) 2013-03-15 2015-11-25 어플라이드 머티어리얼스, 인코포레이티드 소형 배치 기판 핸들링 시스템을 위한 온도 제어 시스템 및 방법
WO2014150260A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US9524889B2 (en) 2013-03-15 2016-12-20 Applied Materials, Inc. Processing systems and apparatus adapted to process substrates in electronic device manufacturing
US9134261B2 (en) * 2013-04-22 2015-09-15 Ebara Corporation Inspection apparatus
JP6145334B2 (ja) * 2013-06-28 2017-06-07 株式会社荏原製作所 基板処理装置
KR20220120714A (ko) * 2013-08-12 2022-08-30 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
US9196514B2 (en) 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9435025B2 (en) 2013-09-25 2016-09-06 Applied Materials, Inc. Gas apparatus, systems, and methods for chamber ports
KR20160064177A (ko) 2013-09-26 2016-06-07 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
KR20160083084A (ko) 2013-11-04 2016-07-11 어플라이드 머티어리얼스, 인코포레이티드 증가된 개수의 측들을 갖는 이송 챔버들, 반도체 디바이스 제조 프로세싱 툴들, 및 프로세싱 방법들
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10294394B2 (en) * 2014-05-08 2019-05-21 3M Innovative Properties Company Pressure sensitive adhesive tape with microstructured elastomeric core
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
CN106463404B (zh) 2014-07-02 2019-11-19 应用材料公司 有沟槽引导式光纤加热的温度控制设备、基板温度控制系统、电子器件处理系统及处理方法
KR102164611B1 (ko) 2014-07-02 2020-10-12 어플라이드 머티어리얼스, 인코포레이티드 매립형 광섬유들 및 에폭시 광학 확산기들을 사용하는 기판들의 온도 제어를 위한 장치, 시스템들, 및 방법들
US20170153631A1 (en) 2014-07-03 2017-06-01 Atlas Copco Industrial Technique Ab Method, nodes and computer program of a tool communications network
US9954132B2 (en) * 2014-10-29 2018-04-24 General Electric Company Systems and methods for detectors having improved internal electrical fields
JP6062413B2 (ja) * 2014-11-28 2017-01-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
TW201629264A (zh) * 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
CN104723235B (zh) * 2015-03-09 2016-07-06 上海交通大学 一种高度可调的反馈杆与管弹簧刚度测量通用夹具
US9601391B2 (en) * 2015-03-12 2017-03-21 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Mechanical stress measurement during thin-film fabrication
JP6806704B2 (ja) 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
US10403515B2 (en) 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
TW201727104A (zh) 2016-01-27 2017-08-01 應用材料股份有限公司 陶瓷狹縫閥門及組件
US20180082870A1 (en) 2016-09-16 2018-03-22 Applied Materials, Inc. Assemblies and methods of process gas flow control

Also Published As

Publication number Publication date
KR102324077B1 (ko) 2021-11-08
KR20210135356A (ko) 2021-11-12
JP2020524899A (ja) 2020-08-20
KR20200010607A (ko) 2020-01-30
CN110770888B (zh) 2020-12-11
JP2022050467A (ja) 2022-03-30
US20190318940A1 (en) 2019-10-17
US10361099B2 (en) 2019-07-23
CN110770888A (zh) 2020-02-07
CN112542404A (zh) 2021-03-23
JP7000466B2 (ja) 2022-01-19
TWI723599B (zh) 2021-04-01
TWI679605B (zh) 2019-12-11
WO2018236547A1 (en) 2018-12-27
JP7114793B2 (ja) 2022-08-08
CN112542404B (zh) 2022-03-22
KR102395861B1 (ko) 2022-05-06
US20180374719A1 (en) 2018-12-27
US10916451B2 (en) 2021-02-09
TW201905824A (zh) 2019-02-01

Similar Documents

Publication Publication Date Title
TWI723599B (zh) 在電子裝置製造系統中透過直接部件接觸進行縫隙校準的系統及方法
KR102427180B1 (ko) 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
US9818633B2 (en) Equipment front end module for transferring wafers and method of transferring wafers
TWI792531B (zh) 最佳化低能量/高生產率沉積系統
TWI838131B (zh) 對於旋轉晶圓之處理模組之處理站的自動校正
TWI623055B (zh) 適用於電子元件製造中處理基材的處理系統、設備及方法
KR20140119726A (ko) 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
WO2011017060A2 (en) Dual temperature heater
TW202036755A (zh) 工件處理之系統與方法
TW202343638A (zh) 用於半導體處理之晶圓定位底座中的墊升高機構