TWI612628B - 可調適熱傳導方法及均勻熱傳導用系統 - Google Patents

可調適熱傳導方法及均勻熱傳導用系統 Download PDF

Info

Publication number
TWI612628B
TWI612628B TW102100308A TW102100308A TWI612628B TW I612628 B TWI612628 B TW I612628B TW 102100308 A TW102100308 A TW 102100308A TW 102100308 A TW102100308 A TW 102100308A TW I612628 B TWI612628 B TW I612628B
Authority
TW
Taiwan
Prior art keywords
work piece
heat conduction
adjustable
platform
work
Prior art date
Application number
TW102100308A
Other languages
English (en)
Other versions
TW201351581A (zh
Inventor
其爾西 高達盧
克利絲南 雪尼凡賽
Original Assignee
諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾發系統有限公司 filed Critical 諾發系統有限公司
Publication of TW201351581A publication Critical patent/TW201351581A/zh
Application granted granted Critical
Publication of TWI612628B publication Critical patent/TWI612628B/zh

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F3/00Plate-like or laminated elements; Assemblies of plate-like or laminated elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F13/00Arrangements for modifying heat-transfer, e.g. increasing, decreasing
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F27/00Control arrangements or safety devices specially adapted for heat-exchange or heat-transfer apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Thermal Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Abstract

可調適熱傳導方法與系統,用以對各種類型之工作件提供均勻熱傳導入與導出,工作件例如是在製造半導體裝置、顯示器、發光二極體及光伏面板期間所用的工作件。此可調適方法能減少由工作件形變所造成的熱傳導變異。形變可根據工作件類型、製程條件及其他變數而在工作件中有所不同。此類形變難以預測且可能是隨機的。所提供的系統可改變其配置以因應每一新處理之工作件的形變。又,在熱傳導期間可連續地或間斷地進行調整。此彈性可用來改善熱傳導均勻度、達到均勻的溫度輪廓、減少形變及達到各種其他目的。

Description

可調適熱傳導方法及均勻熱傳導用系統 相關的交互參考案件
本申請案基於法典35 U.S.C.§119(e)主張2012年1月6日申請之美國臨時專利申請案號為61/584,130的臨時申請案作為優先權母案,其所有內容包含於此作為參考及所有用途。
半導體與其他薄膜技術常使用加熱或冷卻平臺來控制工作件在受到處理前、受到處理期間或受到處理後的工作件溫度。例如,在將膜層沈積至工作件時、自工作件表面移除材料時或進行其他製程操作時,在製程室中可使用經加熱的平臺來加熱工作件並將工作件維持在預定的溫度。亦可在負載腔中提供經加熱或冷卻的平臺,以在工作件被傳入或傳出製程環境時加熱或冷卻工作件。此類平臺可由鋁或陶瓷材料所製成且形成為一體成形之物件。工作件被支撐於此平臺的表面上以達到熱傳導(即,加熱或冷卻取決於工作件與平臺間的相對溫度)。平臺表面與工作件之間的間隙對此熱傳導提供某種程度的控制俾使較高的熱傳導率對應至較小的間隙而較低的熱傳導率對應至較大的間隙(即,反比關係)。
通常,當工作件(尤其是大但薄的晶圓,例如450毫米的晶圓)被導入製程系統中時會產生形變,因此需要均勻加熱或冷卻。此類形變的某些通常實例包含:弓形,當工作件具有凹陷的形狀時,其中央部相對於由邊緣所定義的平面向下延伸;及圓頂,當工作件具 有突起的形狀時,其中央部相對於由邊緣所定義的平面向上延伸。形變亦可以具有各種非對稱的形狀。形變可能會因為形成工作件之各種材料間的熱膨脹係數不同、沈積於工作件表面上的壓縮或伸張薄膜及其他因素而發生。相同批次中的工作件通常具有不同種類與程度的形變。此些形變難以預測且在本質上通常是隨機的。又,當工作件已經位於系統中時,某些形變可在熱傳導期間發生。此些”製程中”的形變可能是因為工作件的溫度變化、額外材料的沈積與其他因素。是以,難以且通常可能無法預先設定熱傳導表面使其總是順應已形變的工作件。一般而言,由於此相對無法預測的形變本質,因此使用具有平坦表面的平臺。雖然已有人提出具有預定彎曲表面的平臺,但其應用僅限於極特定類型的形變。
當將已形變的工作件放置到平臺的平坦表面上時,平坦表面與工作件之間的間隙會隨著表面各處變異。此變異可能會造成表面各種的不均勻熱傳導,因而導致工作件的不均勻溫度輪廓。溫度變異可能會干擾製程而導致例如不平坦的沈積或表面各處的移除率變異。又,此溫度變異可能會造成更進一步的形變,且在某些情況下造成工作件的永久損傷。例如,過度的形變可能會造成矽結構的差排缺陷,即部分的矽晶格彼此錯位。此缺陷可能會造成裝置的電效能下降。在某些情況下,工作件可能甚至會在設備內部斷裂,造成長期的停機與昂貴的清理。
提供可調適熱傳導方法及系統,其係用於各種工作件的均勻熱傳導入與導出,工作件例如是半導體裝置、顯示器、發光二極體與光伏面板之製造中所用的工作件。此可調適方案能減少因工作件形變所造成的熱傳導變異。
在工作件中的形變會依據工作件的類型、製程條件與其他變數而有所不同。此類形變難以預測且可能是隨機的。
所提供的系統可針對每一製程的新工作件而改變其組態。又,在熱傳導期間可連續或離散地進行調整。此彈性可用以改善熱傳導均勻度、達到均勻的溫度輪廓、減少形變及用於各種其他目的。在所揭露的實施例中,系統可包含用以量測工作件之溫度輪廓及/或工作件與系統之熱傳導表面之間之間隙變異的感測器。接著可基於此些感測器的回應來調整此些表面的位置及/或形狀。
在某些實施例中,提供一種能對呈現形變之工作件提供實質均勻熱傳導入或導出的熱傳導系統。熱傳導系統可包含第一與第二熱傳導部件。該第一熱傳導部件可具有第一面工作件之表面及自該第一面工作件之表面延伸以支撐該呈現形變之工作件之第一組最小接觸面積支撐件。該工作件在該第一面工作件之表面上的預定距離處受到支撐。該第二熱傳導部件具有第二面工作件之表面。該第二面工作件之表面能相對於該第一面工作件之表面移動以提供均勻熱傳導。在呈現形變之該工作件與該第一面工作件之表面之間以及在呈現形變之該工作件與該第二面工作件之表面之間提供均勻熱傳導。均勻熱傳導能協助在呈現形變之該工作件的整個面積各處維持實質均勻的溫度輪廓。
在某些實施例中,該第二面工作件之表面能相對於該第一面工作件之表面移動以順應呈現形變之該工作件的非平坦形狀。該第二面工作件之表面可在加熱或冷卻期間相對於該第一面工作件之表面移動,直到該第二面工作件之表面與呈現形變之該工作件之間的平均間隙係實質上等於該第一面工作件之表面與呈現形變之該工作件之間的平均間隙。
在某些實施例中,該熱傳導系統亦包含系統控制器,此系統控制器用以在加熱或冷卻該呈現形變之該工作件時控制該第二面工作件之表面相對於該第一面工作件之表面的移動。該熱傳導系統亦可包含設置在該第二面工作件之表面及/或該第一面工作件之表面的一或多個感測器以對該控制系統提供輸入。此些感測器可用以感測 一或多個參數如呈現形變之該工作件的溫度輪廓、該第二面工作件之表面與呈現形變之該工作件之間的間隙及該第一面工作件之表面與呈現形變之該工作件之間的間隙。該熱傳導系統亦可包含由該系統控制器所控制的舉升機構以使該第二面工作件之表面相對於該第一面工作件之表面進行移動。
在某些實施例中,該第一面工作件之表面包含具有第 一半徑的圓形(例如環形)。在此些實施例中,感測器可包含置於該第一面工作件之表面之中央處及第一半徑之一端處的第一感測器、置於該第一半徑之另一端處的第二感測器及沿著該第一半徑置於該第一感測器與該第二感測器之間的第三感測器。該第一面工作件之表面的圓形亦可具有相對於該第一半徑夾一角度的第二半徑。另一個第三感測器可沿著此第二半徑設置。在某些實施例中,該第二半徑係實質上垂直於該第一半徑。
該第二面工作件之表面可置於該第一面工作件之表面 上方並面向該第一面工作件之表面。在此些實施例中,呈現形變之該工作件係置於該第一與第二面工作件之表面之間。該第一熱傳導部件可以是第一平臺的一部分而該第二熱傳導部件可以是第二平臺的一部分。該第一與第二平臺係設置於一製程室中或一負載腔中。在其他實施例中,該第一熱傳導部件可以是該第一平臺的一部件而該第二熱傳導部件可以是噴淋頭的一部分。該噴淋頭可具有在處理該呈現形變之該工作件期間用以提供前驅物的複數孔洞。
在某些實施例中,該第一與第二熱傳導部件形成相同 的平臺。在此些實施例中,該第二熱傳導部件亦可具有自該第二面工作件之表面延伸以將該工作件支撐於該第二面工作件之表面上方預定距離處的一組最小接觸面積支撐件。該第一面工作件之表面的表面積可實質上等於該第二面工作件之表面的表面積。在特定的實施例中,該第一面工作件之表面包含具有第一直徑的圓形。該第二工作件包含具有內直徑之碟形,此內直徑係實質上等於該第一面工作件之表面之 圓形的第一直徑。該傳導系統亦可包含具有第三面工作件之表面的第三熱傳導部件。該第三面工作件之表面可具有碟形,此碟形之內直徑係實質上等於該第二面工作件之表面的外直徑。該第三面工作件之表面可獨立於該第二面工作件之表面而相對於該第一面工作件之表面進行移動以提供均勻熱傳導。在此些實施例中,設置兩或更多的熱傳導部件成為嵌套圓柱。
在其他實施例中,該第一與第二面工作件之表面具有 圓扇形。此些表面與一或多個額外熱傳導部件的一或多個額外面工作件之表面一起形成圓形。該一或多個額外的面工作件之表面可相對於該第一面工作件之表面進行移動以提供均勻熱傳導。在相同或其他的實施例中,該第一面工作件之表面、該第二面工作件之表面及該一或多個額外的面工作件之表面可相對於該圓形的中央進行樞軸移動。
亦提供的是用以對呈現形變之工作件提供均勻熱傳導 的熱傳導平臺。該熱傳導平臺可包含:底支撐件;及包含連續之面工作件表面的可彎式熱傳導板。該連續的面工作件表面係用以改變其形狀以順應呈現形變之工作件的形狀,以在施力於該可彎式熱傳導板上時,於呈現形變之該工作件與連續之該面工作件表面之間提供均勻熱傳導。該力可藉由下列方式施加:藉著對該底支撐件與該可彎式熱傳導板之間的空間供給或移除氣體或液體而改變該底支撐件與該可彎式熱傳導板之間的空間中的壓力。在相同或其他的實施例中,可藉由連接至該可彎式熱傳導板並用以相對於該底支撐件進行移動的一或多個機械結構來施加該力。
亦提供的是一種提供熱傳導入或導出呈現形變之工作 件的方法。此方法可涉及:將具有非平坦形狀之呈現形變的該工作件放置到自第一熱傳導部件之第一面工作件之表面延伸的第一組最小接觸面積支撐件上。該第一面工作件之表面可相對於該第二面工作件之表面進行移動。呈現形變的該工作件的溫度可不同於該第一面工作件之表面的溫度與該第二面工作件之表面的溫度。該方法可隨著判定一 或多個參數而進行,該一或多個參數例如是呈現形變的該工作件的溫度輪廓、該第二面工作件之表面與呈現形變的該工作件之間的間隙及該第一面工作件之表面與呈現形變的該工作件之間的間隙。該方法可持續地基於一或多個已判定的參數來調整該第一面工作件之表面相對於該第二面工作件之表面的位置。當該工作件到達預定的溫度時可移除呈現形變的該工作件。
在某些實施例中,該方法可涉及:在移除該工作件前 重覆判斷與調整上述操作一或多次。工作件的實例包含半導體基板、光伏基板及顯示基板。在某些實施例中,在移除工作件前,呈現形變之工作件的溫度輪廓會偏差少於約5℃。工作件在移除時表現出的形變可小於在放置時所表現出的形變。
在某些實施例中,該方法亦涉及:施加光阻至工作件、 將該光阻曝露至光、圖案化該光阻並將該圖案轉移至該工作件、及選擇性地自該工作件移除該光阻。在此些實施例中,半導體製程系統可包含步進設備。
下面將參考圖示更進一步地闡述此些與其他實施例。
D1-D6‧‧‧間隙
50‧‧‧工作件
52‧‧‧最小接觸面積(MCA)支撐件
54‧‧‧形變的工作件
60‧‧‧平臺
62‧‧‧面工作件之表面
100‧‧‧設備
101‧‧‧電漿源
103‧‧‧製程室
105‧‧‧噴淋頭組件
109‧‧‧噴淋頭
111‧‧‧製程氣體入口
115‧‧‧射頻(RF)感應線圈
116‧‧‧半導體工作件
117‧‧‧平臺
119‧‧‧導管
121‧‧‧噴淋頭孔洞
200‧‧‧熱傳導平臺
202‧‧‧同心圓柱部件
204‧‧‧同心圓柱部件
206‧‧‧同心圓柱部件
208‧‧‧MCA支撐件
210‧‧‧熱傳導平臺
212‧‧‧扇形部件
214‧‧‧扇形部件
216‧‧‧扇形部件
218‧‧‧扇形部件
220‧‧‧熱傳導平臺
222a‧‧‧部件
222b‧‧‧部件
222c‧‧‧部件
222d‧‧‧部件
224a‧‧‧部件
224b‧‧‧部件
224c‧‧‧部件
224d‧‧‧部件
226a‧‧‧部件
226b‧‧‧部件
226c‧‧‧部件
226d‧‧‧部件
232‧‧‧底表面
234‧‧‧樁
300‧‧‧平臺
304‧‧‧部件
306‧‧‧部件
308‧‧‧部件
310‧‧‧平面
312‧‧‧凹陷工作件
322‧‧‧突起之工作件
400‧‧‧分段平臺
402‧‧‧扇形部件
404‧‧‧扇形部件
406‧‧‧扇形部件
408‧‧‧扇形部件
410‧‧‧樞軸中央
420‧‧‧平坦工作件
422‧‧‧凹陷之工作件
424‧‧‧突起之工作件
500‧‧‧可調適熱傳導系統
502‧‧‧工作件
502a‧‧‧上表面
502b‧‧‧下表面
504‧‧‧部件
504a‧‧‧表面
506‧‧‧部件
506a‧‧‧表面
510‧‧‧中央位置
512‧‧‧中點位置
514‧‧‧邊緣位置
600‧‧‧平臺
602‧‧‧工作件
604‧‧‧可彎式熱傳導板
605‧‧‧表面
606‧‧‧底支撐件
608‧‧‧空腔
612‧‧‧工作件
622‧‧‧圓頂的工作件
630‧‧‧平臺
632‧‧‧工作件
634‧‧‧可彎式熱傳導板
636‧‧‧底支撐件
639‧‧‧機械結構
700‧‧‧可調適熱傳導系統
702‧‧‧分段平臺
704‧‧‧部件
706‧‧‧部件
708‧‧‧部件
714‧‧‧感測器
716‧‧‧感測器
718‧‧‧感測器
720‧‧‧系統控制器
724‧‧‧驅動機構
726‧‧‧驅動機構
728‧‧‧驅動機構
750‧‧‧表面
752‧‧‧邊緣
754‧‧‧中央
754‧‧‧部件
762‧‧‧部件
760‧‧‧部件
800‧‧‧方法
801‧‧‧加熱或冷卻一或多個表面
802‧‧‧將工作件置於該一或多個表面上方
804‧‧‧監測一或多個參數
806‧‧‧基於一或多個參數來調整一或多個表面
808‧‧‧進行動態製程控制?
810‧‧‧自一或多個表面移除工作件
812‧‧‧處理另一基板?
900‧‧‧多站設備
901‧‧‧製程室
903‧‧‧晶圓盒
905a‧‧‧載入載出腔
905b‧‧‧載入載出腔
907‧‧‧機械手臂
909‧‧‧內部機械手臂
911-916‧‧‧站點
921‧‧‧系統控制器
1000‧‧‧多製程室設備
1011‧‧‧系統控制器
1001-1005‧‧‧製程室
1009‧‧‧儲存晶圓盒
1021-1025‧‧‧載入載出腔
1031‧‧‧中間環境
1102-1108‧‧‧線
1112-1114‧‧‧線
圖1A顯示出根據實施例之被支撐在使用最小接觸面積(MCA)接觸件之平臺之面工作件之表面上的平坦工作件的橫剖面圖。
圖1B顯示被支撐在圖1A之平臺之相同面工作件之表面上的形變工作件的橫剖面圖。
圖1C為根據實施例之用以處理半導體工作件之設備的橫剖面概圖。
圖2A為根據實施例之可調適熱傳導平臺的上視概圖,此熱傳導平臺具有在垂直方向上可彼此獨立移動的三個同心圓柱部件。
圖2B為根據實施例之具有四個扇形部件之可調適熱 傳導平臺的上視概圖。
圖2C為根據實施例之具有十二部件之可調適熱傳導 平臺的上視概圖。
圖2D為根據實施例之可調適熱傳導平臺的上視概 圖,此可調適熱傳導平臺具有在垂直方向上可相對於底表面移動的複數樁。
圖3A為根據實施例之分段可調適熱傳導平臺的橫剖 面概圖,此可調適熱傳導平臺具有相對於一平面與平坦工作件對準的三部件。
圖3B為根據實施例之圖3A之分段可調適熱傳導平臺 與凹陷的工作件的橫剖面概圖,此可調適熱傳導平臺的外部高於該平面。
圖3C為根據實施例之圖3A之分段可調適熱傳導平臺 與凸起的工作件的橫剖面概圖,此可調適熱傳導平臺之外部低於該平面。
圖4A為根據實施例之可調適熱傳導平臺的上視概 圖,此可調適熱傳導平臺具有四個可相對於樞軸中心進行樞軸移動的扇形部件。
圖4B為圖4A之可調適熱傳導平臺與平坦工作件的橫 剖面概圖。
圖4C為圖4A之可調適熱傳導平臺的橫剖面概圖,此 可調適熱傳導平臺具有可樞軸移動的部件以調整面工作件之表面進而對凹陷的工作件提供更順形的位向。
圖4D為圖4A之可調適熱傳導平臺的橫剖面概圖,此 可調適熱傳導平臺具有可樞軸移動的部件以調整面工作件之表面進而對凸起的工作件提供更順形的位向。
圖5為根據實施例之可調適熱傳導系統的橫剖面圖, 此可調適熱傳導平臺具有兩個位於工作件之相反側的熱傳導部件。
圖6A為根據特定實施例之平臺的側面概圖,此可調適熱傳導平臺具有底支撐件及支撐平坦工作件之可彎式熱傳導板。
圖6B為根據實施例之圖6A之平臺與工作件的側面概圖,其中可彎式熱傳導板的中央彎折且工作件具有弓形。
圖6C為根據實施例之圖6A之平臺與工作件的側面概圖,其中可彎式熱傳導板的中央上彎且工作件具有圓頂形。
圖6D為根據實施例之平臺側面概圖,此平臺具有底支撐件、支撐平坦工作件之可彎式熱傳導板及用以彎折該可彎式熱傳導板的機械結構。
圖7A為根據特定實施例之包含分段平臺與系統控制器的可調適熱傳導系統的側面概圖。
圖7B為根據特定實施例之具有感測器(複數感測器)之面工作件結構的上視概圖。
圖8為根據特定實施例之對形變之工作件提供均勻加熱/冷卻之方法的流程圖。
圖9為根據特定實施例之可配有可調適熱傳導系統之多站製程設備的上視概圖。
圖10為根據特定實施例之可配有可調適熱傳導系統之多製程室設備的上視概圖。
圖11A顯示傳統平坦平臺相較於根據實施例之可調整臺的溫度輪廓。
圖11B顯示傳統平坦平臺與根據實施例之可調整臺之中央部與邊緣部之間的溫度輪廓。
圖11C顯示傳統平坦平臺與根據實施例之可調整臺的偏斜輪廓。
在下列的說明中,舉出許多特定的細節以提供對本發 明概念的全盤瞭解。在缺乏部分或全部此些特定細節的情況下亦可實施本發明的概念。在其他情況下,不再詳細說明習知的製程操作以免不必要地模糊本發明的概念。雖然參考特定實壽例來說明某些概念,但應瞭解,此些實施例的目的不在於限制本發明。
導論
在圖1A與1B中比較了形變的工作件與平坦的工作 件。具體而言,此些圖示說明了放在平臺表面上之此些工作件之中央與邊緣部之間的間隙變異。圖1A顯示了被支撐在使用例如最小接觸面積(MCA)支撐件52之平臺60之面工作件之表面62上的平坦工作件50。由於工作件50與面工作件之表面62兩者皆為平的,故整個工作件各處的間隙皆是固定的。具體而言,工作件50之中央部中的間隙(被標示為D1)係實質上等於接近工作件50之邊緣部的間隙(亦被標示為D1)。此固定間隙大致上是由MCA支撐件52的高度所決定。
圖1B顯示被支撐在圖1A之平臺60之相同面工作件之 表面62上的形變的工作件54。工作件54是彎的。因此,其中央部與面工作件之表面62間的間隙(被標示為D2)係小於其邊緣部與面工作件之表面62間的間隙(被標示為D3),即D3>D2。在此實例中,由於此些間隙差異,工作件54與面工作件之表面62間的熱通量在中央部可能比在邊緣部大。在加熱工作件54時,其中央部容易比其邊緣部具有較高的溫度。類似的方式,在冷卻工作件54時,其中央部容易比其邊緣部具有較低的溫度。在下面的熱模型結果中會更進一步地反應出此不均勻的溫度分佈。
可調適熱傳導系統及方法為形變的工作件提供均勻加熱及/或冷卻。系統可調整其一或多個熱傳導表面以提供均勻熱傳導。例如,系統可使其多個面工作件之表面中的一者相對於其他者移動或者使可彎式熱傳導板之連續面工作件之表面的形狀。在某些實施例中,此些調整會導造比初始表面更順形之面工作件之表面,因此導致更均勻的熱傳導。
在某些實施例中,平臺可包含能沿著實質上垂直工作 件之方向相對於彼此獨立移動的複數同心圓柱。在其他實施例中,平臺可包含能相對於例如平臺之中央獨立樞軸移動的複數扇形部。在更其他的實施例中,工作件係放置在實質上平坦且彼此平行的兩個面工作件之表面之間。雖然此兩個面工作件之表面的任一者可順形工作件,但其總熱傳導可以仍然是均勻的。工作件較靠近此些表面之一者的一部分將會離另一表面較遠,反之亦然。以是,即便每一表面可能提供非均勻之熱傳導,但其單獨之非均勻熱通量的總合可能是均勻的。
各種硬體元件的調整可基於一或多個製程參數來控 制,如工作件的溫度輪廓及/或工作件與一或多個面工作件之表面之間的間隙輪廓。可使用系統控制器接收來自感測器的輸入並控制用以重新定位一或多個面工作件之表面及/或調整一或多個面工作件之表面之形狀的一或多個機構。
下面將敘述之各種平臺的均勻熱傳導特徵可被用來冷 卻以及加熱工作件。為了維持此文件的焦點與簡潔,說明一般會針對加熱工作件。然而,熟知此項技藝者應瞭解如何將此些方法與系統用於冷卻工作件。
為了更瞭解可調適熱傳導系統與方法的各種特徵,此 處提供了製程設備之一實例的簡要說明。圖1C為根據某些實施例之處理半導體工作件之設備100的概圖。設備100大致上代表各種類型的設備,用以例如自半導體工作件移除光阻材料及/或其他殘餘材料以及進行其他半導體製程操作。某些特定實例包含:GAMMA 2100、2130 I2CP(交錯感應耦合電漿)、G400、GxT與SIERRA,上述所有者皆為加州聖荷西之諾發系統公司所販售。其他系統包含FUSION line,其為Rockville,MD之Axcelis Technologies所販售;TERA21,其為韓國之PSK Tech所販售;及ASPEN,其為加州Fremont之Mattson Technology所販售。某些包含可調適熱傳導系統的製程室可能和叢集設備相關。例如,可將剝除室添加至加州Santa Clara的美商應用材 料所販售的CENTURA叢集設備。其他實例包含加州聖荷西之諾發系統公司所販售的ALTUS與VECTOR。
設備100包含:電漿源101,用以產生與提供能量化 或活化的反應物物種至製程室103。製程室103可藉由噴淋頭組件105而與電漿源101分離。噴淋頭109形成噴淋頭組件105的底部。電漿源101係連接至製程氣體入口111,製程氣體入口111經由噴淋頭組件105而將一或多種製程氣體供應至製程室103中。在製程室103中藉由真空泵浦與導管119而達到低壓環境。
製程室103包含平臺117。平臺117係用以支撐半導 體工作件116並加熱及/或冷卻半導體工作件116。是以,平臺117可裝有加熱及/或冷卻元件。在某些實施例中,平臺117亦用以對半導體工作件116施加電位偏壓。圖中顯示平臺117包含複數熱傳導部件,此些熱傳導部件可沿著垂直方向相對於彼此獨立移動。下面會說明可適應熱傳導平臺的其他實例。
在製程期間,藉由氣體入口111並通過電漿源101而 導入一或多種製程氣體。氣體可包含一或多種化學活性物種。電漿源101可用以離子化氣體以產生活化的物種並形成電漿。在所示的實例中,電漿源101配有射頻(RF)感應線圈115。接著噴淋頭109經由噴淋頭孔洞121導引此些活化的反應物物種進入製程室103中。可使用任何數目與配置的噴淋頭孔洞121以嘗試著最大化活化反應物物種朝向半導體工作件116之表面的分佈均勻度。
平臺117可受到溫度控制並用以加熱半導體工作件116。在製程期間平臺117與半導體工作件116之間可以有一些間隙。間隙可藉由MCA支撐件所提供,後續將參考圖1A更詳細地說明MCA支撐件。在某些實施例中,平臺117之面工作件之表面與工作件116之間可以有一些接觸。間隙可藉由下降平臺117而增加或者藉由舉升平臺117而減少。當平臺117下降時,半導體工作件116會受到連接至製程室103的樁123所支撐。在其他實施例中,當平臺117在降下 位置時,內部機械手臂的手指可支撐半導體工作件。
某些熱通量可藉由熱導來加以提供。某些額外的熱通 量可藉由輻射來加以提供。此兩種熱傳導方法的相對貢獻取決於平臺117與工作件116之間的間隙的大小、,平臺117之面工作件之表面的放射率、製程室103內的壓力及其他因素。在某些實施例中,熱導是所有熱通量的最大貢獻者。
前述之設備/方法可與微影圖案化設備或用以製造半導體裝置、顯示器、發光二極體(LED)、光伏面板等的方法一起使用。通常但非必要地,此些設備/方法可在尋常的製造場所中一起使用/進行。薄膜的微影圖案化常包含下列步驟中的某些者或全部,每一步驟可利用許多可行的設備來進行:(1)將光阻施加至工作件上(即,利用旋塗或噴塗設備);(2)利用熱板或爐管或紫外線(UV)固化設備來固化光阻;(3)利用一設備如晶圓步進機來使光阻曝露至可見光、UV光或X射線;(4)利用一設備如濕式化學品槽對光阻進行顯影以選擇性地移除光阻並藉此使其圖案化;(5)利用一乾式或電漿輔助蝕刻設備來將光阻圖案轉移至下層薄膜或工作件中;及(6)利用一設備如RF或微波電漿輔助剝除設備來移除光阻。
可獨立控制的複數面工作件之表面
在某些實施例中,可調適熱傳導系統包含複數熱傳導部件而每一熱傳導部件具有一分離之面工作件的表面。此些面工作件之表面的位置可相對於彼此調整。藉著提供一組自一面工作件之表面延伸的MCA支撐件而使此面工作件之表面的位置可相對於工作件固定。此表面可用來作為調整其他表面之位置的參考表面。
可調整面工作件之表面的位置以在工作件與所有表面的組合之間提供均勻熱傳導。例如,工作件可具有不同的形變,因此可能需要面工作件之表面的不同相對位置,以順應此些不同的形變並無論形變為何皆提供實質上的均勻熱傳導。均勻熱傳導可確保工作件的整個面積各處皆具有實質上均勻的溫度輪廓。例如,工作件之整個 面積各處的溫度變異可少於約10℃,或更具體而言,少於約5℃。此些溫度變異可定義實質上的均勻熱傳導。
可將複數面工作件之表面配置於工作件的相同側並形 成可調整之面工作件總和表面。可沿著實質上垂直於由平坦工作件(即未發生任何形變之工作件)所定義之平面的方向來調整複數面工作件之表面。此類型的調整可被稱為垂直調整。在此些實施例中,在垂直調整期間複數面工作件之表面可維持彼此平行。在其他實施例中,配置表面而使其彼此夾一角度,在垂直調整面工作件之表面的期間可維持此些預設的角度。或者,複數面工作件之表面可相對於彼此及/或相對於總和表面的中央(例如平臺的中央)進行樞軸移動。此些可樞軸移動之表面除了樞軸移動外可以或可能無法具有獨立的垂直調整。
在某些實施例中,在工作件的不同側上提供兩個面工 作件之表面。藉著工作件與兩個表面間的總和熱傳導能確保均勻熱傳導。兩個表面可以是平坦的且實質上彼此平行。在某些實施例中,兩個表面可具有一些曲率。又,如下面將解釋的,兩個表面的每一者皆可包含可相對於彼此獨立調整的複數表面。設置在工作件之相反側之兩個表面間的間隙取決於工作件的厚度、工作件的形變、每一表面的溫度、工作件與此些表面間之一者之間的預定間隙(例如由MCA支撐件所提供之間隙)及其他因素。來自兩個表面的總和熱傳導能確保均勻的溫度輪廓。
現在將參考對應的圖示更詳細地解釋此些實施例的每一者。
可垂直調整之面工作件的表面
圖2A為根據特定實施例之熱傳導平臺200的上視概圖,熱傳導平臺200具有三個能在垂直方向上相對於彼此獨立移動的同心圓柱部件202、204與206。垂直方向被定義為實質上垂直圖中所示之X-Y平面的方向。又,當一平坦工作件被放置在平臺200上時,垂直方向為實質上垂直平坦工作件的方向。有時平臺200或類似的平 臺被稱為分段平臺。然而,此些分段平臺之複數部件之面工作件的表面可對應至圓形的任何形狀/部件,但並不限於圓形片段。例如,圖2A顯示部件202具有圓形而部件204與206具有環形。圖2B顯示具有扇形的部件212、214、216與218。有時,此類分段平臺的部件會被稱為片段。但是,除了特別指出,否則片段可具有任何形狀之面工作件之表面。
分段平臺可具有任何數目之部件如兩個、三個、四個 或更多的部件。更多部件能形成會更順應於工作件之形變(如弓形與圓頂形)的面工作件總和輪廓,細節將於下面參考圖3A、3B與3C說明之。然而,每一可移動的片段可使用分離的舉升機構,如此會使整個系統複雜化。
圖2A亦說明了設置在同心圓柱部件202、204與206 之面工作件之表面上的MCA支撐件208。MCA支撐件208可自其各別的表面延伸相同的距離且被用來避免工作件碰到此些表面。在此些實施例中,熱傳導的均勻度可能會和與工作件相接觸之MCA支撐件的數目相關。即,MCA支撐件的數目愈多則均勻度愈好。又,MCA支撐件在表面上的均勻分佈可提供較佳的熱傳導均勻度。在某些實施例中,設計MCA支撐件的分佈俾使任何一對相鄰MCA支撐件之間的距離實質上相同。
圖2B為根據某些實施例之具有四個扇形部件212-218 之熱傳導平臺210的上視概圖。一個扇形被定義為由圓形之兩個半徑與其包夾的弧所圍繞的圓形的一部分。這四個扇形形成一個完整的圓形從而定義了平臺210的邊界。如前述的實施例,分段的平臺可具有任何數目的此類扇形,一般而言為三個或更多。該四個扇形部件212-218在垂直方向上可相對於彼此獨立地移動。此熱傳導平臺可用於非對稱形變的工作件。
圖2C為根據特定實施例之具有十二個部件222a-226d 之熱傳導平臺220的上視概圖。可將這十二個部件組織成四個扇形組 (例如,第一組包含部件222a、224a與226a;第二組包含部件222b、224b與226b;第三組包含部件222c、224c與226c;及第四組包含部件222d、224d與226d)。此些組可被視為是圖2B所示之平臺的扇形部件。亦可將這十二個部件組織成三個圓形組(例如第一組包含部件222a、222b、222c與222d;第二組包含部件224a、224b、224c與224d;而第三組包含部件226a、226b、226c與226d。此些組可被視為是圖2B中所示之平臺的圓形部。所有十二個部件皆可以在垂直方向上相對於彼此獨立地移動。具體而言,類似於圖2B所示的平臺,熱傳導平臺220可被用於非對稱形變的工作件。然而,熱傳導平臺220對於控制熱傳導提供了額外的彈性。在某些實施例中,亦可以上述的各種組別及/或各種子組別來移動部件。
圖2D為根據特定實施例之具有底表面232與複數樁 234之熱傳導平臺230的上視概圖,複數樁234在垂直方向上可相對於底表面232來進行移動。可獨立地變化樁234的垂直位置以調整熱傳導分佈。可讓樁234更接近工作件以增加此位置的熱傳導。類似地,可下降樁234而讓其遠離工作件以減少此位置的熱傳導。可藉由感測器來控制樁234的位置,感測器可安裝於樁234之面工作件之表面中。樁234可與底表面232具有相同的溫度。在其他實施例中,樁234具有不同於底表面232的溫度。例如,當使用平臺230來加熱時,樁234的溫度可高於底表面232的溫度。在特定的實施例中,可使用樁234來加熱底表面232(即,底表面232可能不具有獨立的加熱器)。
現在將參考圖3A-3C來解釋平臺之複數面工作件之表面的垂直調整,圖3A-3C為具有三個部件304、306與308之分段平臺300的側視概圖,其中部件304、306與308具有三個不同的調整。平臺300可類似於上述圖2中所示之平臺。圖3A顯示支撐平坦工作件302之平臺300。所有三個部件304、306與308之面工作件的表面皆與實質上平行平坦工作件302的平面310對準。在工作件302之整個表面的各處此些面工作件之表面與工作件302之間的間隙為固定的。
圖3B顯示支撐凹陷工作件312的平臺300。此工作件 之中央比其邊緣更靠近平面310。是以,若三個部件304、306與308的面工作件之表面皆對準與平面310,則熱傳導可能會不均勻。在部件308與凹陷之工作件312的中央之間的熱傳導可能會多過於例如部件304與凹陷之工作件312的邊緣之間的熱傳導。若使用用以加熱工作件312的平臺300,則此非均勻性可導致工作件312之中央的溫度高於工作件312之邊緣的溫度。為了避免此非均勻性,相對於平面310來舉升部件304與306。又,部件304的舉升程度可大於部件306的舉升程度,以順應凹陷之工作件312的形狀。
圖3C顯示支撐凸起之工作件322的平臺300。此工作 件之中央比其邊緣更遠離平面310。是以,若三個部件304、306與308的面工作件之表面皆對準與平面310,則熱傳導可能會不均勻。然而,不若參考圖3B所述之實例,在部件308與突起之工作件322的中央之間的熱傳導可能會小於例如部件304與突起之工作件322的邊緣之間的熱傳導。若使用用以加熱工作件322的平臺300,則此非均勻性可導致工作件322之中央的溫度低於工作件322之邊緣的溫度。為了避免此非均勻性,相對於平面310來降低部件304與306。又,部件304的降低程度可大於部件306的降低程度,以順應突起之工作件322的形狀。
可樞軸移動之面工作件之表面
除了使相同平臺的部件在垂直方向上移動外,此些部件可相對於彼此進行樞軸移動,或者此些部件的樞軸移動可替代在垂直方向上的移動。在某些實施例中,用來定義樞軸移動的參考點為平臺的中央。圖4A為根據特定實施例之具有四個扇形部件402、404、406與408之平臺400的上視概圖,且其可類似於圖2B中所示的平臺。部件402-408可相對於平臺400的樞軸中央410、相對於平臺400的邊緣414及/或相對於相鄰部件的界面412進行樞軸移動。可在此些位置的一或多處提供鉸鏈或其他樞軸機構。平臺400的總直徑或者部件 402-408之相鄰邊緣之間的分隔距離可依據樞軸位置而改變。一般而言,平臺可具有三或更多個扇形的可樞軸移動部件。
現在將參考圖4B-4D解釋平臺之複數面工作件之表面 的樞軸調整,圖4B-4D為顯示具有三個不同調整狀態之部件406與408之分段平臺400的側視概圖。圖4B顯示支撐平坦工作件420的平臺400。部件406與408之面工作件之表面係與相同的平面整準,且此些表面與平臺400之中央410之間的夾角約為180°。在工作件420之整個表面各處此些面工作件之表面與工作件420之間的間隙是固定的。
圖4C顯示支撐凹陷之工作件422的平臺400。工作件 422之中央在Z方向上係低於其邊緣。是以,若部件406與408的面工作件之表面係對準於相同的平面(如FIG 4B中所示),則熱傳導可能會不均勻。在平臺與工作件的中央之間的熱傳導可能會多過於平臺與與工作件的邊緣之間的熱傳導。若使用用以加熱工作件422的平臺400,則此非均勻性可導致工作件422之中央的溫度高於工作件422之邊緣的溫度。為了避免此非均勻性,相對於平臺400的中央410來樞軸移動部件406與408俾使此些部件之此些面工作件之表面與中央410之間的夾角小於180°。此調整提供面工作件之表面的更順形位向並提供更均勻的熱傳導。
圖4D顯示支撐突起之工作件424的平臺400。工作件 424之中央在Z方向上高於其邊緣。是以,若部件406與408的面工作件之表面係對準於相同的平面(如FIG 4B中所示),則熱傳導可能會不均勻。在平臺與工作件的中央之間的熱傳導可能會少過於平臺與與工作件的邊緣之間的熱傳導。若使用用以加熱工作件424的平臺400,則此非均勻性可導致工作件424之中央的溫度低於工作件424之邊緣的溫度。為了避免此非均勻性,相對於平臺400的中央410來樞軸移動部件406與408俾使此些部件之此些面工作件之表面與中央410之間的夾角大於180°。此調整提供面工作件之表面的更順形位向並提供更均勻的熱傳導。
在工作件之相反側的兩個面工作件之表面
在某些實施例中,複數熱傳導部件並不會形成相同的 平臺或其他共同體。反而,複數熱傳導部件可能會彼此分離。在特定的實施例中,兩個熱傳導部件可位於工作件的相反側。如下面將更進一步解釋,此些部件之間的間隙可受到調整以提供均勻熱傳導。工作件相對於一部件可具有一固定位置而相對於其他部件可具有可變位置。例如,一部件可具有用以支撐工作件的一組MCA支撐件。在特定的實施例中,兩個部件可相對於工作件作調整。
圖5顯示可調適熱傳導系統500,其具有在工作件502 之不同側的兩個熱傳導部件504與506。使用具有凹陷形狀的工作件502來說明在整個工作件502之不同位置處(例如中央位置510、中點位置512與邊緣位置514)的熱傳導通量。工作件502具有面向熱傳導部506之表面506a的上表面502a以及面向熱傳導部504之表面504a的下表面502b。
下熱傳導部504可以是平臺/平板而上熱傳導部506可 以是另一平板、噴淋頭或某一具有熱傳導表面506a的其他元件。當可調適熱傳導系統500是負載腔的一部分時,可使用兩個平板來作為兩個熱傳導部件504與506。當可調適熱傳導系統500是製程室的一部分時,可使用平板作為下熱傳導部504而可使用噴淋頭作為上熱傳導部506。在工作件與作為上熱傳導部506之噴淋頭之間的熱傳導期間,噴淋頭可或可不供應氣體(複數氣體)至製程室中。
在中央位置510處,工作件502之上表面502a與熱傳導部506之表面506a之間的間隙(以D1顯示之)係大於工作件502之下表面502b與熱傳導部504之表面504a之間的間隙(以D2顯示之)。是以,通過間隙D2的熱通量可能會大於通過間隙D1的熱通量。工作件502在中央位置510處的溫度可能會取決於通過D2與D1兩個間隙之間的總熱通量。通過間隙D1之熱通量的任何不足可藉由通過間隙D2的多餘熱通量來加以補償,反之亦然。
在中點位置512處,工作件502之上表面502a與熱 傳導部506之表面506a之間的間隙(以D5顯示之)係實質上等於工作件502之下表面502b與熱傳導部504之表面504a之間的間隙(以D6顯示之)。是以,通過間隙D5的熱通量可能會等於通過間隙D6的熱通量。由於熱傳導部件504與506是平行的(即,在其面工作件之表面504a與506a之間的間隙固定)且由於工作件502的厚度係實質上相同,因此間隙D5與D6的總高度可充分地與間隙D1與D2的總高度相同。是以,中央位置510處的熱通量可能會實質上等於中點位置512處的熱通量。
在邊緣位置514處,工作件502之上表面502a與熱傳導部506之表面506a之間的間隙(以D3顯示之)係小於工作件502之下表面502b與熱傳導部504之表面504a之間的間隙(以D4顯示之)。是以,通過間隙D3的熱通量可能會大於通過間隙D4的熱通量。工作件502在邊緣位置514處的溫度可能會取決於通過D3與D4兩個間隙之間的總熱通量。通過間隙D4之熱通量的任何不足可藉由通過間隙D3的多餘熱通量來加以補償,反之亦然。又,間隙D3與D4的總和可實質上等於間隙D1與D2的總和,間隙D1與D2的總和係實質上等於間隙D5與D6的總和。是以,在邊緣位置514處的總和熱通量及/或溫度可與中點位置512處及中央位置510處的總和熱通量及/或溫度相同。
具有連續之面工作件表面的可彎式熱傳導板
除了使用具有固定表面之複數熱傳導部件來順應工作件的各種形變外,熱傳導平臺可包含具有連續表面的可彎式熱傳導板,或者熱傳導平臺可包含具有連續表面的可彎式熱傳導板以代替具有固定表面之複數熱傳導部件,其中連續表面係用以改變其形狀以順應工作件的形狀。例如,薄圓形的熱傳導板可相對於平臺的底支撐件沿著其邊緣受到支撐。可在熱傳導板的中央施加垂直力以將其形狀從平坦改變為圓頂或彎折。整個平臺結構可具有充分的可撓性以允許熱 傳導板的中央相對於邊緣垂直移動。可藉由改變熱傳導板下方的壓力或利用某種機械結構在熱傳導板的背側上推/拉以施加壓力。
圖6A為根據特定實施例之具有底支撐件606與可彎式 熱傳導板604之平臺600的側面概圖,可彎式熱傳導板604支撐平坦的工作件602。可彎式熱傳導板604具有用以改變其形狀以順應工作件602之形狀的連續面工作件之表面605。在此實例中,在表面605上方提供平坦工作件602。是以,熱傳導板604不會彎折且表面605亦維持平坦以在工作件602與表面605之間提供均勻熱傳導。
圖6B為根據特定實施例之具有底支撐件606與可彎式 熱傳導板604之平臺600的側面概圖,可彎式熱傳導板604支撐弓形的工作件612。為了確保均勻熱傳導,使熱傳導板604彎折(相對於其在圖6A中的狀態)俾使面工作件之表面605亦具有弓形的形狀。可藉著在熱傳導板的至少中間部中或附近施加垂直向下力俾使熱傳導板604彎折。此力可藉由減少熱傳導板604下方如熱傳導板604所形成之空腔608內的壓力來施加。可藉著將液體或氣體抽出空腔608來減少壓力。
圖6C為根據特定實施例之具有底支撐件606與可彎式 熱傳導板604之相同平臺600的側視概圖,可彎式熱傳導板604支撐圓頂的工作件622。在此實例中,熱傳導板604彎折俾使其面工作件之表面605具有圓頂形狀以順應工作件622的形狀。可藉著在熱傳導板604的至少中間部中或附近施加垂直向上力俾使熱傳導板604彎折,此力可藉由增加熱傳導板604下方的壓力來施加。可藉著將液體或氣體泵入空腔608中來增加壓力。
在圖6D所示的另一實施例中,藉著連接至熱傳導板 634的機械結構639來施加力。具體而言,根據特定實施例平臺630包含底支撐件636及支撐工作件632的可彎式熱傳導板634。熱傳導板634係因為機械結構639所施加的力而彎折,此機械結構639係用以相對於底支撐件636移動。當機械結構639沿著垂直方向(即Z方向) 向上移動時,熱傳導板634會彎成圓頂形。當機械結構639沿著垂直方向(即Z方向)下向移動時,熱傳導板634會彎成弓形。
感測器與系統控制器
可調適熱傳導系統可包含上述調整複數熱傳導部件之 位置及/或改變連續面工作件之表面之形狀用的閉迴路控制。閉迴路控制可包含設置在一或多個熱傳導部件內的一或多個感測器,更具體而言設置在一或多個面工作件之表面內的一或多個感測器。閉迴路控制亦可包含系統控制器,自此些感測器接收輸入並控制上述調整及/或改變之各種機構。現在將更詳細地說明此些閉迴路控制元件的各種實例。
圖7A為根據特定實施例之包含分段平臺702與系統控 制器720之可調適熱傳導系統700的概圖。分段平臺702包含三個部件704、706與708且可類似於上面圖2中所示的平臺。部件704、706與708可藉由驅動機構724、726與728而在垂直方向(在Z方向)上獨立地移動。在某些實施例中,一個部件具有固定的位置但其他兩個部件可移動。部件704、706與708具有安裝在部件704、706與708之面工作件之表面中的感測器714、716與718。感測器714、716與718可用來偵測工作件與面工作件之表面間的間隙及/或工作件的溫度輪廓。下面將參考圖7B來說明感測器之類型及面工作件之表面上之感測器之位置的額外資訊。
將感測器714、716與718的輸出提供系統控制器720 中,系統控制器720判斷是否需要調整部件704、706與708的垂直位置。例如,若使用系統700來加熱工作件且感測器714與718辨識出工作件之邊緣的溫度係低於工作件之中央的溫度,則系統控制器720可指示對應的驅動機構來舉升部件704及/或下降部件708。
有時會將驅動機構724、726與728稱為致動器。在某 些實施例中,驅動機構724、726與728為伺服驅動馬達,其可包含位置反饋。部件704、706與708的位置可基於來自各種伺服機構的或經由編碼器所獲得的及/或架設在驅動機構724、726與728之電位器的 反饋。可利用各種機構如導螺桿及/或滾珠螺帽來將驅動機構724、726與728的轉動移動轉換為致動平臺區段的軸向動作。
系統控制器720可自所有感測器及自使用者介面(例 如設定溫度)來接收輸入。系統控制器720通常包含一或多個記憶體裝置及一或多個處理器。處理器可包含中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接、步進機馬達控制器板等。在某些實施例中,系統控制器720具有與其相關的使用者介面。使用者介面可具有顯示螢幕、設備及/或製程的圖形化軟體顯示及使用者輸入裝置如指向裝置、鍵盤、觸控螢幕、麥克風等。
系統控制器720或其他系統控制器(例如系統控制器921或1011)可控制可調適熱傳導系統700的一或多個活動。例如,系統控制器(例如系統控制器720)可執行系統控制軟體,此系統控制軟體包含用以控制各種製程作業之時間、用以控制不同平臺部件(如部件704、706與708)之垂直位置、用以控制工作件與平臺部件之溫度、間隙與其他製程參數的複數組指令。其他電腦程式可儲存在與系統控制器720相關的記憶體裝置中。此些程式可用於各種製程與維護任務。用以控制製程作業的電腦程式碼可以任何傳統電腦可讀程式語言所撰寫,此些語言例如是:組合語言、C、C++、Pascal、Fortran或其他語言。處理器執行編譯過的物件碼或程式碼以進行程式中所識別的任務。控制器參數係與製程條件相關,此些製程條件例如是製程作業的時間點、工作件的溫度(例如由平臺部件相對於工作件的位置及/或平臺所輸送的能量/功率所控制)及特定製程的其他參數。此些參數會以配方的形式被提供予使用者且可使用使用者介面來輸入此些參數。
可以許多方式來設計或配置系統軟體。例如,可將各種製程室元件的子程序或控制物件寫成執行本文中所述之某些作業所需之可調適熱傳導系統的控制操作。
一般而言,在每一新的工作件被提供至系統中後,立即可針對工作件進行可調適熱傳導系統內的調整。在其他實施例中, 進行複數次之調整,例如在工作件位於系統期間在每一預定時間間隔後或者持續地進行調整。例如,由於加熱或冷卻,工作件可能會因為工作件內的應力漸減而改變其形狀。又,工作件可能會因為熱膨脹係數的差異及/或熱傳導期間的溫度梯度增加或減少而改變其形狀。在此些情況下,平臺的初始調整可能不足以在此些形狀變化後維持均勻熱傳導。可調適熱傳導系統可以動態地調整不同熱傳導部件的垂直位置及/或可彎式熱傳導板之連續面工作件之表面的形狀。例如,若所提供的工作件在一開始時便具有圓頂形狀,則一開始便可舉升中央平臺部件。當工作件鬆弛並達到較平的形狀時,可逐漸地降下中央平臺區段。
圖7B為根據特定實施例之面工作件之表面750的概 圖,其顯示了表面750各處之感測器的不同位置。表面750可藉由單一的熱傳導部件如一可彎式熱傳導板或者工作件相反側上之兩熱傳導板的一者所形成。在其他實施例中,表面750可藉由複數熱傳導部件如上述之同心圓柱、扇形部或底表面/樁配置所形成。雖然參考特定實施例來說明控制元件,但可參考其他實施例之平臺來使用類似的控制元件。
表面750可具有圓形(如圖7B中所示)或其他形狀如 多角形。為了簡單化,下面的說明會參考圓形表面。表面750可由其中央754與邊緣752所定義。對於圓形表面而言,其中央754與邊緣752之間的距離被稱為半徑。
當工作件具有實質上對稱的圓頂形或弓形時,表面750 可具有沿著其半徑之一者設置的兩個感測器。例如,一個感測器可設置在中央754處但另一感測器可設置在邊緣752處。若使用此類感測器來量測間隙,其可反應出工作件是如何形變的。在某些實施例中,可調適熱傳導系統可包含沿著相同半徑設置的三或更多個感測器。例如,可將一個感測器設置在中央754處、將另一個感測器設置在邊緣752處,但將更另一個感測器設置在其他兩個感測器的中間。此實施例係顯示於具有部件754、762與760的圖7B中。
為了描繪出工作件的其他部分尤其是在使用不對稱形 狀之工作件時,可沿著彼此夾特定角度的複數半徑來分散感測器。例如,可沿著在X方向延伸的半徑來設置一或多個感測器,並在沿著在Y方向延伸的半徑來設置一或多個感測器。
感測器可包含使用非接觸式測高溫計的溫度量測儀及/或使用光學或電容方法的工作件熱傳導表面間隙量測儀。
方法步驟
亦提供對形變之工作件提供均勻加熱及/或冷卻的方法。圖8顯示了此類方法的一實例。在此實例中,方法800開始於步驟801,加熱或冷卻用於熱傳導的一或多個表面。接著在步驟802中,將工作件置於該一或多個表面上方。在步驟802中,可在工作件的上方提供一或多個額外的熱傳導表面。
方法800繼續步驟804,監測一或多個製程參數。例如,在此步驟中量測工作件與一或多個面工作件之表面間的溫度輪廓及/或間隙變異。接著可在步驟806中使用此些量測值以基於此些量測值來調整一或多個熱傳導表面。例如,可基於溫度輪廓來調整不同熱傳導表面部件的垂直位置,以確保工作件具有均勻溫度。如決策方塊808所示,可進行此些量測與調整複數次。重覆的量測及調整可被稱為動態製程控制。
一旦工作件被加熱或冷卻至預定的溫度,且在某些實施例中一旦在工作件上進行各種其他的作業(沈積、蝕刻等),在步驟810中自一或多個熱傳導表面移開工作件。
如決策方塊812所反應的,可針對其他工作件重覆各種步驟。複數工作件可具有不同程度與類型的形變且可能需要一或多個表面的調整。
多站設備的實例
上述之各種熱傳導系統的實例可被用於單站設備或多站設備。圖9為根據特定實施例之多站設備900的概圖。設備900包 含製程室901以及一或多個晶圓盒903(例如前開式晶圓傳送盒),晶圓盒903係用以容納待處理之晶圓及已完成期望製程(沈積、蝕刻等)的晶圓。製程室901可具有多個站點例如兩個站點、三個站點、四個站點、五個站點、六個站點、七個站點、八個站點、十個站點、或其他任何數目之站點。站點的數目通常是由製程作業的複雜度及可在共同環境中進行之此些作業的數目所決定。圖9顯示包含了六個站點911-916的製程室901。在多站設備900之單一製程室內的所有站點911-916皆曝露於相同的壓力境。然而,每一站點911-916可藉由專用的電漿產生器、加熱器及平臺配置而達到獨立的局部電漿條件及獨立的局部加熱條件。可將上述之一或多個可調適熱傳導系統提供至站點911-916及/或載入載出腔905a與905b中的一或多者。
將設備900中待處理的一工作件從眾多晶圓盒903中 的一者經由一或兩個載入載出腔905a與905b而載入站點911中。外部的機械手臂907可用以在晶圓盒903與載入載出腔905a與905b間傳送工作件。在所示的實施例中,有兩個分離的載入載出腔905a與905b。載入載出腔905a與905b係用以在一壓力環境(例如製程室901外的大氣壓)與另一壓力環境(例如製程室901內的遠遠較低壓力)間傳送工作件。一旦將壓力平衡至對應製程室901之內部環境的位準,可使用另一傳送裝置(未顯示)將工作件自載入載出腔905a移至站點911。為了將工作件移出製程室901,可使用相同或另一傳送裝置將工作件自站點916程回到載入載出腔905b中。可使用內部機械手臂909在製程站點911-916之間傳送工作件。內部機械手臂909可包含具有朝向製程站點延伸之複數手臂的轉軸組件。每一手臂可具有四指(例如在朝向站點延伸之手臂的每一側各有兩指)。此些手指係用以在製程站點內舉升、降下及放置工作件。
在將一工作件放置到站點911上之前,定位對應之內 部機械手臂909俾使四個手指(即位於站點911之相反側上之兩個相鄰手臂之每一側上的兩指)能停留在平板的凹槽內。如上所述,此些凹槽 適合用以容納此類手指。接著自站點911的凹槽舉升手指以支撐站點911上的工作件並將工作件移至另一站點。因此,其他站點的凹槽亦用以容納此些手指。整體而言,其他站點的凹槽係用以容納內部機械手臂909之任何一組手指。內部機械手臂909及站點911-916的平臺係用以相對於彼此垂直移動,以舉升平臺表面上的工作件或將工作件放置到平臺表面上。熟知此項技藝者應瞭解,將工作件放置到平臺表面上可以或可不涉及此些元件之實體部分的直接接觸。例如,一平臺可設有MCA支撐件以避免工作件之背側的過度接觸。對於說明所有半導體製程設備之實施例及方法實施例而言,即便工作件是由MCA支撐件所支撐,一般仍會認為工作件係放置在平臺上。又,內部機械手臂909與站點911-916之平臺係用以相對於彼此轉動移動以將工作件從一站點移動至另一站點。由於所有站點皆存在於相同的環境中,在站點之間毋需載入載出腔或其他類型的傳送接口。在每一站點或選定的次組站點上可處理(包含加熱)一工作件。
可將一站點(例如站點911)保留為新接收工作件晶圓 的初始加熱用。此站點可具有位於站點上方的加熱燈。工作件的初始溫度可約為室溫(例如約25℃)。在此預熱作業後,溫度可超過300℃且溫度通常由接續的作業如沈積或蝕刻所決定。此站點可使用上述的各種熱傳導系統。例如,由於在此站點上不會進行任何製程,因此在此站點上可使用設置在工作件之相反側之具有熱傳導部件的系統。
其他站點(例如站點912、913、914、915與916)可用於其他類型的製程。在設備中之複數站點上的製程可依序進行或平行進行。在某些實施例中,設備900之所有或部分選定製程站點可具有可調適熱傳導系統。如上所述,部分或所有製程站點可具有其自己的RF電源如下游的感應耦合電漿RF源。此些站點亦可設有能對平臺表面上之工作件施加偏壓的元件。又,部分或所有平板可設有加熱元件。上述的各種熱傳導系統可用於此些站點。
不同站點可具有相對於內部機械手臂909具有不同垂 直位置的平臺。例如,站點912與913可具有設置在較低位置的平臺以具有來自此些平臺的較低熱傳導通量。例如,此些站點可用以蝕刻自光阻經植入所形成的硬殼。是以,在此作業期間工作件與平臺間可有一間隙,以使此期間內工作件的溫度低於其他站點所進行之其他作業期間的工作件溫度。此間隙可介於約0.1吋與3吋之間,或更具體而言介於約1.5吋至2.5吋之間。在製程期間可基於一或多個因素來選定及/或調整間隙,此些因素例如是面向平板表面之晶圓的放射率、平板的溫度、當晶圓被導送至站點時的初始溫度、在作業期間晶圓的溫度需求、晶圓的熱存積、晶圓的電阻率、工作件上之膜層的類型及其他製程參數。將平臺的降下位置定義為平臺(即其面工作件之表面或MCA支撐件)不與工作件接觸的任何位置。平臺在垂直位向上的此些差異(即介於舉升與降下位置之間的差異)能達到不同的工作件溫度並同時維持實質上類似的平臺加熱配置(兩者係以平臺的結構及加熱元件的輸出來看)。或者,不同的站點可具有不同類型的可調適熱傳導系統或配置不同之相同類型的可調適熱傳導系統。在相同或其他的實施例中,此些平臺可由熱導較低的材料所製成。又,可控制加熱器的輸出以達到不同的工作件溫度。
現在將簡要地說明使用多站設備900之所謂高劑量植 入剝除(HDIS)之蝕刻製程的實例。在此製程中,工作件上覆有一層光阻,此光阻已被用來在植入摻質的製程期間遮覆該工作件的指定區域。在摻質植入之後,在接續製程步驟的準備過程中需要自工作件蝕刻或移除剩餘的光阻。由於植入製程,已遮覆過工作件之指定區域的光阻層在外部已變成硬化的硬殼但內部卻仍是較軟的大塊光阻。先將工作件置於平臺位於舉升位置的站點911上,並將工作件加熱至介於約120℃至140℃的溫度。當工作件移動至站點912然後移動至站點913時,此些站點的平臺係位於降下位置俾使工作件不會與此些平臺接觸且俾使熱傳導最小。或者,可在部皆或整個製程期間舉升站點912與913之一或兩個平臺。在某些實施例中,此些平臺係用以在蝕刻光 阻硬殼時將工作件的溫度維持在相同的位準(例如在站點911上到達介於約120℃至140℃的溫度)。接著將工作件移動至站點914開始進行大量剝除。工作件溫度可能需要增加至至少約250℃或具體而言至約280℃。此站點的平臺可位於舉升位置。
在某些實施例中,使用一設備來處理不同的工作件類 型。例如,可使用相同的設備來剝除通常需要高溫條件的「未硬殼化」光阻以及需要較低溫度條件的硬殼化光阻。在此些不同的溫度作業範圍間切換可使用不同配置的可調適熱傳導系統。設備的此結構改變可結合加熱器輸出的改變及/或平臺之垂直位置。
在某些實施例中,使用一系統控制器921來控制下述 各種剝除製程作業的製程條件。例如,系統控制器921可控制每一站點911-916中之平臺的位置以及上述可調適熱傳導系統的加熱器輸出與各種參數。
多製程室設備的實例
圖10為根據特定實施例之可設有可調適熱傳導系統 之多製程室設備1000的概圖。設備1000可具有三個分的製程室1001、1003與1005(如所示)或任何其他數目的製程室。每一製程室1001-1005具有不與其他製程室共享的壓力環境。例如,製程室1001可在不同於製程室1003與1005之壓力位準的壓力位準下操作或者在其環境中具有不同的化學組成。這提供了額外的製程彈性,但亦需要經由不同操作環境之間的傳送接口來傳送工作件。具體而言,圖10顯示每一製程室具有兩個載入載出腔(即,製程室1001具有一組載入載出腔1021、製程室1003具有一組載入載出腔1023且製程室1005具有一組載入載出腔1025)。應瞭解,每一獨立的製程室可具有任何數目之載入載出腔。載入載出腔1021-1025可被暴露至中間環境1031,中間環境可不同於儲存晶圓盒1009之環境氛圍且由一組載入載出腔(未顯示)而與儲存晶圓盒1009分隔。又,一或多個製程室1001-1005可與中間環境1031共享其環境,因此可省略一或多個對應 組的載入載出腔1021-1025或者在兩側上維持開放。
圖10顯示每一製程室設有兩個站點。然而,可使用任 何數目的站點。在一實施例中,多製程室設備的一或多個製程室可類似於參考圖9所述之六站點實例。每一製程室毋需具有相同數目的站點。多製程室設備1000的一或多個站點可具有上述之可調適熱傳導系統。在某些實施例中,在此些製程室之一者中的所有站點或者所有製程室中的所有站點可具有可調適熱傳導系統。可調適熱傳導系統可位於製程室或載入載出腔之任何一者上。
多製程室設備1000亦可具有共享的工作件處理手臂 1007以在載入載出腔1021-1025及一或多個晶圓盒1009或其他元件之間傳送晶圓。每一製程室甚至於每一獨立的站點皆可受到系統控制器1011的控制,系統控制器1011的配置係類似於參考圖9所述者。
實驗/模型
進行模型測試以比較可調整臺與傳統的靜態平臺。針 對模型使用直徑450 mm且1毫米初始圓頂形變的矽晶圓。起始溫度為350°。一開始基板各處的溫度是均勻的。
一個模型涉及維持在溫度25℃的傳統平坦平臺。將 基板放置在距離平臺表面約254微米的距離處。由於圓頂形變,基板邊緣比基板中央更靠近平臺表面1毫米。另一模型涉及具有三個同心圓柱部件的可調整臺。中央部件具有150毫米的直徑、中間部件具有300毫米的外直徑,最後邊緣部件具有450毫米的外直徑。中間部件的內直徑係實質上等於中央部件的直徑,而邊緣部件的內直徑係實質上等於中間部件的外直徑。三個部件皆維持在25℃。
調整平臺的三個部件以符合基板的輪廓。即,中央部 件相對於邊緣部件舉升1毫米,中間部件相對於邊緣部件舉升0.5毫米。
使用此些模型來模擬基板冷卻10分鐘的情況。在此段 時間內監測基板邊緣與中央的溫度。又,在此段時間內估計兩片基板 的偏斜程度。
圖11A顯示在模型之前10分鐘期間的四個溫度輪廓。 線1102為使用傳統平坦平臺所冷卻之基板之中央部的溫度輪廓。線1104為使用可調整臺所冷卻之基板之邊緣部的溫度輪廓。線1106為利用可調整臺所冷卻之基板之中央部的溫度輪廓。線1108為利用傳統平臺所冷卻之基板之中央部的溫度輪廓。明顯地,線1104與1106比線1102與1108更靠近彼此,這表示利用可調整臺所冷卻之基板比利用傳統平坦平臺所冷卻的基板具有遠遠較均勻的溫度輪廓。
自圖11B的證據更可證明效能的差異,圖11B顯示兩 基板在中央與邊緣部之間之溫度差距的兩個輪部。線1112對應至利用傳統平坦平臺所冷卻的基板,線1114對應至利用上述可調整臺所冷卻的基板。在模型期間的某一點處,利用傳統平坦平臺所冷卻之基板的中央比邊緣熱48℃。利用可調整臺所冷卻之基板的溫度變異一般係小於10℃。
圖11C顯示兩基板之模型化的偏斜輪廓。線1122代表利用傳統平坦平臺所冷卻之基板的偏斜,線1124代表利用上述可調整臺所冷卻之基板的偏斜。一開始,兩片基板皆具有約1毫米的偏斜。在冷卻期間,利用可調整臺所冷卻之基板的偏斜係減少至約0.6毫米。同時在冷卻期間,利用傳統平坦平臺所冷卻之基板的偏斜卻增加且最大達到約2.2毫米。
雖然為了更清楚地瞭解本發明已詳細地說明了前述概念,但很明顯地,在申請專利範圍的範疇內可進行某些改變與修改。應注意,有許多實施方法、系統與設備的其他方式。因此本發明之實施例應被視為是說明性而非限制性的。
801‧‧‧加熱或冷卻一或多個表面
802‧‧‧將工作件置於該一或多個表面上方
804‧‧‧監測一或多個參數
806‧‧‧基於一或多個參數來調整一或多個表面
808‧‧‧進行動態製程控制?
810‧‧‧自一或多個表面移除工作件
812‧‧‧處理另一基板?

Claims (39)

  1. 一種可調適熱傳導系統,包含:可調整臺的第一部件,用以容納工作件,該第一部件具有第一面工作件之表面;及該可調整臺的第二部件,該第二部件具有第二面工作件之表面,其中該第一與第二部件可沿著實質上垂直於一平面的方向獨立地移動,其中該第一與第二部件的形狀為扇形且其各自的該第一與第二面工作件之表面為扇形,且其中當該可調整臺容納該工作件時,該第一與第二部件係位於該工作件的一側。
  2. 如申請專利範圍第1項之可調適熱傳導系統,更包含:系統控制器,用以接收來自第一感測器與第二感測器的輸入,該第一感測器係用以在該第一面工作件之表面處量測而該第二感測器係用以在該第二面工作件之表面處量測,該系統控制器係基於來自該第一與第二感測器的輸入而控制該第一與第二部件的移動。
  3. 如申請專利範圍第2項之可調適熱傳導系統,其中決定該第一與第二部件之受控制的移動以改善該工作件各處的熱傳導均勻度。
  4. 如申請專利範圍第2項之可調適熱傳導系統,其中該第一與第二感測器為可調整臺的一部分。
  5. 如申請專利範圍第2項之可調適熱傳導系統,其中來自該第一與第二感測器的輸入係與該工作件與該第一與第二面工作件之表面之間的間隙相關。
  6. 如申請專利範圍第2項之可調適熱傳導系統,其中來自該第一與第二感測器的輸入係與在該工作件之不同位置處所量測到的溫度相關。
  7. 如申請專利範圍第1項之可調適熱傳導系統,更包含:一組支撐件,自該第一與第二面工作件之表面中的至少一者延伸,該組支撐件係用以支撐該工作件。
  8. 如申請專利範圍第1項之可調適熱傳導系統,其中該工作件被支撐在該第一面工作件之表面上方的預定距離處。
  9. 如申請專利範圍第1項之可調適熱傳導系統,更包含:該可調整臺的第三部件,其中該第三部件的形狀為環狀扇形且其係獨立於該第一與第二部件而進行移動,其中該第三部件具有第三面工作件之表面,且該第三面工作件之表面為環狀扇形。
  10. 如申請專利範圍第1項之可調適熱傳導系統,其中該第一與第二部件係位於可獨立移動之圓柱的末端。
  11. 如申請專利範圍第1項之可調適熱傳導系統,其中該第一與第二部件中的每一者包含至少一樁,且該樁的末端係該第一與第二面工作件之表面的一部份。
  12. 如申請專利範圍第1項之可調適熱傳導系統,更包含:該可調整臺的第三部件,具有第三面工作件之表面,其中該第三部件的形狀為扇形且可沿著實質上垂直於該平面的方向獨立於該第一與第二部件而進行移動,且其中當該可調整臺容納該工作件時,該第三部件係位於該工作件的一側。
  13. 一種可調適熱傳導系統,包含:可調整臺之可樞軸移動的第一部件,用以容納工作件,該第一部件具有第一面工作件之表面;及該可調整臺之可樞軸移動的第二部件,該第二部件具有第二面工作件之表面,其中該第一與第二面工作件之表面的每一者皆可相對於一樞軸點進行樞軸移動,且其中當該可調整臺容納該工作件時,該第一與第二面工作件之表面係位於該工作件的一側。
  14. 如申請專利範圍第13項之可調適熱傳導系統,更包含:系統控制器,用以接收來自第一感測器與第二感測器的輸入,該第一感測器係用以在該第一面工作件之表面處量測而該第二感測器係用以在該第二面工作件之表面處量測,該系統控制器係基於來自該第一與第二感測器的輸入而控制可樞軸移動之該第一與第二部件的樞軸移動。
  15. 如申請專利範圍第14項之可調適熱傳導系統,其中決定可樞軸移動之該第一與第二部件之受控制的樞軸移動,以改善該工作件各處的熱傳導均勻度。
  16. 如申請專利範圍第14項之可調適熱傳導系統,其中該第一與第二感測器為該可調整臺的一部分。
  17. 如申請專利範圍第14項之可調適熱傳導系統,其中來自該第一與第二感測器的輸入係與該工作件與該第一與第二面工作件之表面間的間隙相關。
  18. 如申請專利範圍第14項之可調適熱傳導系統,其中來自該第一與 第二感測器之輸入係與靠近該第一與第二面工作件之表面之該工作件的溫度相關。
  19. 如申請專利範圍第13項之可調適熱傳導系統,更包含:一組支撐件,自該第一與第二面工作件之表面中的至少一者延伸,該組支撐件係用以支撐該工作件。
  20. 如申請專利範圍第13項之可調適熱傳導系統,其中該工作件被支撐在該第一面工作件之表面上方的預定距離處。
  21. 一種可調適熱傳導系統,包含:可調整臺的第一部件,用以容納工作件,該第一部件具有第一面工作件之表面,當該可調整臺容納該工作件時,該第一面工作件之表面係面向該工作件之第一側;及該可調整臺的第二部件,該第二部件具有第二面工作件之表面,當該可調整臺容納該工作件時,該第二面工作件之表面係面向該工作件之第二相反側,其中該第二部件係可移動。
  22. 如申請專利範圍第21項之可調適熱傳導系統,其中該第一與第二面工作件之表面係實質上平行。
  23. 如申請專利範圍第21項之可調適熱傳導系統,其中該第二部件可沿著垂直於該第一面工作件之表面的方向進行移動。
  24. 如申請專利範圍第23項之可調適熱傳導系統,更包含:系統控制器,用以自一或多個感測器接收輸入,該系統控制器係用以基於來自該一或多個感測器之該輸入而控制該第二部件的移動。
  25. 如申請專利範圍第24項之可調適熱傳導系統,其中決定該第二部件之受控制的移動以改善該工作件各處的熱傳導均勻度。
  26. 如申請專利範圍第24項之可調適熱傳導系統,其中該一或多個感測器為該可調整臺的一部分。
  27. 如申請專利範圍第24項之可調適熱傳導系統,其中該輸入係與該工作件與該第一與第二面工作件之表面間的間隙相關。
  28. 如申請專利範圍第24項之可調適熱傳導系統,其中該輸入係與在該工作件上不同位置處所量測到的溫度相關。
  29. 如申請專利範圍第24項之可調適熱傳導系統,更包含:一組支撐件,自該第一面工作件之表面延伸,該組支撐係用以支撐該工作件。
  30. 一種可調適熱傳導系統,包含:可彎式熱傳導板,具有第一面工作件之表面;及系統控制器,用以接收來自該可彎式熱傳導板處之一或多個感測器的輸入且用以基於來自該一或多個感測器之該輸入來控制施加於該可彎式熱傳導板的彎折力。
  31. 如申請專利範圍第30項之可調適熱傳導系統,其中決定施加至該可彎式熱傳導板之受控制的彎折力以改善該工作件各處的熱傳導均勻度。
  32. 如申請專利範圍第30項之可調適熱傳導系統,其中來自該一或多個感測器的該輸入係與該工作件與該第一面工作件之表面間的間隙相 關。
  33. 如申請專利範圍第30項之可調適熱傳導系統,其中來自該一或多個感測器的該輸入係與該工作件的溫度相關。
  34. 一種可調適熱傳導方法,包含:開始可調整臺之第一部件的溫度變化;將一工作件提供至該第一部件之第一面工作件之表面的上方,其中該第一部件的形狀為扇形且該第一面工作件之表面為扇形;利用一或多個感測器來監測一或多個製程參數;及基於該一或多個製程參數來調整該第一部件。
  35. 如申請專利範圍第34項之可調適熱傳導方法,更包含:提供該可調整臺的第二部件,該第二部件具有第二面工作件之表面,其中該第二部件的形狀為扇形且該第二面工作件之表面為扇形;開始該第二部件的溫度變化;及基於該一或多個製程參數來調整該第二部件。
  36. 如申請專利範圍第35項之可調適熱傳導方法,更包含:基於改善該工作件各處之熱傳導均勻度的目的來決定該第一與第二部件的調整。
  37. 如申請專利範圍第35項之可調適熱傳導方法,其中該一或多個製程參數係與該工作件與該第一與第二面工作件之表面間的間隙相關。
  38. 如申請專利範圍第34項之可調適熱傳導方法,其中該一或多個製程參數係與該工作件各處的溫度相關。
  39. 如申請專利範圍第34項之可調適熱傳導方法,更包含:移除該工作件。
TW102100308A 2012-01-06 2013-01-04 可調適熱傳導方法及均勻熱傳導用系統 TWI612628B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261584130P 2012-01-06 2012-01-06
US61/584,130 2012-01-06

Publications (2)

Publication Number Publication Date
TW201351581A TW201351581A (zh) 2013-12-16
TWI612628B true TWI612628B (zh) 2018-01-21

Family

ID=48743103

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102100308A TWI612628B (zh) 2012-01-06 2013-01-04 可調適熱傳導方法及均勻熱傳導用系統

Country Status (5)

Country Link
US (1) US9835388B2 (zh)
KR (2) KR20140119726A (zh)
CN (1) CN104040710B (zh)
TW (1) TWI612628B (zh)
WO (1) WO2013103594A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
TWI472882B (zh) * 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US9202964B2 (en) * 2010-03-01 2015-12-01 First Solar, Inc. System and method for photovoltaic device temperature control while conditioning a photovoltaic device
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
NL2011876C2 (en) * 2013-12-02 2015-06-03 Univ Delft Tech Low specimen drift holder and cooler for use in microscopy.
TWI650832B (zh) 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
KR20220025146A (ko) 2014-05-21 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 열 처리 서셉터
KR102398067B1 (ko) * 2014-11-05 2022-05-13 삼성디스플레이 주식회사 정전 척
US10014228B2 (en) * 2014-11-24 2018-07-03 Rudolph Technologies, Inc. Method and apparatus to assist the processing of deformed substrates
US10109510B2 (en) 2014-12-18 2018-10-23 Varian Semiconductor Equipment Associates, Inc. Apparatus for improving temperature uniformity of a workpiece
JP6153095B2 (ja) * 2014-12-19 2017-06-28 信越半導体株式会社 エピタキシャルウェーハの製造方法
KR102370614B1 (ko) * 2015-03-30 2022-03-04 삼성디스플레이 주식회사 플레이트의 평탄도 조절 장치
EP3317726B1 (en) 2015-07-02 2022-03-02 ASML Netherlands B.V. A substrate holder, a lithographic apparatus and method of manufacturing devices
US9786496B2 (en) * 2015-08-17 2017-10-10 Lam Research Corporation Method of densifying films in semiconductor device
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10747127B2 (en) 2016-09-02 2020-08-18 Asml Netherlands B.V. Lithographic apparatus
US10655224B2 (en) * 2016-12-20 2020-05-19 Lam Research Corporation Conical wafer centering and holding device for semiconductor processing
US10354887B2 (en) 2017-09-27 2019-07-16 Lam Research Corporation Atomic layer etching of metal oxide
USD860146S1 (en) 2017-11-30 2019-09-17 Veeco Instruments Inc. Wafer carrier with a 33-pocket configuration
USD863239S1 (en) 2018-03-26 2019-10-15 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD858469S1 (en) 2018-03-26 2019-09-03 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD854506S1 (en) 2018-03-26 2019-07-23 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD860147S1 (en) 2018-03-26 2019-09-17 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD866491S1 (en) 2018-03-26 2019-11-12 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
CN110434991B (zh) * 2019-08-10 2021-11-30 广东东泓住工科技有限公司 一种预制混凝土构件蒸汽养护工艺
KR20220107185A (ko) * 2019-12-02 2022-08-02 에베 그룹 에. 탈너 게엠베하 기판 가열 장치 및 방법
EP3916482A1 (en) * 2020-05-27 2021-12-01 ASML Netherlands B.V. Conditioning device and corresponding object handler, stage apparatus and lithographic apparatus
CN117855020B (zh) * 2024-03-04 2024-05-07 上海谙邦半导体设备有限公司 一种温度可调的晶圆等离子体去胶装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007158074A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2009218536A (ja) * 2008-03-13 2009-09-24 Seiko Epson Corp 基板加熱装置および電気光学装置の製造装置

Family Cites Families (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3020131A (en) 1959-10-22 1962-02-06 Knapic Electro Physics Inc Rotating pedestal
US3612825A (en) 1969-08-08 1971-10-12 Shatterproof Glass Corp Windowed high-temperature ovens
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4535835A (en) 1982-05-25 1985-08-20 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
JPS61264649A (ja) 1985-05-20 1986-11-22 Ulvac Corp 基板冷却装置
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
KR940011708B1 (ko) 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5228208A (en) 1991-06-17 1993-07-20 Applied Materials, Inc. Method of and apparatus for controlling thermal gradient in a load lock chamber
JP3238200B2 (ja) 1992-07-17 2001-12-10 株式会社東芝 基体処理装置及び半導体素子製造方法
US5248922A (en) * 1992-04-27 1993-09-28 Motion Control Technologies, Inc. Multi-DSP, multi-functional motion controller
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JPH0790582A (ja) 1993-06-22 1995-04-04 Nissin Electric Co Ltd 基板保持装置
DE4418562A1 (de) 1993-06-29 1995-01-12 Leybold Durferrit Gmbh Verfahren und Vorrichtung zur Behandlung von im wesentlichen aus Kunststoff oder Gummi bestehendem Gut
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
JP3017631B2 (ja) 1993-11-24 2000-03-13 東京エレクトロン株式会社 低温処理装置の制御方法
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3220619B2 (ja) 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
US5830277A (en) 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
JPH0992615A (ja) 1995-09-27 1997-04-04 Sony Corp 半導体ウェハの冷却装置
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5811762A (en) 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6214184B1 (en) 1997-05-14 2001-04-10 Taiwan Semiconductor Manufacturing Company, Ltd Insulated wafer pedestal
GB9711080D0 (en) 1997-05-29 1997-07-23 Imperial College Film or coating deposition on a substrate
US6113698A (en) 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
JP3758009B2 (ja) 1998-07-01 2006-03-22 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP4111625B2 (ja) 1999-03-31 2008-07-02 芝浦メカトロニクス株式会社 真空処理装置の基板冷却台
KR100613674B1 (ko) 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 웨이퍼 처리 장치 및 처리 방법
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6307184B1 (en) 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6410457B1 (en) 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
US6561796B1 (en) 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
KR100389913B1 (ko) 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
US6803237B2 (en) 2000-01-25 2004-10-12 Woods Hole Oceanographic Institution Sequential processing reaction vessel for chemical fractionation and analysis
US6534751B2 (en) * 2000-02-28 2003-03-18 Kyocera Corporation Wafer heating apparatus and ceramic heater, and method for producing the same
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
JP4540796B2 (ja) 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP3516392B2 (ja) 2000-06-16 2004-04-05 イビデン株式会社 半導体製造・検査装置用ホットプレート
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
JP2004506313A (ja) 2000-08-04 2004-02-26 エス.シー.フルーイズ,インコーポレイテッド 遮断密閉メカニズムを有した逆圧容器
DE10043234A1 (de) 2000-09-02 2002-03-14 Stihl Maschf Andreas Ventiltrieb mit einem Kipphebel
TW512421B (en) 2000-09-15 2002-12-01 Applied Materials Inc Double dual slot load lock for process equipment
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
TWI313059B (zh) 2000-12-08 2009-08-01 Sony Corporatio
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
JP2002246375A (ja) 2001-02-21 2002-08-30 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
AU2002240261A1 (en) 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6563686B2 (en) 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
US20020179006A1 (en) 2001-04-20 2002-12-05 Memc Electronic Materials, Inc. Method for the preparation of a semiconductor substrate with a non-uniform distribution of stabilized oxygen precipitates
JP3825277B2 (ja) 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6529686B2 (en) 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP3713220B2 (ja) 2001-06-15 2005-11-09 日本特殊陶業株式会社 セラミックヒータ
KR20020096524A (ko) 2001-06-20 2002-12-31 삼성전자 주식회사 반도체 장치 제조용 공정챔버의 웨이퍼 안착 구조
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6752948B2 (en) 2001-10-03 2004-06-22 3D Systems, Inc. Post processing three-dimensional objects formed by selective deposition modeling
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6899765B2 (en) 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
JP3588457B2 (ja) 2002-04-26 2004-11-10 京セラ株式会社 ウェハ加熱装置
KR20030096732A (ko) 2002-06-17 2003-12-31 삼성전자주식회사 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
JP4133062B2 (ja) 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 熱処理装置
JP2005538566A (ja) 2002-09-10 2005-12-15 アクセリス テクノロジーズ, インコーポレイテッド 温度固定されたチャックを用いた温度可変プロセスにおける基板の加熱方法
US6768084B2 (en) 2002-09-30 2004-07-27 Axcelis Technologies, Inc. Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US6887523B2 (en) 2002-12-20 2005-05-03 Sharp Laboratories Of America, Inc. Method for metal oxide thin film deposition via MOCVD
JP4212888B2 (ja) 2002-12-26 2009-01-21 三菱化学エンジニアリング株式会社 プレート型触媒反応器
DE10261362B8 (de) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US6933004B2 (en) 2003-05-20 2005-08-23 Lucent Technologies Inc. Control of stress in metal films by controlling the temperature during film deposition
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
JP2005116655A (ja) 2003-10-06 2005-04-28 Canon Inc ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7410355B2 (en) 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
JP4376070B2 (ja) 2004-01-14 2009-12-02 日本碍子株式会社 加熱装置
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
DE102004055449B4 (de) 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
TW200723352A (en) 2004-12-06 2007-06-16 Axcelis Tech Inc Medium pressure plasma system for removal of surface layers without substrate loss
JP2006210372A (ja) * 2005-01-25 2006-08-10 Sony Corp 半導体製造装置および半導体製造方法
KR100702010B1 (ko) 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7194199B2 (en) 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070029046A1 (en) 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
US7956310B2 (en) 2005-09-30 2011-06-07 Tokyo Electron Limited Stage, substrate processing apparatus, plasma processing apparatus, control method for stage, control method for plasma processing apparatus, and storage media
CN101326613B (zh) 2005-12-07 2010-12-08 艾克塞利斯技术公司 用于去除表面层而不损失基片的中等压力等离子体系统
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4497103B2 (ja) 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
JP4702799B2 (ja) 2006-03-17 2011-06-15 ルネサスエレクトロニクス株式会社 ボルト及び半導体製造装置
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20070283709A1 (en) 2006-06-09 2007-12-13 Veeco Instruments Inc. Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system
JP5347214B2 (ja) 2006-06-12 2013-11-20 東京エレクトロン株式会社 載置台構造及び熱処理装置
US20080102644A1 (en) 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7870804B2 (en) 2006-12-08 2011-01-18 GM Global Technologies Operations LLC Multi-speed dual clutch transmission
US8222574B2 (en) 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
KR100836183B1 (ko) 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
KR20080072275A (ko) 2007-02-01 2008-08-06 세메스 주식회사 반도체 제조용 애싱 장비 및 그 구동방법
JP2008192840A (ja) 2007-02-05 2008-08-21 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US9383138B2 (en) 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
JP5135915B2 (ja) 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8047706B2 (en) 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
TWI472882B (zh) 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
CN102341902A (zh) 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
US20100247804A1 (en) 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
KR20110137775A (ko) * 2009-03-26 2011-12-23 파나소닉 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20110054840A (ko) 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US20120074126A1 (en) 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
WO2013049589A1 (en) * 2011-09-30 2013-04-04 Applied Materials, Inc. Electrostatic chuck with temperature control
US20130145989A1 (en) 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
US20140170857A1 (en) 2012-12-18 2014-06-19 Intermolecular, Inc. Customizing Etch Selectivity with Sequential Multi-Stage Etches with Complementary Etchants
US20160056032A1 (en) 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007158074A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2009218536A (ja) * 2008-03-13 2009-09-24 Seiko Epson Corp 基板加熱装置および電気光学装置の製造装置

Also Published As

Publication number Publication date
US9835388B2 (en) 2017-12-05
KR20190132561A (ko) 2019-11-27
WO2013103594A1 (en) 2013-07-11
TW201351581A (zh) 2013-12-16
US20130175005A1 (en) 2013-07-11
CN104040710B (zh) 2017-11-28
CN104040710A (zh) 2014-09-10
KR20140119726A (ko) 2014-10-10

Similar Documents

Publication Publication Date Title
TWI612628B (zh) 可調適熱傳導方法及均勻熱傳導用系統
TWI677049B (zh) 方位可調整的多區域靜電夾具
KR102650384B1 (ko) 에지 시일링을 달성하도록 웨이퍼 지지부의 제어에 의한 배면 디포지션 감소를 위한 방법 및 장치
US8371567B2 (en) Pedestal covers
TWI472882B (zh) 光阻剝離方法及設備
JP5973731B2 (ja) プラズマ処理装置及びヒータの温度制御方法
CN1774313A (zh) 用于衬底的温度控制的方法和系统
JP2006049867A (ja) 独立して移動する基板支持体
US9239192B2 (en) Substrate rapid thermal heating system and methods
JP4531661B2 (ja) 基板の処理方法及び基板の処理装置
TW202137372A (zh) 腔室部件的表面剖析與紋理化
JP2009218536A (ja) 基板加熱装置および電気光学装置の製造装置
WO2013190812A1 (ja) 半導体装置の製造方法及び半導体装置並びに半導体装置の製造システム
JP4447536B2 (ja) 基板の処理方法及び基板の処理装置
WO2020195920A1 (ja) 成膜装置および成膜方法
KR20240029760A (ko) 플라즈마 반도체 프로세싱을 위한 세그멘트형 포커스 링 및 그 세그멘트형 포커스 링을 사용하도록 구성된 프로세싱 툴
KR20170039798A (ko) 베이크 유닛 및 기판 처리 방법