KR20110137775A - 플라즈마 처리 장치 및 플라즈마 처리 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 처리 방법 Download PDF

Info

Publication number
KR20110137775A
KR20110137775A KR1020117021374A KR20117021374A KR20110137775A KR 20110137775 A KR20110137775 A KR 20110137775A KR 1020117021374 A KR1020117021374 A KR 1020117021374A KR 20117021374 A KR20117021374 A KR 20117021374A KR 20110137775 A KR20110137775 A KR 20110137775A
Authority
KR
South Korea
Prior art keywords
substrate
tray
board
chamber
hole
Prior art date
Application number
KR1020117021374A
Other languages
English (en)
Inventor
쇼고 오키타
히로미 아사쿠라
Original Assignee
파나소닉 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 파나소닉 주식회사 filed Critical 파나소닉 주식회사
Publication of KR20110137775A publication Critical patent/KR20110137775A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

트레이(15)의 두께 방향으로 관통하는 기판 수용구멍(19A∼19D)에 기판(2)이 수용된다. 챔버(3) 내의 유전체판(23)은 트레이(15)의 하면(15c)을 지지하는 트레이 지지면(28)과 위쪽으로 돌출되는 기판 탑재부(29A∼29D)를 구비하고, 정전 흡착용 전극(40)을 내장하고 있다. 기판 수용구멍(19A∼19D)의 둘레 방향으로 간격을 두고 형성된 복수의 돌기(76A∼76C)를 구비한다. 돌기(76A∼76C)에 의해 기판(2)이 점접촉적인 행태로 지지된다.

Description

플라즈마 처리 장치 및 플라즈마 처리 방법{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 발명은 건식 에칭(dry etching) 장치, CVD 장치 등의 플라즈마 처리 장치에 관한 것이다.
특허문헌 1에는, 두께 방향으로 관통하는 기판 수용구멍에 기판을 수용한 반입·반출 가능한 트레이를 하부전극으로서 기능하는 기판 서셉터(susceptor) 위에 배치하고, 기판 수용구멍에 진입시킨 기판 서셉터의 기판 탑재부의 상단면(기판 탑재면)에 기판을 탑재하는 구성의 플라즈마 처리 장치가 개시되어 있다. 기판은 정전 흡착(靜電吸着)에 의해 기판 탑재면에 밀착되고, 기판과 기판 탑재면의 사이에는 전열 가스가 충전된다. 또한, 기판 서셉터에는 냉각 기구가 설치되어 있어, 기판은 기판 서셉터와의 직접적인 열전도에 의해 냉각된다. 플라즈마 처리 종료 후, 기판은 기판 탑재면으로부터 트레이의 기판 수용구멍에 반송되고, 또한 기판을 수용한 트레이가 챔버로부터 로드락 챔버(load lock chamber)에 반출된다. 그 후, 로드락 챔버가 대기 퍼지(purge)되고, 기판을 수용한 트레이는 로드락 챔버로부터 카세트에 수납된다.
플라즈마 처리 중, 기판은 전술한 바와 같이 기판 서셉터와의 열전도에 의해 냉각되지만, 트레이는 효과적으로 냉각되지 않기 때문에 고온이 된다. 예를 들면, LED 제조 등을 위해서 기판을 건식 에칭으로 고속 가공하기 위해서는, 플라즈마 밀도가 높고 또한 바이어스(bias) 전력이 높은 조건으로 건식 에칭을 실행할 필요가 있다. 이 조건하에서는, 효과적으로 냉각되고 있는 기판과 비교하면, 트레이는 플라즈마로부터의 열흡수에 의해 대폭 고온이 된다. 그리고 건식 에칭과 그에 이어지는 로드락 챔버로의 반출 후, 로드락 챔버 내의 분위기가 진공에서 대기(大氣)로 바뀌어, 로드락 챔버가 대기 퍼지되면, 고온의 트레이로부터의 열전도에 의해 기판의 온도가 현저하게 상승한다. 특히, 기판 수용구멍의 구멍 벽에 근접하는 기판의 외주 가장자리부에서는 트레이로부터의 열전도에 의한 온도상승이 현저하다.
이 플라즈마 처리 후의 트레이의 온도상승은 기판의 품질 저하나 손상의 원인이 된다. 또한, 온도가 상승한 트레이를 로드락 챔버 내에 대기(待機)시켜, 진공 중으로의 방열이나 트레이를 반출하는 반송 암으로의 열전도에 의해 트레이를 냉각하는 것은 대기 시간이 필요하게 되므로 처리량 저하의 원인이 된다. 챔버에 인접하게 냉각 챔버(냉각 스테이지)를 설치해서 플라즈마 처리 후의 트레이를 냉각하는 것이 가능하다. 그러나 이 냉각 챔버를 설치하는 것은 장치의 복잡화와 비용 증가의 원인이 된다.
일본국 특개2007-109770호 공보
본 발명은 기판 수용구멍에 기판을 수용한 트레이를 기판 서셉터 위에 배치하는 플라즈마 처리 장치에 있어서, 플라즈마 처리 종료 후의 트레이로부터의 전열에 의한 기판의 온도상승을 저감하는 것을 과제로 한다.
본 발명의 제1형태는, 감압 가능한 챔버와, 상기 챔버 내에 플라즈마를 발생시키는 플라즈마 발생원과, 기판이 수용되는 기판 수용구멍이 두께 방향으로 관통하도록 형성된 트레이와, 상기 기판 수용구멍의 구멍 벽의 상기 트레이의 하면측으로부터 돌출하는 환상부와, 상기 구멍 벽 및 상기 환상부의 상면 중 적어도 한쪽에 형성되고, 상기 기판 수용구멍에 수용된 상기 기판의 하면측의 외주 가장자리부의 둘레 방향으로 서로 간격을 둔 3개소 이상의 복수 개소를 접촉해서 지지하는 복수의 기판 접촉부를 구비하는 기판 지지부와, 상기 챔버 내에 설치되고 상기 챔버 내에 반입되는 상기 기판을 수용한 상기 트레이의 하면을 지지하는 트레이 지지면과, 이 트레이 지지면으로부터 위쪽으로 돌출하여, 상기 트레이의 하면측으로부터 상기 기판 수용구멍에 삽입되고, 또한 그 상단면인 기판 탑재면에 상기 기판의 하면이 탑재되는 기판 탑재부를 구비하는 유전체 부재와, 상기 기판 탑재부에 적어도 일부가 내장된, 상기 기판을 상기 기판 탑재면에 정전 흡착하기 위한 정전 흡착용 전극과, 상기 정전 흡착용 전극에 직류 전압을 인가(印加)하는 직류 전압 인가 기구와, 상기 기판과 상기 기판 탑재면의 사이의 공간에 전열 가스를 공급하는 전열 가스 공급 기구를 구비하는 것을 특징으로 하는, 플라즈마 처리 장치를 제공한다.
기판의 하면측의 외주 가장자리부의 둘레 방향으로 서로 간격을 둔 3개소 이상의 복수 개소가 기판 지지부의 기판 접촉부에 접촉한다. 바꾸어 말하면, 트레이의 기판 수용구멍에 수용된 기판은 기판 지지부에 대하여 면접촉적인 형태로 지지되는 것이 아니라, 복수 점에서 점접촉적인 형태로 기판 지지부에 지지된다. 점접촉적인 형태로 지지되기 때문에, 기판 수용구멍에 수용된 기판과 트레이의 기판 지지부의 접촉 면적이 작으므로, 트레이로부터 기판으로의 열전도가 억제된다. 따라서, 플라즈마 처리 후에 챔버로부터 반출되어 진공환경에서 대기환경으로 이행되어도, 트레이로부터의 열전도에 의한 기판(특히 외주 가장자리부)의 온도상승을 저감할 수 있다.
구체적으로는, 상기 기판 지지부의 각각의 상기 기판 접촉부는 상기 환상부의 상면에 형성된 돌기이다.
대안으로서는, 상기 기판 지지부의 각각의 상기 기판 접촉부는 상기 구멍 벽에 형성된 돌기이다.
다른 대안으로서는, 상기 기판 지지부의 각각의 상기 기판 접촉부는 상기 환상부의 상면과 상기 구멍 벽에 걸쳐 연장되는 돌기이다.
바람직하게는 상기 트레이의 하면 및 상기 트레이 지지면의 적어도 어느 한쪽에 전열재층이 형성되어 있다.
이 구성에 의해, 플라즈마 처리 중의 트레이 자체의 온도상승을 저감할 수 있으므로, 플라즈마 처리 후에 챔버로부터 반출되어 진공환경에서 대기환경으로 이행되었을 때에, 트레이로부터의 열전도에 의한 기판(특히 외주 가장자리부)의 온도상승을 더욱 효과적으로 저감할 수 있다.
본 발명의 제2형태는, 감압 가능한 챔버와, 상기 챔버 내에 플라즈마를 발생시키는 플라즈마 발생원과, 기판이 수용되는 기판 수용구멍이 두께 방향으로 관통하도록 형성되고, 상기 기판 수용구멍의 구멍 벽이 상기 기판 수용구멍의 중심을 향해서 제1경사 각도로 수평 방향에 대하여 경사져 있는 트레이와, 상기 구멍 벽의 상기 트레이의 하면측으로부터 돌출하고, 상기 기판 수용구멍의 중심을 향해서 상기 제1경사 각도보다도 작은 제2경사 각도로 수평 방향에 대하여 경사지는 상면인 기판 접촉부가 상기 기판 수용구멍에 수용된 상기 기판의 외주 가장자리부를 지지하는 환상부를 구비하는 기판 지지부와, 상기 챔버 내에 설치되고, 상기 챔버 내에 반입되는 상기 기판을 수용한 상기 트레이의 하면을 지지하는 트레이 지지면과, 이 트레이 지지면으로부터 위쪽으로 돌출하여, 상기 트레이의 하면측으로부터 상기 기판 수용구멍에 삽입되고, 또한 그 상단면인 기판 탑재면에 상기 기판의 하면이 탑재되는 기판 탑재부를 구비하는 유전체 부재와, 상기 기판 탑재부에 적어도 일부가 내장된, 상기 기판을 상기 기판 탑재면에 정전 흡착하기 위한 정전 흡착용 전극과, 상기 정전 흡착용 전극에 직류 전압을 인가하는 직류 전압 인가 기구와, 상기 기판과 상기 기판 탑재면의 사이의 공간에 전열 가스를 공급하는 전열 가스 공급 기구를 구비하는 것을 특징으로 하는, 플라즈마 처리 장치를 제공한다.
수평 방향에 대하여 경사져 경사 각도(제2경사 각도)를 가지는 기판 접촉부가 기판의 하면측의 외주 가장자리부에 접촉하고, 그에 따라 기판 수용구멍에 수용된 기판이 기판 지지부에 지지된다. 그 때문에, 트레이의 기판 수용구멍에 수용된 기판은 기판 지지부에 대하여 면접촉적인 형태로 지지되는 것이 아니라, 비축대칭의 휘어짐이 있는 기판의 경우에는 복수 점에서의 점접촉적인 형태로 기판 지지부에 지지되고, 축대칭의 휘어짐이 있는 기판 또는 휘어짐이 없는 평탄한 기판의 경우에는 선접촉적인 형태로 기판 지지부에 지지된다. 점접촉적 또는 선접촉적인 형태로 지지되기 때문에, 기판 수용구멍에 수용된 기판과 트레이의 기판 지지부의 접촉 면적이 작으므로, 트레이로부터 기판으로의 열전도가 억제된다. 따라서, 플라즈마 처리 후에 챔버로부터 반출되어 진공환경에서 대기환경으로 이행되어도, 트레이로부터의 열전도에 의한 기판(특히 외주 가장자리부)의 온도상승을 저감할 수 있다.
바람직하게는, 상기 트레이의 하면 및 상기 트레이 지지면의 적어도 어느 한쪽에 전열재층이 형성되어 있다.
이 구성에 의해, 플라즈마 처리 중의 트레이 자체의 온도상승을 저감할 수 있으므로, 플라즈마 처리 후에 챔버로부터 반출되어 진공환경에서 대기환경으로 이행되었을 때에, 트레이로부터의 열전도에 의한 기판(특히 외주 가장자리부)의 온도상승을 더욱 효과적으로 저감할 수 있다.
본 발명의 제3형태는, 감압 가능한 챔버와, 상기 챔버 내에 플라즈마를 발생시키는 플라즈마 발생원과, 기판이 수용되는 기판 수용구멍이 두께 방향으로 관통하도록 형성된 트레이와, 상기 기판 수용구멍의 구멍 벽에 형성되어 상기 기판 수용구멍 내에 수용된 기판의 외주 가장자리부를 지지하는 기판 지지부와, 상기 챔버 내에 설치되고, 상기 챔버 내에 반입되는 상기 기판을 수용한 상기 트레이의 하면을 지지하는 트레이 지지면과, 이 트레이 지지면으로부터 위쪽으로 돌출하여, 상기 트레이의 하면측으로부터 상기 기판 수용구멍에 삽입되고 또한 그 상단면인 기판 탑재면에 상기 기판의 하면이 탑재되는 기판 탑재부를 구비하는 유전체 부재와, 상기 트레이의 하면 및 상기 트레이 지지면의 적어도 어느 한쪽에 형성된 전열재층과, 상기 기판 탑재부에 적어도 일부가 내장된, 상기 기판을 상기 기판 탑재면에 정전 흡착하기 위한 정전 흡착용 전극과, 상기 정전 흡착용 전극에 직류 전압을 인가하는 직류 전압 인가 기구와, 상기 기판과 상기 기판 탑재면의 사이의 공간에 전열 가스를 공급하는 전열 가스 공급 기구를 구비하는 것을 특징으로 하는, 플라즈마 처리 장치를 제공한다.
트레이의 하면 및 트레이 지지면의 적어도 어느 한쪽에 전열재층이 형성되어 있으므로, 유전체 부재의 트레이 지지면과 트레이의 하면의 열전도 효율이 높다. 그 결과, 플라즈마 처리 중에 트레이는 유전체 부재와의 사이의 직접적인 열전도에 의해 효과적으로 냉각되어, 플라즈마 처리 중의 트레이의 온도상승이 저감된다. 트레이 자체의 온도상승이 억제됨으로써, 플라즈마 처리 후에 챔버로부터 반출되어 진공환경에서 대기환경으로 이행되었을 때의, 트레이로부터의 열전도에 의한 기판(특히 외주 가장자리부)의 온도상승을 저감할 수 있다.
본 발명의 제4형태는, 기판 서셉터의 유전체 부재의 트레이 지지면과, 기판 수용구멍에 기판을 수용한 트레이의 하면의 사이에 절연성을 가지는 테이프 기재를 개재시켜, 상기 트레이 지지면에 상기 트레이를 탑재하고, 플라즈마를 발생시킴과 더불어 상기 기판 서셉터에 바이어스 전압을 인가하고, 상기 트레이 지지면에 탑재된 트레이 위에 마이너스의 시스 전위를 발생시켜 상기 테이프 기재 내의 전위를 분극(分極)시키고, 분극된 상기 테이프 기재에 의해 상기 트레이를 상기 유전체 부재의 상기 트레이 지지면에 자기(自己) 정전 흡착시키는, 플라즈마 처리 방법을 제공한다.
테이프 기재가 분극되는 것에 의한 자기 정전 흡착에 의해 트레이의 하면이 트레이 지지면에 밀착되므로, 플라즈마 처리 중의 트레이의 하면의 트레이 지지면에 대한 밀착성이 높아진다. 따라서, 플라즈마 처리 중, 유전체 부재와의 열전도에 의해 트레이가 효과적으로 냉각된다. 그 결과, 트레이 자체의 온도상승이 억제됨으로써, 플라즈마 처리 후에 챔버로부터 반출되어 진공환경에서 대기환경으로 이행되었을 때의 트레이로부터의 열전도에 의한 기판(특히 외주 가장자리부)의 온도상승을 저감할 수 있다.
본 발명의 제1 및 제2형태의 플라즈마 처리 장치는, 트레이의 기판 수용구멍에 수용된 기판을 지지하는 기판 지지부는 점접촉적 또는 선접촉적인 형태로 기판에 접촉하는 기판 접촉부를 구비한다. 그 때문에, 트레이로부터 기판에의 열전도 효율이 낮고, 플라즈마 처리 후에 챔버로부터 반출되어 진공환경에서 대기환경으로 이행되었을 때의 트레이로부터의 열전도에 의한 기판(특히 외주 가장자리부)의 온도상승을 저감할 수 있다.
본 발명의 제3형태의 플라즈마 처리 장치에서는, 트레이의 하면 및 트레이 지지면의 적어도 어느 한쪽에 전열재층을 형성하고 있으므로, 플라즈마 처리 중의 트레이가 유전체 부재와의 열전도에 의해 효과적으로 냉각되어 온도상승이 억제된다. 이 트레이 자체의 온도상승의 저감에 의해, 플라즈마 처리 후에 챔버로부터 반출되어 진공환경에서 대기환경으로 이행되었을 때의 트레이로부터의 열전도에 의한 기판의 온도상승을 저감할 수 있다.
본 발명의 제4형태의 플라즈마 처리 방법에서는, 테이프 기재가 분극되는 것에 의한 자기 정전 흡착에 의해 트레이의 하면이 트레이 지지면에 밀착되므로, 플라즈마 처리 중의 트레이의 하면의 트레이 지지면에 대한 밀착성이 높아진다. 따라서, 플라즈마 처리 중 유전체 부재와의 열전도에 의해 트레이가 효과적으로 냉각된다. 그 결과, 트레이 자체의 온도상승이 억제됨으로써, 플라즈마 처리 후에 챔버로부터 반출되어 진공환경에서 대기환경으로 이행되었을 때의 트레이로부터의 열전도에 의한 기판(특히 외주 가장자리부)의 온도상승을 저감할 수 있다.
본 발명의 제1 내지 제4형태의 플라즈마 처리 장치 및 플라즈마 처리 방법은, 플라즈마 처리 후에 있어서 트레이로부터의 열전도에 기인하는 기판의 온도상승을 저감할 수 있으므로, 트레이의 방열이나 열전도 등에 의한 냉각을 위한 대기 시간을 설정할 필요가 없어, 처리량을 향상할 수 있다. 또한, 트레이의 기판 지지부의 기판 접촉부를 점접촉적 또는 선접촉적인 형태로 기판에 접촉시키는 구성이나, 트레이의 하면에 전열재층을 설치하는 구성, 즉 비교적 간이한 구성으로 플라즈마 처리 후의 트레이로부터의 열전도에 기인하는 기판의 온도상승 저감을 실현할 수 있으므로, 장치의 간소화와 비용 감소를 실현할 수 있다.
도 1은 본 발명의 제1실시 형태에 의한 건식 에칭 장치의 모식적인 단면도.
도 2는 본 발명의 제1실시 형태에 의한 건식 에칭 장치의 모식적인 평면도.
도 3A는 휘어짐이 있는 기판의 모식적인 단면도.
도 3B는 휘어짐이 없는 평탄한 기판의 모식적인 단면도.
도 4A는 원판 형상의 기판을 4장 수용 가능한 트레이의 평면도.
도 4B는 원판 형상의 기판을 7장 수용 가능한 트레이의 평면도.
도 4C는 직사각형판 형상의 기판을 9장 수용 가능한 트레이의 평면도.
도 5는 트레이 및 유전체판을 나타내는 사시도.
도 6A는 트레이의 평면도.
도 6B는 도 6A의 선 VI-VI에서의 단면도.
도 7A는 도 6A의 부분 VII의 확대도.
도 7B는 도 7A의 선 VII'-VII'에서의 단면도.
도 7C는 도 7A의 부분 VII''의 부분 사시도.
도 8A는 기판 수용구멍의 구멍 벽 부근의 부분 확대도(트레이에 기판이 수용되어 있다.).
도 8B는 기판 수용구멍의 구멍 벽 부근의 부분 확대도(트레이는 유전체판을 향해서 강하하고 있다.).
도 8C는 기판 수용구멍의 구멍 벽 부근의 부분 확대도(트레이는 유전체판의 트레이 지지면에 탑재되어 있다.).
도 9A는 유전체판의 평면도.
도 9B는 도 9A의 선 IX-IX에서의 단면도.
도 10A는 도 1의 부분 확대도(트레이는 유전체판의 위쪽에 위치하고 있다.).
도 10B는 도 1의 부분 확대도(트레이는 유전체판을 향해서 강하하고 있다.).
도 10C는 도 1의 부분 확대도(트레이는 유전체판의 트레이 지지면에 탑재되어 있다.).
도 11은 본 발명의 제2실시 형태에 의한 건식 에칭 장치의 모식적인 단면도.
도 12는 트레이 및 유전체판을 나타내는 사시도.
도 13A는 도 12의 선 XII-XII에서의 단면도.
도 13B는 트레이의 부분 확대 사시도.
도 14A는 기판 수용구멍의 구멍 벽 부근의 부분 확대도(트레이에 기판이 수용되어 있다.).
도 14B는 기판 수용구멍의 구멍 벽 부근의 부분 확대도(트레이는 유전체판을 향해서 강하하고 있다.).
도 14C는 기판 수용구멍의 구멍 벽 부근의 부분 확대도(트레이는 유전체판의 트레이 지지면에 탑재되어 있다.).
도 15A는 도 11의 부분 확대도(트레이는 유전체판의 위쪽에 위치하고 있다.).
도 15B는 도 11의 부분 확대도(트레이는 유전체판을 향해서 강하하고 있다.).
도 15C는 도 11의 부분 확대도(트레이는 유전체판의 트레이 지지면에 탑재되어 있다.).
도 16은 본 발명의 제3실시 형태에 의한 건식 에칭 장치의 모식적인 단면도.
도 17은 트레이 및 유전체판을 나타내는 사시도.
도 18A는 도 17의 선 XVIII-XVIII에서의 단면도.
도 18B는 트레이의 부분 확대 사시도.
도 19A는 기판 수용구멍의 구멍 벽 부근의 부분 확대도(트레이에 기판이 수용되어 있다.).
도 19B는 기판 수용구멍의 구멍 벽 부근의 부분 확대도(트레이는 유전체판을 향해서 강하하고 있다.).
도 19C는 기판 수용구멍의 구멍 벽 부근의 부분 확대도(트레이는 유전체판의 트레이 지지면에 탑재되어 있다.).
도 20A는 도 16의 부분 확대도(트레이는 유전체판의 위쪽에 위치하고 있다.).
도 20B는 도 16의 부분 확대도(트레이는 유전체판을 향해서 강하하고 있다.).
도 20C는 도 16의 부분 확대도(트레이는 유전체판의 트레이 지지면에 탑재되어 있다.).
도 21은 폴리이미드 테이프에 관한 대안의 단면도.
도 22는 폴리이미드 테이프에 관한 다른 대안의 단면도.
도 23A는 제1대안의 기판 지지부를 가지는 트레이의 부분 평면도.
도 23B는 도 23A의 선 XXI-XXI에서의 단면도.
도 23C는 도 23A의 부분 XXI'의 부분 확대 사시도.
도 24A는 제2대안의 기판 지지부를 가지는 트레이의 부분 평면도.
도 24B는 도 24A의 선 XXII-XXII에서의 단면도.
도 24C는 도 24A의 부분 XXII'의 부분 확대 사시도.
도 25A는 제3대안의 기판 지지부를 가지는 트레이의 부분 평면도.
도 25B는 도 25A의 선 XXV-XXV에서의 단면도.
도 25C는 도 25A의 부분 XXV'의 부분 확대 사시도.
도 26A는 제4대안의 기판 지지부를 가지는 트레이의 부분 평면도.
도 26B는 도 26A의 선 XXVI-XXVI에서의 단면도.
도 26C는 도 26A의 부분 XXVI'의 부분 확대 사시도.
도 27A는 유전체판의 대안을 나타내는 평면도.
도 27B는 도 27A의 선 XXVII-XXVII에서의 확대 단면도.
(제1실시 형태)
도 1 및 도 2는 본 발명의 제1실시 형태에 의한 ICP(유도 결합 플라즈마)형 건식 에칭 장치(1)를 나타낸다.
건식 에칭 장치(1)는 그 내부에, 기판(2)에 건식 에칭(플라즈마 처리)을 실행하는 에칭 챔버(처리실)를 구성하는 감압 가능한 챔버(진공 용기)(3)를 구비한다. 챔버(3)의 상단 개구는 석영 등의 유전체로 이루어지는 천판(天板)(4)에 의해 밀폐 상태로 폐쇄되어 있다. 천판(4) 위에는 ICP 코일(5)이 배치되어 있다. ICP 코일(5)에는 정합 회로(6)를 통하여 고주파 전원(7)이 전기적으로 접속되어 있다. 천판(4)과 대향하는 챔버(3) 내의 저부측에는 바이어스 전압이 인가되는 하부전극으로서의 기능 및 기판(2)의 유지대로서의 기능을 가지는 기판 서셉터(9)가 배치되어 있다. 챔버(3)에는 인접해서 설치된 반송 챔버를 겸하는 로드락 챔버(10)(도 2 참조)와 연통하는 개폐 가능한 반입·반출용 게이트(3a)가 설치되어 있다. 나중에 상술하는 바와 같이, 복수 장(본 실시 형태에서는 4장)의 기판(2)을 수용한 트레이(15)가 게이트(3a)를 통해서 챔버(3)와 로드락 챔버(10)의 사이에서 반입·반출된다. 또한, 챔버(3)에 설치된 에칭 가스 공급구(3b)에는 에칭 가스 공급원(12)이 접속되어 있다. 에칭 가스 공급원(12)은 MFC(Mass Flow Controller) 등을 구비하여, 에칭 가스 공급구(3b)로부터 소망의 유량으로 에칭 가스를 공급할 수 있다. 또한, 챔버(3)에 설치된 배기구(3c)에는 진공 펌프 등을 구비하는 진공 배기 장치(13)가 접속되어 있다. 또한, 챔버(3) 내에는 기판 서셉터(9)를 관통하고, 또한 구동 장치(17)로 구동되어 승강하는 승강 핀(18)이 설치되어 있다.
도 2를 참조하면, 로드락 챔버(10)에는 로드락 챔버(10) 자체로의 트레이(15)의 반입·반출 및 챔버(3)로의 트레이(15)의 반입·반출을 위해서, 수평 방향의 직진 이동과 수평면 내에서의 회전이 가능한 주지의 더블 암(double arm)형 반송 암(진공 반송 암)(16)이 수용되어 있다. 또한, 로드락 챔버(10)는 진공 흡인 및 대기 개방을 위한 기구(도시하지 않음)를 구비한다. 로드락 챔버(10)의 챔버(3)와는 반대측 게이트(10a)의 외측에는 정렬(alignment)대(71)가 배치되어 있다. 정렬대(71)의 양측에는 건식 에칭 전후의 기판(2)을 수용한 트레이(15)를 각각 수납하기 위한 카세트(72A, 72B)가 배치되어 있다. 정렬대(71)와 카세트(72A, 72B)의 사이의 트레이(15)의 반송을 위해서, 반송 암(대기 반송 암)(73)이 설치되어 있다.
로드락 챔버(10)로부터 챔버(3) 내로의 트레이(15)의 반입 시에는, 도 1에서 2점 쇄선으로 나타내는 바와 같이 승강 핀(18)은 상승 위치에 있고, 게이트(3a)로부터 챔버(3) 내에 진입한 반송 암(16)으로부터 승강 핀(18)의 상단에 기판(2)을 수용한 트레이(15)가 이동 탑재된다. 이 상태에서는, 트레이(15)는 기판 서셉터(9)의 위쪽에 간격을 두고 위치하고 있다. 계속해서, 승강 핀(18)이 도 1에서 실선으로 나타내는 강하 위치로 강하하고, 그에 따라 트레이(15)와 기판(2)이 기판 서셉터(9) 위에 탑재된다. 이 탑재 시에는, 기판(2)은 트레이(15)를 통하지 않고 직접적으로 기판 서셉터(9) 위에 탑재된다(기판(2)은 트레이(15)에 대하여 비접촉 상태가 된다). 한편, 플라즈마 처리 종료 후의 챔버(3)로부터 로드락 챔버(10)로의 트레이(15)의 반출 시에는, 승강 핀(18)이 상승 위치까지 상승하고, 계속해서 로드락 챔버(10)로부터 게이트(3a)를 통해서 챔버(3) 내에 진입한 반송 암(16)에 트레이(15)가 이동 탑재된다.
이하, 도 3A로부터 도 4C를 참조하여, 기판(2)과 트레이(15)에 대해서 대략 설명한다.
기판(2)은 도 3A에 나타낸 바와 같이 볼록 형상으로 휘어진 것도 좋고, 도 3B에 나타낸 바와 같이 휘어짐이 없는 평탄한 것이라도 좋다. 도 3A에 나타내는 볼록 형상의 휘어짐이 있는 기판(2)으로는 예를 들면, LED를 제조하기 위한 GaN, SiC, 사파이어 등의 재료로 이루어지는 기판에 GaN을 에피택셜(epitaxial) 성장시켜서 마스크로서 포토레지스트를 형성한 기판이 있다. 300㎛∼600㎛ 정도의 얇은 사파이어 기판에 5∼10㎛ 정도 두께의 GaN을 MOCVD 등을 이용해서 600℃∼1000℃의 온도로 성막(成膜)하면, 사파이어 기판과 성막 재료의 선팽창 계수차에 의해 성막측이 볼록해지는 휘어짐이 생긴다. 이 기판의 경우의 휘어짐량 δ은 3inch(76.2mm 정도)의 기판의 경우에 100㎛ 정도이다. 본 실시 형태의 건식 에칭 장치(1)에 의해 이러한 GaN/사파이어 기판에 대하여 예를 들면 콘택트 형성을 위한 GaN 가공을 실시할 수 있다. 기판(2)의 휘어짐은 비축대칭이라도 좋고, 축대칭이라도 좋다. 도 3B에 나타내는 휘어짐이 없는 평탄한 기판(2)으로는 예를 들면 LED를 제조하기 위한, 마스크로서 포토레지스트를 형성한 사파이어 기판이 있다. 본 실시 형태의 건식 에칭 장치(1)에 의해 이러한 사파이어 기판에 LED의 고휘도화를 위한 요철 가공을 실시할 수 있다. 단, 본 실시 형태의 건식 에칭 장치(1)에 의한 가공 대상이 되는 기판(2)의 재질은 이것들에 한정되지 않는다.
도 4A로부터 도 4C를 참조하면, 트레이(15)에는 기판(2)을 수용하기 위한 두께 방향으로 관통하는 기판 수용구멍(19A∼19I)이 형성되어 있다. 또한, 각각의 기판 수용구멍(19A∼19I)에는 수용된 기판(2)을 지지하기 위한 기판 지지부(21)가 설치되어 있다. 도 4A의 트레이(15)는 원판 형상의 기판(2)을 수용하기 위한 4개의 기판 수용구멍(19A∼19D)을 구비한다. 한편, 도 4B의 트레이(15)는 원판 형상의 기판(2)을 수용하기 위한 7개의 기판 수용구멍(19A∼19G)을 구비한다. 예를 들면, 트레이(15)의 지름이 200mm일 경우, 도 4A에 나타낸 바와 같이 지름 3inch의 기판(2)을 수용하기 위한 4개의 기판 수용구멍(19A∼19D)을 트레이(15)에 설치할 수 있다. 또한, 이 경우, 도 4B에 나타낸 바와 같이, 지름 2inch(50.8mm)의 기판(2)을 수용하기 위한 7개의 기판 수용구멍(19A∼19G)을 트레이(15)에 설치할 수 있다. 트레이(15)에 수용되는 기판(2)은 원판 형상인 것에 한정되지 않고, 직사각형판 형상을 포함한 다른 형상이라도 좋다. 예를 들면, 도 4C의 트레이(15)에는 직사각형 형상의 기판(2)을 수용하기 위한 9개의 기판 수용구멍(19A∼19I)이 설치되어 있다. 본 실시 형태에서는, 기판(2)은 원판 형상이며, 트레이(15)는 도 4A에 나타낸 바와 같이 원판 형상의 기판(2)을 수용하기 위한 4개의 기판 수용구멍(19A∼19D)을 구비한다.
이하, 도 5로부터 도 8C를 참조하여, 본 실시 형태에 있어서의 트레이(15)에 대해서 상세히 설명한다.
트레이(15)는 박판의 원판 형상의 트레이 본체(15a)를 구비한다. 트레이(15)의 재질로는 예를 들면 알루미나(Al203), 질화 알루미늄(AlN), 지르코니아(ZrO), 이트리아(yttria)(Y203), 질화 실리콘(SiN), 탄화 실리콘(SiC) 등의 세라믹재(材)나, 알루마이트로 피복한 알루미늄, 표면에 세라믹을 용사(溶射)한 알루미늄, 수지 재료로 피복한 알루미늄 등의 금속이 있다. Cl계 프로세스의 경우에는 알루미나, 이트리아, 탄화 실리콘, 질화 알루미늄 등, F계 프로세스의 경우에는 석영, 수정, 이트리아, 탄화 실리콘, 알루마이트를 용사한 알루미늄 등을 채용하는 것을 고려할 수 있다.
도 5로부터 도 6B에 나타낸 바와 같이, 트레이 본체(15a)에는 상면(15b)으로부터 하면(15c)까지 두께 방향으로 관통하는 4개의 평면으로 보아 원형의 기판 수용구멍(19A∼19D)이 설치되어 있다. 이들 기판 수용구멍(19A∼19D)은 상면(15b) 및 하면(15c)으로부터 보아 트레이 본체(15a)의 중심에 대하여 등각도 간격으로 배치되어 있다. 또한, 트레이 본체(15a)에는 반송 암(16)(도 2 참조)이 구비하는 위치 결정 돌기(도시하지 않음)와 맞물리는 위치 결정 노치(15e)가 형성되어 있다.
각각의 기판 수용구멍(19A∼19D)에는 기판 지지부(21)가 설치되어 있다. 도 7A로부터 도 7C에 가장 명료하게 나타낸 바와 같이, 기판 지지부(21)는 기판 수용구멍(19A∼19D)의 구멍 벽(15d)의 트레이(15)의 하면(15c)측으로부터 돌출하는 환상부(74)를 구비한다. 기판 수용구멍(19A∼19D)의 구멍 벽(15d)은 경사진 벽면이다. 구체적으로는, 구멍 벽(15d)은 기판 수용구멍(19A∼19D)의 중심을 향해서 수평 방향에 대하여 경사 각도 α(예를 들면 75°)를 가지고 있다(도 7B 참조). 도 7A에 가장 명료하게 나타낸 바와 같이, 환상부(74)는 구멍 벽(15d)의 전체 둘레에 설치된 좁은 폭의 원환(圓環) 형상이다. 또한, 환상부(74)의 구멍 벽(15d)으로부터의 돌출량은 전체 둘레에 걸쳐 일정하다. 또한, 환상부(74)의 상면(74a)은 수평 방향으로 연장되는 평탄면이고, 하면(74b)은 선단면(74c)(기판 수용구멍(19A∼19D)의 중심)을 향해서 비스듬히 위쪽으로 경사진 경사면이다.
기판 지지부(21)는 복수 개(본 실시 형태에서는 3개)의 돌기(기판 접촉부)(76A, 76B, 76C)를 구비한다. 돌기(76A∼76C)는 환상부(74)의 상면(74a)에 설치되어 있다. 도 7A에 나타낸 바와 같이, 돌기(76A∼76C)는 평면으로 보아 기판 수용구멍(19A∼19D)의 중심에 대하여 등각도 간격(120° 간격)으로 배치되어 있다. 또한, 돌기(76A∼76C)는 평면으로 보아 기판 수용구멍(19A∼19D)의 지름 방향으로 연장되어 있다. 또한, 돌기(76A∼76C)는 환상부(74)의 폭 전체에 연장되어 있다. 구체적으로는, 돌기(76A∼76C)는 환상부(74)의 상면(74a)과 기판 수용구멍(19A∼19D)의 구멍 벽(15d)의 접속 위치로부터, 환상부(74)의 상면(74a)과 선단면(74c)의 접속 위치까지 연장되어 있다.
도 7C에 가장 명료하게 나타낸 바와 같이, 돌기(76A∼76C)는 환상부(74)의 상면(74a)으로부터 연직 방향 위쪽으로 돌출되어 있다. 또한, 돌기(76A∼76C)는 연장 방향과 직교하는 방향의 단면이 수평 방향으로 가늘고 긴 장방형 형상이다. 돌기(76A∼76C)의 환상부(74)의 상면(74a)으로부터의 돌출량은 연장 방향 전체에서 일정하고, 돌기(76A∼76C)의 상면(76a)은 수평 방향으로 연장되는 평탄면이다. 돌기(76A∼76C)의 치수는 예를 들면 폭이 1mm∼2mm 정도이고, 상면(76a)으로부터의 돌출량이 0.2mm∼0.5mm이다.
기판 수용구멍(19A∼19D)에 수용된 기판(2)은 기판 지지부(21)에 의해 지지된다. 상세하게는, 도 7B, 도 8A 및 도 8B에 나타낸 바와 같이, 기판 수용구멍(19A∼19D)에 수용된 기판(2)의 외주 가장자리부의 하면(2a)이 돌기(76A∼76C)의 상면(76a) 위에 탑재되고, 그에 따라 기판(2)이 지지된다. 기판 수용구멍(19A∼19D)에 수용된 기판(2)은 각도 간격을 사이에 두고 배치된 3개의 돌기(76A∼76C)의 상면(76a)에서만 기판 지지부(21)(트레이(15))와 접촉한다. 기판 수용구멍(19A∼19D)에 수용된 기판(2)의 외주 가장자리부의 하면(2a) 중 돌기(76A∼76C)로부터 떨어진 부분은 환상부(74)의 상면(74a)에 대하여 간격을 두고 위쪽에 위치하고, 기판 지지부(21)(트레이(15))에 대하여 접촉하지 않는다. 즉, 기판 수용구멍(19A∼19D)에 수용된 기판(2)의 외주 가장자리부의 하면(2a)은 둘레 방향으로 간격을 둔 3개소에서 돌기(76A∼76C)의 상면(76a)에 접촉함으로써, 휘어짐이 있는가의 여부(도 3A 및 도 3B 참조)에 관계없이, 점접촉적인 형태(3점 지지)로 기판 지지부(21)에 지지된다. 돌기(76A∼76C)와 동일한 돌기를 4개 이상 설치해도 좋다.
기판(2)을 기판 수용구멍(19A∼19D)에 수용할 때는, 트레이(15)의 상면(15b)측으로부터 기판(2)을 기판 수용구멍(19A∼19D)에 넣는다. 이때, 기판(2)의 외주 가장자리부(더욱 구체적으로는 하면(2a)과 단면(2b)의 접속 부분의 에지)가 수평 방향에 대하여 경사 각도 α를 가지는 구멍 벽(15d)으로 안내된다. 이 구멍 벽(15d)에 의한 안내에 의해 기판(2)은 평면으로 본 위치가 맞춰지고(도 6A 참조) 또한 수평 자세로 기판 수용구멍(19A∼19D)에 수용된다. 그 결과, 기판(2)의 외주 가장자리부의 하면(2a)의 3개소가 확실하게 돌기(76A∼76C)의 상면(76a)에 탑재된다.
이어서, 도 1, 도 5 및 도 9A로부터 도 10C를 참조하여, 기판 서셉터(9)에 대해서 설명한다. 우선, 도 1을 참조하면, 기판 서셉터(9)는 세라믹 등으로 이루어지는 유전체판(유전체 부재)(23), 표면에 알루마이트 피복을 형성한 알루미늄 등으로 이루어지고, 본 실시 형태에서는 페데스탈(pedestal) 전극으로서 기능하는 금속판(지지 부재)(24), 세라믹 등으로 이루어지는 스페이서판(25), 세라믹 등으로 이루어지는 가이드 통체(26) 및 금속제의 접지 실드(27)를 구비한다. 기판 서셉터(9)의 최상부를 구성하는 유전체판(23)은 금속판(24)의 상면에 고정되어 있다. 또한, 금속판(24)은 스페이서판(25) 위에 고정되어 있다. 또한, 유전체판(23)과 금속판(24)의 외주를 가이드(guide) 통체(26)가 피복하고, 그 외측과 스페이서판(25)의 외주(外周)를 접지 실드(27)가 피복하고 있다.
도 5 및 도 9A로부터 도 10C를 참조하면, 유전체판(23)은 전체적으로 얇은 원판 형상이며, 평면으로 본 외형이 원형이다. 유전체판(23)의 상단면은 트레이(15)의 하면(15c)을 지지하는 트레이 지지면(트레이 지지부)(28)을 구성한다. 또한, 각각 트레이(15)의 기판 수용구멍(19A∼19D)과 대응하는 짧은 원기둥 형상의 4개의 기판 탑재부(29A∼29D)가 트레이 지지면(28)으로부터 위쪽으로 돌출되어 있다. 유전체판(23)은 단일체의 부재이어도 좋고, 두께 방향으로 분할된 복수의 부재로 이루어지는 분할 구조이어도 좋다.
기판 탑재부(29A∼29D)의 상단면은 기판(2)의 하면(2a)이 탑재되는 기판 탑재면(31)을 구성한다. 또한, 기판 탑재부(29A∼29D)에는 기판 탑재면(31)의 외주 가장자리로부터 위쪽으로 돌출되고, 그 상단면이 기판(2)의 하면(2a)을 지지하는 원환 형상 돌출부(32)가 설치되어 있다. 또한, 기판 탑재면(31)의 원환 형상 돌출부(32)로 둘러싸인 부분에는 기판 탑재면(31)보다 지름이 충분히 작은 원기둥 형상 돌기(33)가 균일하게 분포되도록 복수 개 설치되어 있다. 원환 형상 돌출부(32)뿐만 아니라 원기둥 형상 돌기(33)의 상단면도 기판(2)의 하면(2a)을 지지한다.
도 8A로부터 도 8C를 참조하면, 기판 탑재부(29A∼29D)의 외경 R1은 기판 지지부(21)의 환상부(74)의 선단면(74c)으로 둘러싸인 원형 개구(36)의 지름 R2보다 작게 설정되어 있다. 따라서, 전술한 반입 시에 트레이(15)가 유전체판(23)을 향해서 강하하면, 각각의 기판 탑재부(29A∼29D)는 대응하는 기판 수용구멍(19A∼19D)에 트레이 본체(15a)의 하면(15c)측으로부터 진입하고, 트레이(15)의 하면(15c)은 유전체판(23)의 트레이 지지면(28) 위에 탑재된다. 또한, 트레이 본체(15a)의 하면(15c)으로부터 기판 지지부(21)의 상단(돌기(76A∼76C)의 상면(76a))까지의 높이 H1은 트레이 지지면(28)으로부터 기판 탑재면(31)까지의 높이 H2보다 낮게 설정하고 있다. 따라서, 트레이(15)의 하면(15c)이 트레이 지지면(28) 위에 탑재된 상태에서는, 기판(2)은 기판 탑재부(29A∼29D)의 상단의 기판 탑재면(31)으로 밀어 올려져, 트레이(15)의 기판 지지부(21)(돌기(76A∼76C))로부터 떠오르고 있다. 바꾸어 말하면, 기판 수용구멍(19A∼19D)에 기판(2)을 수용하고 있는 트레이(15)를 유전체판(23)의 트레이 지지면(28) 위에 탑재하면, 기판 수용구멍(19A∼19D)에 수용된 기판(2)의 하면(2a)은 기판 지지부(21)의 돌기(76A∼76C)의 상면(76a)으로부터 떠올라서 소정량만큼 위쪽으로 이격되고(돌기(76A∼76C)에 대하여 접촉하지 않게 된다.), 기판 탑재면(31)에 의해 지지된다. 기판 탑재면(31)에 의해 지지된 기판(2)의 외주 가장자리부는 트레이(15), 구체적으로는 기판 수용구멍(19A∼19D)의 구멍 벽(15d) 및 환상부(74)의 상면(74a)에 대하여 간격을 두고 면하고 있다.
도 1 및 도 10A로부터 도 10C를 참조하면, 유전체판(23)의 각각의 기판 탑재부(29A∼29D)의 기판 탑재면(31) 부근에는 단극(單極)형의 정전 흡착용 전극(40)이 내장되어 있다. 본 실시 형태에서는, 이러한 정전 흡착용 전극(40)이 평판 형상이다. 정전 흡착용 전극(40)은 전기적으로 서로 절연되어 있고, 직류 전원(41)과 조정용 저항(42) 등을 구비하는 공통의 직류 전압 인가 기구(43)로부터 정전 흡착용 직류 전압이 인가된다. 정전 흡착용 전극은 쌍극형이어도 좋다. 또한, 기판 탑재부(29A∼29D)에 공통적으로 1개의 정전 흡착용 전극을 설치해도 좋다.
도 5, 도 9A, 도 9B 및 도 10A로부터 도 10C를 참조하면, 각각의 기판 탑재부(29A∼29D)의 기판 탑재면(31)에는 전열 가스(본 실시 형태에서는 헬륨)의 공급 구멍(44)이 설치되어 있다. 이들 공급 구멍(44)은 공통의 전열 가스 공급 기구(45)(도 1에 도시한다)에 접속되어 있다. 전열 가스 공급 기구(45)는 전열 가스원(본 실시 형태에서는 헬륨 가스원)(46), 전열 가스원(46)으로부터 공급 구멍(44)에 이르는 공급 유로(47), 공급 유로(47)의 전열 가스원(46)측으로부터 순서대로 설치된 유량계(48), 유량제어 밸브(49) 및 압력계(50)를 구비한다. 또한, 전열 가스 공급 기구(45)는 공급 유로(47)로부터 분기되는 배출 유로(51)와 이 배출 유로(51)에 설치된 차단(cut-off) 밸브(52)를 구비한다. 또한, 전열 가스 공급 기구(45)는 공급 유로(47)의 압력계(50)로부터 공급 구멍(44)측과 배출 유로(51)를 접속하는 바이패스 유로(53)를 구비한다. 각각의 기판 탑재부(29A∼29D)의 기판 탑재면(31)과 그 위에 탑재된 기판(2)의 하면(2a)의 사이, 상세하게는 기판(2)의 하면(2a)과 원환 형상 돌출부(32)로 둘러싸인 폐쇄된 공간에 전열 가스 공급 기구(45)에 의해 전열 가스가 공급된다. 전열 가스의 공급 시에는 차단 밸브(52)는 폐쇄되어, 전열 가스 공급원(46)으로부터 공급로(47)를 거쳐서 공급 구멍(44)으로 전열 가스가 보내진다. 유량계(48)와 압력계(50)로 검출되는 공급 유로(47)의 유량 및 압력에 근거하여, 후술하는 컨트롤러(63)가 유량제어 밸브(49)를 제어한다. 한편, 전열 가스의 배출 시에는 차단 밸브(52)가 개방되어, 기판(2)의 하면(2a)과 기판 탑재면(31)의 사이의 전열 가스는 공급 구멍(44), 공급 유로(47) 및 배출 유로(51)를 거쳐서 배기구(54)로부터 배기된다.
금속판(24)에는 플라즈마 발생용 고주파 전압인 바이어스 전압을 인가하는 고주파 인가 기구(56)가 전기적으로 접속되어 있다. 고주파 인가 기구(56)는 고주파 전원(57)과 정합(matching)용 가변용량 커패시터(58)를 구비한다.
또한, 금속판(24)을 냉각하는 냉각 기구(59)가 설치되어 있다. 냉각 기구(59)는 금속판(24) 내에 형성된 냉매 유로(60)와, 온도 조절된 냉매를 냉매 유로(60) 중에서 순환시키는 냉매 순환 장치(61)를 구비한다.
도 1에 나타내는 컨트롤러(63)는 유량계(48) 및 압력계(50)를 포함한 여러 가지 센서나 조작 입력에 근거하여, 고주파 전원(7), 에칭 가스 공급원(12), 반송 암(16, 73), 진공 배기 장치(13), 구동 장치(17), 직류 전압 인가 기구(43), 전열 가스 공급 기구(45), 고주파 전압 인가 기구(56) 및 냉각 기구(59)를 포함한 건식 에칭 장치(1) 전체의 동작을 제어한다.
이어서, 본 실시 형태의 건식 에칭 장치(1)의 동작을 설명한다.
우선, 트레이(15)의 기판 수용구멍(19A∼19D)에 각각 기판(2)이 수용된다. 트레이(15)의 기판 지지부(21)로 지지된 기판(2)은 트레이 본체(15a)의 하면측에서 보면 기판 수용구멍(19A∼19D)에 의해 트레이 본체(15a)의 하면(15c)으로부터 노출되어 있다. 또한, 기판 수용구멍(19A∼19D)에 수용된 기판(2)은 외주 가장자리부의 하면(2a)이 트레이(15)의 기판 지지부(21)의 3개의 돌기(76A∼76C)의 상면(76a)에 의해 점접촉적인 형태로 지지된다. 기판(2)을 수용한 트레이(15)는 카세트(72A)에 수납되어 있다.
이어서, 반송 암(73)이 4장의 기판(2)을 수용한 트레이(15)를 카세트(72A)로부터 꺼내서 정렬대(71)에 탑재한다. 정렬대(71)는 트레이(15)의 정렬 조정을 실행한다. 한편, 로드락 챔버(10)는 대기 개방된다.
계속해서, 반송 암(73)이 게이트(10a)를 통해서 트레이(15)를 정렬대(71)로부터 로드락 챔버(10) 내에 반입한다. 트레이(15)가 반입된 후, 로드락 챔버(10)가 진공 흡인된다.
이어서, 반송 암(16)이 게이트(3a)를 통해서 트레이(15)를 로드락 챔버(10)로부터 진공 배기 장치(13)에 의해 감압된 챔버(3) 내에 반입한다. 도 1의 2점 쇄선으로 나타내는 바와 같이, 트레이(15)는 기판 서셉터(9)의 위쪽에 간격을 두고 배치된다.
도 10A에 나타낸 바와 같이 구동 장치(17)에 의해 구동된 승강 핀(18)이 상승하고, 반송 암(16)으로부터 승강 핀(18)의 상단에 트레이(15)가 이동 탑재된다. 트레이(15)의 이동 탑재 후, 반송 암(16)은 로드락 챔버(10)에 복귀하고, 게이트(3a)가 폐쇄된다.
상단에 트레이(15)를 지지한 승강 핀(18)은 도 1에 있어서 2점 쇄선으로 나타내는 상승 위치로부터 기판 서셉터(9)를 향해서 강하한다. 도 8B, 도 8C, 도 10 B 및 도 10C를 참조하면, 트레이(15)는 하면(15c)이 기판 서셉터(9)의 유전체판(23)의 트레이 지지면(28)까지 강하하고, 트레이(15)는 유전체판(23)의 트레이 지지면(28)에 의해 지지된다. 트레이(15)가 트레이 지지면(28)을 향해서 강하할 때에, 유전체판(23)의 기판 탑재부(29A∼29D)가 트레이(15)의 대응하는 기판 수용구멍(19A∼19D) 내에 트레이(15)의 하면(15c)측으로부터 진입한다. 트레이(15)의 하면(15c)이 트레이 지지면(28)에 근접함에 따라, 기판 탑재부(29A∼29D)의 선단의 기판 탑재면(31)은 기판 수용구멍(19A∼19D) 내에서 트레이(15)의 상면(15b)을 향해서 진행한다. 도 8C 및 도 10C에 나타낸 바와 같이, 트레이(15)의 하면(15c)이 유전체판(23)의 트레이 지지면(28)에 탑재되면, 각각의 기판 수용구멍(19A∼19D) 내의 기판(2)은 기판 탑재부(29A∼29D)에 의해 기판 지지부(21)의 돌기(76A∼76C)의 상면(76a)으로부터 들어 올려진다. 상세하게는, 기판(2)은 그 하면(2a)이 기판 탑재부(29A∼29D)의 기판 탑재면(31)에 탑재되고, 트레이(15)의 기판 지지부(21)의 돌기(76A∼76C)의 상면(76a)에 대하여 간격을 두고 위쪽에 배치된다.
이와 같이 트레이(15)의 기판 수용구멍(19A∼19D) 내에 기판 탑재부(29A∼29D)가 진입함으로써, 기판(2)은 기판 탑재면(31)에 탑재된다. 따라서, 트레이(15)에 수용된 4장의 기판(2)은 모두 높은 위치 결정 정밀도로 기판 탑재부(29A∼29D)의 기판 탑재면(31)에 탑재된다.
계속해서, 고주파 전원(7)으로부터 ICP 코일(5)에 고주파 전압을 인가해서 플라즈마를 발생시킨다(착화).
이어서, 유전체판(23)에 내장된 정전 흡착용 전극(40)에 대하여 직류 전압 인가 기구(43)로부터 직류 전압이 인가되어, 각각의 기판 탑재부(29A∼29D)의 기판 탑재면(31)에 기판(2)이 정전 흡착된다. 기판(2)의 하면(2a)은 트레이(15)를 통하지 않고 기판 탑재면(31) 위에 직접 탑재되어 있다. 따라서, 기판(2)은 기판 탑재면(31)에 대하여 높은 밀착도로 유지된다.
또한, 각각의 기판 탑재부(29A∼29D)의 원환 형상 돌출부(32)와 기판(2)의 하면(2a)으로 둘러싸인 공간에 공급 구멍(44)을 통해서 전열 가스 공급 장치(45)로부터 전열 가스가 공급되어, 이 공간에 전열 가스가 충전된다.
그 후, 에칭 가스 공급원(12)으로부터 챔버(3) 내에 에칭 가스가 공급되고, 진공 배기 장치(13)에 의해 챔버(3) 내는 소정 압력으로 유지된다. 또한, 고주파 전원(7)으로부터 ICP 코일(5)에 인가하는 고주파 전압을 상승함과 더불어, 고주파 인가 기구(56)에 의해 기판 서셉터(9)의 금속판(24)에 바이어스 전압을 인가하고, 플라즈마에 의해 기판(2)을 에칭한다. 1장의 트레이(15)로 4장의 기판(2)을 기판 서셉터(9) 위에 탑재할 수 있으므로, 일괄 처리가 가능하다.
에칭 중에는 냉매 순환 장치(61)에 의해 냉매 유로(60) 중에서 냉매를 순환시켜 금속판(24)을 냉각하고, 그에 따라 유전체판(23) 및 유전체판(23)의 기판 탑재면(31)에 유지된 기판(2)을 냉각한다. 전술한 바와 같이, 기판(2)은 그 하면(2a)이 트레이(15)를 통하지 않고 기판 탑재면(31)에 직접 탑재되어, 높은 밀착도로 유지되고 있다. 따라서, 원환 형상 돌출부(32)와 기판(2)의 하면(2a)으로 둘러싸인 전열 가스가 충전되어 있는 공간의 밀폐도가 높아, 전열 가스를 통한 기판(2)과 기판 탑재면(31)의 사이의 열전도성이 양호하다. 그 결과, 각각의 기판 탑재부(29A∼29D)의 기판 탑재면(31)에 유지된 기판(2)을 높은 냉각 효율로 냉각할 수 있으므로, 높은 고주파 파워(power)를 공급해서 건식 에칭의 효율을 향상할 수 있다. 또한, 기판(2)의 온도를 고정밀도로 제어할 수 있다. 또한, 각각의 기판(2)마다 기판 탑재부(29A∼29D)의 원환 형상 돌출부(32)와 하면(2a)으로 둘러싸인 공간에 전열 가스가 충전된다. 바꾸어 말하면, 전열 가스가 충전되는 공간은 각각의 기판(2)마다 다르다. 이 점에서도 각각의 기판(2)과 유전체판(23)의 기판 탑재면(31)의 열전도성이 양호해서, 높은 냉각 효율과 고정밀도의 온도제어를 실현할 수 있다.
유전체판(23)은 냉매 순환 장치(61)로 냉각되는 금속판(24)과의 열전도에 의해 냉각된다. 그러나 유전체판(23)의 트레이 지지면(28)과, 그 위에 탑재된 트레이(15)의 하면(15c)은 표면 거칠기가 비교적 커서, 모두 6㎛∼10㎛ 정도의 요철을 가진다(도 14A로부터 도 14C에 과장해서 나타낸다.). 이렇게 표면 거칠기가 비교적 큰 2개의 면(트레이 지지면(28)과 하면(15c))이 미시적으로 보면 점접촉적인 형태로 접촉하기 때문에, 트레이(15)와 유전체판(23)의 사이의 열전도성은 정전 흡착과 전열 가스의 공급을 실행하고 있는 기판(2)과 유전체판(23)의 사이의 열전도성과 비교하면 대폭 낮다. 따라서, 트레이(15)의 냉각 효율은 기판(2)의 냉각 효율보다 낮고, 트레이(15)는 플라즈마로부터의 열흡수에 의해 기판(2)보다 대폭 고온이 된다. 예를 들면, 기판(2)의 온도를 50℃∼100℃ 정도로 제어했을 경우라도, 에칭 처리 중의 트레이(15)의 온도는 250℃ 이상 정도까지 상승한다.
에칭 종료 후, 고주파 전원(7)으로부터 ICP 코일(5)에의 고주파 전압의 인가와, 고주파 인가 기구(56)로부터 금속판(24)에의 바이어스 전압의 인가를 정지한다. 계속해서, 진공 배기 장치(13)에 의해 에칭 가스를 챔버(3) 내로부터 배기한다. 또한, 전열 가스 공급 기구(45)에 의해 기판 탑재면(31)과 기판(2)의 하면(2a)으로부터 전열 가스를 배기한다. 또한, 직류 전압 인가 기구(43)로부터 정전 흡착용 전극(40)에의 직류 전압의 인가를 정지해서 기판(2)의 정전 흡착을 해제한다. 또한, 승강 핀(18)의 밀어올림 동작에 의해 트레이(15)와 기판(2)을 제전(除電)한다.
제전 후, 승강 핀(18)이 상승하고, 그 상단으로 트레이(15)의 하면(15c)이 밀어 올려져 유전체판(23)의 트레이 지지면(28)으로부터 떠오른다. 승강 핀(18)과 함께 트레이(15)가 더욱 상승하면, 도 8B 및 도 10B에 나타낸 바와 같이, 트레이(15)의 기판 지지부(21)의 돌기(76A∼76C)에 의해 기판(2)의 하면(2a)이 밀어 올려지고, 기판(2)은 기판 탑재부(29A∼29D)의 기판 탑재면(31)으로부터 떠오른다. 즉, 트레이(15)가 상승함으로써, 기판 탑재부(29A∼29D)로부터 트레이(15)의 기판 수용구멍(19A∼19D)에 기판(2)이 반송된다. 승강 핀(18)은 도 1에 있어서 2점 쇄선으로 나타내는 상승 위치로 상승한다.
그 후, 게이트(3a)를 통해서 로드락 챔버(10)로부터 챔버(3) 내에 진입한 반송 암(16)에 트레이(15)가 이동 탑재된다. 트레이(15)는 반송 암(16)에 의해 챔버(3)로부터 로드락 챔버(10)에 반출된다.
트레이(15)를 반입한 후에 로드락 챔버(10)를 대기 개방한다(로드락 챔버(10) 내를 진공환경에서 대기환경으로 전환한다.). 그 후, 반송 암(16)이 게이트(10a)를 통해서 트레이(15)를 로드락 챔버(10)로부터 정렬대(71)에 반출한다. 최후에, 반송 암(73)이 정렬대(71)의 트레이(15)를 카세트(72B)에 수납한다.
전술한 바와 같이 건식 에칭 종료 후의 트레이(15)는 기판(2)과 비교해서 대폭 고온이 되어 있다. 또한, 트레이(15)를 반입한 후에 로드락 챔버(10)를 대기 개방해서 대기환경으로 하면, 진공환경과 비교해서 트레이(15)와 기판(2)의 사이의 열전도 효율은 대폭 높아진다. 그러나 트레이(15)의 기판 수용구멍(19A∼19D)에 수용된 기판(2)은 기판 지지부(21)에 대하여 면접촉적인 형태로 지지되는 것이 아니라, 3개의 돌기(76A∼76C)에 의해 점접촉적인 형태로 기판 지지부(21)에 지지된다. 즉, 기판 수용구멍(19A∼19D)에 수용된 기판(2)과 트레이(15)의 기판 지지부(21)의 접촉 면적이 작으므로, 트레이(15)로부터 기판(2)에의 열전도가 억제된다. 따라서, 건식 에칭 후에 챔버(3)로부터 트레이(15)를 반입한 로드락 챔버(10)를 대기 개방했을 때, 트레이(15)로부터의 열전도에 의한 기판(2)(특히 외주 가장자리부)의 온도상승을 저감할 수 있다.
이와 같이 본 실시 형태의 건식 에칭 장치(1)는 건식 에칭 후에 있어서 트레이(15)로부터의 열전도에 기인하는 기판(2)의 온도상승을 저감할 수 있으므로, 방열이나 열전도 등에 의한 트레이(15)의 냉각 방열을 위해서 건식 에칭 후에도 트레이(15)를 챔버(3) 내에서 대기시키는 시간(대기 시간)을 설정할 필요가 없어, 처리량을 향상할 수 있다.
또한, 트레이(15)의 기판 지지부(21)에 돌기(76A∼76C)를 설치하고, 이들 돌기(76A∼76C)를 기판(2)의 하면(2a)에 점접촉적인 형태로 접촉시키기만 하는 비교적 간이한 구성으로, 건식 에칭 후에 있어서 트레이(15)로부터의 열전도에 기인하는 기판(2)의 온도상승 저감을 실현할 수 있다. 그 때문에, 트레이(15)를 냉각하기 위해서 챔버(3) 밖의 진공 중에 건식 에칭 후의 트레이(15)를 냉각하기 위한 냉각 챔버를 설치할 필요도 없다. 이 점에서, 장치의 간소화와 비용 감소를 실현할 수 있다.
트레이(15)를 반복해서 기판(2)의 건식 에칭에 사용하면, 트레이(15) 자체가 에칭되는 것에 의한 부식이 도 8C에 있어서 2점 쇄선으로 나타내는 바와 같이 진행된다. 기판(2)의 단면(2b)과 트레이(15)의 구멍 벽(15d)의 간극의 치수가 클 경우, 특히, 도 8C에 있어서 부호 A로 나타내는 기판 수용구멍(19A∼19D)의 구멍 벽(15d)과 환상부(74)의 상면(74a)의 접속 부분에서의 부식의 진행이 현저하다. 그러나 본 실시 형태에서는, 부식의 진행이 현저한 부분 A에서 기판 수용구멍(19A∼19D)에 수용된 기판(2)을 트레이(15)에 지지하고 있는 것이 아니고, 돌기(76A∼76C)의 상면(76a)에서 기판(2)을 트레이(15)에 지지하고 있다. 따라서, 트레이(15) 자체의 부식의 진행이 기판(2)의 지지 정밀도에 대하여 미치는 영향이 작아, 트레이(15)의 사용 수명이 길다.
(제2실시 형태)
도 11로부터 도 15C에 나타내는 본 발명의 제2실시 형태에서는, 기판(2)의 하면(2a)을 점접촉적인 형태로 트레이(15)에 지지하기 위한 돌기(76A∼76C)를 설치하는 대신에, 트레이(15)의 하면(15c)에 폴리이미드 테이프(91)를 부착하고 있다. 폴리이미드 테이프(91)의 부착은 진공 부착과 열 압착의 어느 한쪽 또는 양쪽의 수법에 의해 실행할 수 있다. 폴리이미드 테이프(91)는 폴리이미드제 테이프 기재(전열재층)(92)와 이 테이프 기재(92)의 한쪽 면에 형성된 접착재층(93)을 구비한다. 열 압착할 경우는, 접착재층(93)이 없어도 되고, 이에 따라 장기간 사용할 경우의 폴리이미드 테이프(91)가 열 압착되어 있는 트레이(15)의 하면(15c)의 에지로부터 접착재층이 벗겨지는 등의 문제가 생기지 않는다. 트레이(15)의 하면(15c)과 테이프 기재(92)의 사이에 접착재층(93)이 개재되어 있다. 진공 부착에 의한 부착의 경우, 폴리이미드 테이프(91)와 트레이(15)의 하면(15c)의 사이에 기포 등이 존재하지 않아, 양자의 밀착도가 높다. 그 때문에, 트레이(15)와 폴리이미드 테이프(91)의 사이의 열전도성이 양호하다. 도 12에 있어서, 2점 쇄선으로 나타내는 바와 같이, 폴리이미드 테이프(91)는 유전체판(23)의 기판 탑재부(29A∼29D) 및 승강 핀(18)의 돌출 위치에 개구가 형성된 원판 형상이다.
폴리이미드는 내열성, 절연성, 유연성, 내(耐)플라즈마성 및 내염소성이 양호한 점에서 테이프 기재(92)의 재질로서 적합하다. 이러한 성질이 양호한 다른 수지 재료를 테이프 기재(92)의 재질로서 채용해도 좋다. 예를 들면, 폴리테트라플루오로에틸렌(테플론(등록상표))도 내열성, 절연성 등의 특성이 테이프 기재(92)의 재질로서 적합하다. 또한, 폴리이미드 테이프(91) 등의 수지 테이프의 진공 부착 대신에, 전술한 성질을 가지는 수지 재료의 층을 용사 등에 의해 트레이(15)의 하면(15c)에 직접적으로 형성해도 좋다. 테이프 기재(92)의 두께는 20㎛∼50㎛ 정도이다.
도 13B에 가장 명료하게 나타낸 바와 같이, 기판 지지부(21)는 돌기(76A∼76C)(도 7C 참조)를 구비하고 있지 않다. 도 13A, 도 14A 및 도 14B에 가장 명료하게 나타낸 바와 같이, 기판 수용구멍(19A∼19D)에 수용된 기판(2)은 외주 가장자리부의 하면(2a)이 환상부(74)의 상면(74a)에 탑재됨으로써 지지된다.
로드락 챔버(10)로부터 챔버(3) 내에 반입된 기판(2)을 수용한 트레이(15)는 도 15A에 나타낸 바와 같이 승강 핀(18)의 상단으로 지지되고, 승강 핀(18)의 강하에 따라 기판 서셉터(9)를 향해서 강하한다. 도 14B, 도 14C, 도 15B 및 도 15C를 참조하면, 트레이(15)는 폴리이미드 테이프(91)를 부착한 하면(15c)이 유전체판(23)의 트레이 지지면(28)에 탑재될 때까지 강하하고, 트레이(15)는 폴리이미드 테이프(91)를 통해서 트레이 지지면(28)에 의해 지지된다. 이 상태에서는, 기판(2)은 트레이(15)의 기판 지지부(21)의 환상부(74)의 상면(74a)으로부터 소정량 이격되고, 기판 탑재부(29A∼29D)의 기판 탑재면(31) 위에 반송되어 지지된다.
직류 전압 인가 기구(43)로부터 정전 흡착용 전극(40)에 대한 직류 전압의 인가에 의해 기판(2)을 기판 탑재면(31)에 정전 흡착한다. 플라즈마를 발생시키고, 기판 서셉터(9)의 금속판(24)에 바이어스 전압을 인가하면, 기판 서셉터(9)의 유전체판(23)의 트레이 지지면(28)에 의해 하면(15c)이 지지되어 있는 트레이(15) 위에 마이너스의 시스 전위가 발생하고, 절연성을 가지는 폴리이미드 테이프(91)(폴리이미드제 테이프 기재(92)) 내의 전위가 분극되고, 그 결과 트레이(15)는 유전체판(23)의 트레이 지지면(28)에 자기 정전 흡착한다. 이 자기 정전 흡착에 의해 트레이(15)의 하면(15c)이 트레이 지지면(28)에 밀착된다.
도 14A로부터 도 14C에 과장해서 나타내는 바와 같이, 유전체판(23)의 트레이 지지면(28)은 표면 거칠기가 비교적 커서, 6㎛∼10㎛ 정도의 요철을 가진다. 그러나 트레이(15)의 하면(15c)에는 트레이(15)를 구성하는 알루미나 등의 재료와 비교해서 대폭 유연성이 높은 폴리이미드 테이프(91)가 진공 부착되어 있다. 그 때문에, 자기 정전 흡착에 의해 밀착된 트레이(15)의 하면(15c)은 폴리이미드 테이프(91)(특히 테이프 기재(92))가 변형됨으로써 요철을 가지는 트레이 지지면(28)에 대하여 밀착한다. 즉, 폴리이미드 테이프(91)가 개재됨으로써, 트레이(15)의 하면(15c)은 트레이 지지면(28)에 대하여 점접촉적인 형태로 접촉하는 것이 아니고, 트레이 지지면(28)에 대한 접촉 면적이 크고 또한 밀착도도 높다. 그 때문에, 트레이(15)와 유전체판(23)의 사이의 열전도성이 양호하다. 또한, 전술한 바와 같이 폴리이미드 테이프(91)는 진공 부착되어 있으므로 트레이(15)와의 사이의 열전도성도 양호하다. 이렇게 트레이(15)와 폴리이미드 테이프(91)의 열전도성과, 폴리이미드 테이프(91)와 유전체판(23)(트레이 지지면(28))의 열전도성이 모두 양호하다. 그 결과, 건식 에칭 중에 플라즈마로부터 트레이(15)가 흡수한 열은 폴리이미드 테이프(91)를 통해서 유전체판(23)(냉매 순환 장치(61)로 냉각되는 금속판(24)과의 열전도에 의해 냉각되고 있다.)에 양호한 열전도 효율로 전달되어, 트레이(15)가 효과적으로 냉각된다. 예를 들면, 기판(2)의 온도를 50℃∼100℃ 정도로 제어했을 경우, 효과적인 냉각에 의해 에칭 종료 시의 트레이(15)의 온도상승은 150℃∼200℃ 정도로 저감된다. 만일 폴리이미드 테이프(91)를 통하지 않고 트레이(15)를 유전체판(23)에 탑재시켰다고 하면, 에칭 처리 중의 트레이(15)의 온도는 250℃ 이상 정도까지 상승한다.
에칭 종료 후, 트레이(15)는 로드락 챔버(10)에 반송되고, 또한 로드락 챔버(10)가 대기 개방된다. 이 대기 개방에 의해 트레이(15)와 기판(2)의 사이의 열전도 효율은 대폭 높아진다. 그러나 건식 에칭 중의 트레이(15) 자체의 온도상승이 억제되어 있으므로, 대기 개방 후의 트레이(15)로부터의 열전도에 의한 기판(2)(특히 외주 가장자리부)의 온도상승을 저감할 수 있다.
이와 같이 본 실시 형태의 건식 에칭 장치(1)는 건식 에칭 후에 있어서 트레이(15)로부터의 열전도에 기인하는 기판(2)의 온도상승을 저감할 수 있으므로, 방열이나 열전도 등에 의한 트레이(15)의 냉각을 위해서 건식 에칭 후의 트레이(15)의 대기 시간을 설정할 필요가 없어, 처리량을 향상할 수 있다.
또한, 트레이(15)의 하면(15c)에 폴리이미드 테이프(91)를 진공 부착하기만 하는 비교적 간이한 구성으로 건식 에칭 후에 있어서 트레이(15)로부터의 열전도에 기인하는 기판(2)의 온도상승 저감을 실현할 수 있고, 트레이(15)를 냉각하기 위해서 챔버(3) 밖의 진공 중에 건식 에칭 후의 트레이(15)를 냉각하기 위한 냉각 챔버를 설치할 필요도 없다. 이 점에서, 장치의 간소화와 비용 감소를 실현할 수 있다.
1장의 트레이(15)를 반복해서 에칭 처리에 사용했을 경우, 그 트레이(15)에 대해서 에칭 처리에 의한 온도상승 및 온도저하의 사이클이 반복된다. 그러나 본 실시 형태에서는, 트레이(15) 자체가 냉각되기 때문에, 1장의 트레이(15)를 반복해서 에칭에 사용했을 경우라도 온도의 상승과 저하의 사이클에 의해 발생하는 온도차(절대값)를 작게 할 수 있다. 그 결과, 트레이(15)를 장기간 반복해서 에칭 처리했을 경우라도, 온도 승강의 사이클이 반복되는 것에 의한 트레이(15)의 휘어짐이나 손상이 쉽게 발생하지 않는다. 또한, 트레이(15) 자체가 냉각되므로, 에칭되는 것에 의한 트레이(15)의 부식의 진행을 억제할 수 있다. 이러한 점에서, 트레이(15)의 사용 수명을 연장시키는 효과가 있다.
제2실시 형태의 기타의 구성 및 작용은 제1실시 형태와 마찬가지이므로, 동일한 요소에는 동일한 부호를 첨부해서 설명을 생략한다.
(제3실시 형태)
도 16으로부터 도 20C에 나타내는 본 발명의 제3실시 형태에서는, 제1실시 형태의 점접촉적인 형태의 트레이(15)에의 기판(2)의 지지(돌기(76A∼76C))와 제2실시 형태의 폴리이미드 테이프(91)의 양자를 채용하고 있다.
도 18B에 가장 명료하게 나타낸 바와 같이, 기판 지지부(21)에는 기판 수용구멍(19A∼19D)의 구멍 벽(15d)의 트레이(15)의 하면(15c)측으로부터 돌출하는 환상부(74)(구멍 벽(15d)의 전체 둘레에 설치되어 있다.)의 상면(74a)에 등각도 간격으로 돌기(76A∼76C)가 설치되어 있다. 이들 돌기(76A∼76C)는 환상부(74)의 폭 전체에 연장되고, 상면(76a)은 수평 방향으로 연장되는 평탄면이다. 기판 수용구멍(19A∼19D)에 수용된 기판(2)은 외주 가장자리부의 하면(2a)이 돌기(76A∼76C)의 상면(76a) 위에 탑재됨으로써, 점접촉적인 형태(3점 지지)로 기판(2)을 지지하는 기판 지지부(21)에 지지된다.
또한, 폴리이미드제 테이프 기재(전열재층)(92)와, 이 테이프 기재(92)의 한쪽 면에 형성된 접착재층(93)을 구비하는 폴리이미드 테이프(91)를 트레이(15)의 하면(15c)에 진공 부착이나 열 압착에 의해 부착하고 있다.
로드락 챔버(10)로부터 챔버(3) 내에 반입된 기판(2)을 수용한 트레이(15)는 도 20A에 나타낸 바와 같이 승강 핀(18)의 상단으로 지지되고, 승강 핀(18)의 강하에 따라 기판 서셉터(9)를 향해서 강하한다. 도 19B, 도 19C, 도 20B 및 도 20C를 참조하면, 트레이(15)는 폴리이미드 테이프(91)를 부착한 하면(15c)이 기판 서셉터(9)의 유전체판(23)의 트레이 지지면(28)까지 강하하고, 트레이(15)는 폴리이미드 테이프(91)를 통해서 트레이 지지면(28)에 의해 지지된다. 이 상태에서는, 기판(2)은 트레이(15)의 기판 지지부(21)의 환상부(74)의 상면(76a)의 돌기(76A∼76C)로부터 소정량 이격되고, 기판 탑재부(29A∼29D)의 기판 탑재면(31) 위에 반송되어 지지된다.
직류 전압 인가 기구(43)로부터 정전 흡착용 전극(40)에 대한 직류 전압의 인가에 의해 기판(2)을 기판 탑재면(31)에 정전 흡착한다. 플라즈마를 발생시키고, 기판 서셉터(9)의 금속판(24)에 바이어스 전압을 인가하면, 기판 서셉터(9)의 유전체판(23)의 트레이 지지면(28)에 의해 하면(15c)이 지지되어 있는 트레이(15) 위에 마이너스의 시스 전위가 발생하고, 절연성을 가지는 폴리이미드 테이프(91)(폴리이미드제 테이프 기재(92)) 내의 전위가 분극되고, 그 결과 트레이(15)는 유전체판(23)의 트레이 지지면(28)에 자기 정전 흡착한다. 이 자기흡착에 의해 트레이(15)의 하면(15c)이 트레이 지지면(28)에 밀착된다.
도 19A로부터 도 19C에 과장해서 나타내는 바와 같이, 유전체판(23)의 트레이 지지면(28)은 표면 거칠기가 비교적 커서, 6㎛∼10㎛ 정도의 요철을 가진다. 그러나 자기 정전 흡착에 의해 밀착된 트레이(15)의 하면(15c)은 높은 유연성을 가지는 폴리이미드 테이프(91)(특히 테이프 기재(92))가 변형함으로써 요철을 가지는 트레이 지지면(28)에 대하여 밀착한다. 그 때문에, 트레이(15)와 유전체판(23)의 사이의 열전도성이 양호하다. 또한, 폴리이미드 테이프(91)는 진공 부착되어 있으므로 트레이(15)와의 사이의 열전도성이 양호하다. 이렇게 트레이(15)와 폴리이미드 테이프(91)의 열전도성과 폴리이미드 테이프(91)와 유전체판(23)(트레이 지지면(28))의 열전도성이 모두 양호하기 때문에, 건식 에칭 중에 플라즈마로부터 트레이(15)가 흡수한 열은 폴리이미드 테이프(91)를 통해서 유전체판(23)에 양호한 열전도 효율로 전달된다. 그 결과, 건식 에칭 중의 트레이(15)가 효과적으로 냉각된다. 예를 들면, 기판(2)의 온도를 50℃∼100℃ 정도로 제어했을 경우, 효과적인 냉각에 의해 에칭 처리 중의 트레이(15)의 온도상승은 150℃∼200℃ 정도로 저감된다. 만일 폴리이미드 테이프(91)를 통하지 않고 트레이(15)를 유전체판(23)에 탑재시켰다고 하면, 에칭 처리 중의 트레이(15)의 온도는 250℃ 이상 정도까지 상승한다.
에칭 종료 후, 트레이(15)는 로드락 챔버(10)에 반송되고, 또한 로드락 챔버(10)가 대기 개방된다. 이 대기 개방에 의해 트레이(15)와 기판(2)의 사이의 열전도 효율은 대폭 높아진다. 그러나 이하의 2가지의 상승 효과에 의해 대기 개방 후의 트레이(15)로부터의 열전도에 의한 기판(2)(특히 외주 가장자리부)의 온도상승을 저감할 수 있다.
우선, 트레이(15)의 기판 수용구멍(19A∼19D)에 수용된 기판(2)은 기판 지지부(21)에 대하여 면접촉적인 형태로 지지되는 것이 아니고, 3개의 돌기(76A∼76C)에 의해 점접촉적인 형태로 기판 지지부(21)에 지지된다. 즉, 기판 수용구멍(19A∼19D)에 수용된 기판(2)과 트레이(15)의 기판 지지부(21)의 접촉 면적이 작으므로, 대기 개방 후의 트레이(15)로부터 기판(2)에의 열전도가 억제된다.
또한, 폴리이미드 테이프(91)를 하면(15c)에 부착함으로써, 건식 에칭 중에 트레이(15)를 효과적으로 냉각해서 트레이(15) 자체의 온도상승이 억제되어 있으므로, 대기 개방 후의 트레이(15)로부터의 열전도에 의한 기판(2)(특히 외주 가장자리부)의 온도상승을 저감할 수 있다.
또한, 트레이(15) 자체를 냉각하므로, 온도 승강 사이클의 반복에 의한 트레이(15)의 휘어짐이나 손상이 쉽게 발생하지 않고, 트레이(15)의 에칭에 의한 부식의 진행도 억제할 수 있으므로, 트레이(15)의 사용 수명을 연장시키는 효과가 있다.
제3실시 형태의 기타의 구성 및 작용은 제1실시 형태와 마찬가지이므로, 동일한 요소에는 동일한 부호를 첨부해서 설명을 생략한다.
도 21 및 도 22는 전열재층으로서의 폴리이미드 테이프에 관한 대안을 나타낸다. 도 21의 예에서는, 트레이(15)의 하면에는 폴리이미드 테이프를 부착하고 있지 않지만, 유전체판(23)의 트레이 지지면(28)에 폴리이미드 테이프(191)를 진공 부착이나 열 압착에 의해 부착하고 있다. 이 경우, 폴리이미드 테이프를 부착하지 않은 만큼 트레이(15)의 단가가 싸져, 특히 다수 장의 트레이(15)를 사용할 경우에 비용 절감의 효과를 기대할 수 있다. 도 22의 예에서는, 트레이(15)의 하면과 유전체판(23)의 트레이 지지면(28)의 양쪽에 진공 부착이나 열 압착에 의해 폴리이미드 테이프(91, 191)를 부착하고 있다. 이 경우, 트레이(15)의 하면과 트레이 지지면(28)의 사이의 밀착성이 향상하므로, 트레이(15)와 유전체판(23)의 열전도성이 더욱 향상하여 트레이(15)의 더욱 효과적인 냉각을 기대할 수 있다. 한편, 제2실시 형태와 같이 트레이(15)의 하면에만 폴리이미드 테이프(91)를 부착했을 경우, 즉 트레이 지지면(28)에는 폴리이미드 테이프(191)를 부착하지 않을 경우에는 유지보수(maintenance)가 용이해지는 효과가 있다. 이하 이 점에 대해서 설명한다. 도 21 및 도 22와 같은 유전체판(23)측에 부착한 폴리이미드 테이프(191)는 플라즈마에 노출되는 기간이 길기 때문에, 트레이(15)의 하면이 트레이 지지면(28)에 밀착해서 탑재되는 부분의 단부(端部)측 등으로부터 침입하는 약간의 플라즈마에 의해도 벗겨짐이나 열화 등이 일어난다. 이 폴리이미드 테이프(191)의 벗겨짐이나 열화 등은 트레이(15)와 기판 지지면(28)의 밀착성 악화나, 파티클(particle) 발생 등의 문제를 일으킨다. 이를 막기 위해서, 유전체판(23)의 정기적인 유지보수를 실행하고, 유전체판(23)의 트레이 지지면(28)에 부착한 폴리이미드 테이프(191)를 갈아붙이는 일이 필요해지고, 이 유지보수에 따른 설비 정지가 필요해진다. 또한, 트레이 지지면(28)에 부착한 폴리이미드 테이프(191)를 갈아붙이는 일은 번잡한 작업을 필요로 한다. 제2실시 형태와 같이, 트레이(15)의 하면에만 폴리이미드 테이프(91)를 부착했을 경우에는, 번잡한 작업인 유전체판(23)측의 폴리이미드 테이프를 갈아붙이는 일을 할 필요가 없어, 유지보수의 빈도가 감소한다.
도 23A로부터 도 26C는, 트레이(15)의 기판 지지부(21)에 채용할 수 있는 여러 가지 구조를 나타낸다. 이러한 구조는 제1실시 형태와 같이 트레이(15)의 하면(15c)에 폴리이미드 테이프(91)를 부착하지 않을 경우 및 제3실시 형태와 같이 트레이(15)의 하면(15c)에 폴리이미드 테이프(91)를 부착할 경우의 어느 경우라도 채용할 수 있다.
도 23A로부터 도 23C에 나타내는 예에서는, 환상부(74)의 상면(74a)에 돌기(76A∼76C)를 설치하고 있지만, 이들 돌기(76A∼76C)의 폭을 제1 및 제3실시 형태보다 크게 설정하고 있다.
도 24A로부터 도 24C에 나타내는 예에서는, 구멍 벽(15d)으로부터 돌출하는 돌기(76A∼76C)를 등각도 간격으로 설치하고 있다. 구체적으로는, 각각의 돌기(76A∼76C)는 트레이(15)의 상면(15b)과 구멍 벽(15d)의 접속 위치로부터 구멍 벽(15d)과 환상부(74)의 상면(74a)의 접속 위치까지 연장되어 있다. 또한, 돌기(76A∼76C)의 상면(76a)은 구멍 벽(15d)을 따라 연장되는 평탄면이며, 구멍 벽(15d)과 마찬가지로 수평 방향에 대하여 경사져 있다.
트레이(15)의 상면(15b)측으로부터 기판(2)을 기판 수용구멍(19A∼19D)에 넣으면, 기판(2)의 외주 가장자리부(더욱 구체적으로는 하면(2a)과 단면(2b)의 접속 부분의 에지)가 돌기(76A∼76C)의 상면(76a)으로 안내되어 강하한다. 따라서, 기판(2)을 기판 수용구멍(19A∼19D)에 넣을 때에, 기판 수용구멍(19A∼19D)의 구멍 벽(15d)은 기판(2)의 에지에 접촉하지 않는다. 그리고 도 24B에 나타낸 바와 같이, 하면(2a)과 단면(2b)의 접속 부분의 에지가 돌기(76A∼76C)의 하단측(환상부(74)의 상면(74a)에 가까운 위치)의 상면(76a)으로 지지된다. 그 때문에, 기판(2)은 휘어짐이 있는가의 여부에 관계없이, 외주 가장자리부의 3개소가 돌기(76A∼76C)에 의해 점접촉적인 형태(3점 지지)로 기판 지지부(21)에 지지된다.
도 25A로부터 도 25C에 나타내는 예에서는, 구멍 벽(15d)과 환상부(74)의 상면(74a)의 양쪽에 걸쳐 연장되는 돌기(76A∼76C)를 등각도 간격으로 설치하고 있다. 구체적으로는, 각각의 돌기(76A∼76C)는 구멍 벽(15d)으로부터 돌출하는 위쪽 부분(76b)과, 이 위쪽 부분(76b)과 연속해서 환상부(74)의 상면(74a)으로부터 돌출하는 아래쪽 부분(76c)을 구비한다. 돌기(76A∼76C)의 위쪽 부분(76b)의 상면(76a)은 구멍 벽(15d)을 따라 경사진 평탄면이며, 아래쪽 부분(76c)의 상면(76a)은 수평 방향으로 연장되는 평탄면이다.
트레이(15)의 상면(15b)측으로부터 기판(2)을 기판 수용구멍(19A∼19D)에 넣으면, 기판(2)의 외주 가장자리부(더욱 구체적으로는 하면(2a)과 단면(2b)의 접속 부분의 에지)가 돌기(76A∼76C)의 위쪽 부분(76b)의 상면(76a)으로 안내되어 강하한다. 따라서, 기판(2)을 기판 수용구멍(19A∼19D)에 넣을 때에, 기판 수용구멍(19A∼19D)의 구멍 벽(15d)은 기판(2)의 에지에 접촉하지 않는다. 그리고 도 25B에 나타낸 바와 같이, 기판(2)의 외주 가장자리부의 하면(2a)이 돌기(76A∼76C)의 아래쪽 부분(76c)의 상면(76a)으로 지지된다. 그 때문에, 기판(2)은 휘어짐이 있는가의 여부에 관계없이, 외주 가장자리부의 3개소가 돌기(76A∼76C)에 의해 점접촉적인 형태(3점 지지)로 기판 지지부(21)에 지지된다.
도 26A로부터 도 26C에 나타내는 예에서는, 환상부(74)의 상면(74a)이 기판 접촉부로서 기능한다. 환상부(74)의 상면(74a)은 기판 수용구멍(19A∼19D)의 중심을 향해서 구멍 벽(15d)보다 작은 경사 각도 β로 수평 방향에 대하여 경사져 있다. 경사 각도 β는 경사 각도 α보다 충분히 작고 또한 45° 미만으로 설정된다. 예를 들면, 구멍 벽(15d)의 경사 각도 α가 75°일 경우, 환상부(74)의 상면(74a)의 경사 각도 β는 8° 정도로 설정된다.
트레이(15)의 상면(15b)측으로부터 기판(2)을 기판 수용구멍(19A∼19D)에 넣으면, 기판(2)의 외주 가장자리부(더욱 구체적으로는 하면(2a)과 단면(2b)의 접속 부분의 에지)가 기판 수용구멍(19A∼19D)의 구멍 벽(15d)으로 안내되어 강하한다. 그리고 도 26B에 나타낸 바와 같이, 기판(2)의 에지가 환상부(74)의 상면(74a)에 접촉하고, 그에 따라 기판(2)이 지지된다. 그 때문에, 기판(2)이 비축대칭의 휘어짐을 가질 경우에는, 기판(2)의 외주 가장자리부가 점접촉적인 형태(복수 점 지지)로 기판 지지부(21)에 지지된다. 한편, 기판(2)이 축대칭의 휘어짐을 가질 경우, 또는 기판(2)이 휘어짐을 가지지 않을 경우에는, 외주 가장자리부의 전체 둘레(에지의 전체 둘레)가 기판 지지부(21)에 지지된다. 기판(2)이 선접촉적인 형태로 기판 지지부(21)에 지지될 경우라도, 면접촉적인 형태의 지지와 비교하면, 기판(2)과 트레이(15)의 접촉 면적은 작다. 따라서, 이 경우라도, 건식 에칭 후에 챔버(3)로부터 트레이(15)를 반입한 로드락 챔버(10)를 대기 개방했을 때, 트레이(15)로부터 기판(2)에의 열전도를 억제해서 기판(2)(특히 외주 가장자리부)의 온도상승을 저감할 수 있다.
도 27A 및 도 27B는 유전체판(23)의 대안을 나타낸다. 이 대안은, 제1로부터 제3실시 형태의 어느 것에도 적용할 수 있다. 기판 탑재면(31)에 공급 구멍(44)으로부터 방사상으로 연장되는 4개의 직선 형상 홈(34)과, 원환 형상 돌출부(32)의 내측에 배치된 원환 형상 홈(35)을 설치하고 있다. 직선 형상 홈(34)과 원환 형상 홈(35)은 서로 연통하고 있다. 이들 직선 형상 홈(34)과 원환 형상 홈(35)을 설치함으로써, 공급 구멍(44)으로부터 분출되는 전열 가스가 기판(2)의 하면(2a)과 기판 탑재면(31)의 사이의 공간 내에 균등하게 확산된다. 그 결과, 기판(2)의 냉각 효율과 온도제어의 정밀도를 더욱 높일 수 있다.
(실험예)
본 발명에 의한 기판의 온도상승 저감 효과를 확인하기 위한 실험을 실행했다. 구체적으로는, 종래의 트레이와 본 발명에 의한 트레이(15)를 사용해서 건식 에칭 처리를 실행하고, 건식 에칭 처리 중, 에칭 후에 로드락 챔버(10)에 반출해서 로드락 챔버를 대기 개방하기 전 및 로드락 챔버(10)를 대기 개방한 후의 각각에 대해서 기판(2)이나 트레이(15)의 온도를 측정했다. 더욱 상세하게는, 종래예에 상당하는 3개의 비교예 1∼3과 본 발명의 실시 형태에 상당하는 2개의 실험예 1, 2에 대해서 온도측정을 실행했다.
비교예 1∼3에서는 제2실시 형태의 트레이(15)(도 12∼도 13B)의 하면(15c)으로부터 폴리이미드 테이프(91)를 없앤 것을 사용했다. 즉, 비교예 1∼3은 환상부(74)의 상면(74a)에서 기판(2)의 외주 가장자리부의 하면(2a)을 면접촉적인 형태로 지지하고 또한 폴리이미드 테이프(91)를 설치하는 것에 의한 트레이(15) 자체의 냉각도 실행하지 않는 예이다. 비교예 1에서는, 에칭 처리의 수리(修理) 후, 시간을 들이지 않고 트레이(15)를 챔버(3)로부터 로드락 챔버(10)에 반출한다(대기 시간은 0분). 한편, 비교예 2, 3에서는, 에칭 처리의 종료 후, 미리 정해진 대기 시간(비교예 2에서는 2분, 비교예 3에서는 5분)이 경과한 후, 트레이(15)를 챔버(3)로부터 반출한다. 대기 시간 동안, 챔버(3) 내는 진공분위기이며 대기에 의한 열전도는 발생하지 않기 때문에, 트레이(15)는 유전체판(23)의 트레이 지지면(28)과의 전열(트레이(15)는 트레이 지지면(28)에 폴리이미드 테이프(91)를 통하지 않고 직접 접촉해서 탑재된다)에 의해 냉각된다.
실험예 1에서는 제1실시 형태의 트레이(15)(도 6A로부터 도 7C)를 사용했다. 즉, 실험예 1은 트레이(15)가 기판(2)을 점접촉적인 형태 또는 선접촉적인 형태로 지지하지만, 트레이(15)와 트레이 지지면(28)의 사이에 폴리이미드 테이프(91)를 설치하는 것에 의한 트레이 자체의 냉각은 실행하지 않는 예이다. 한편, 실험예 2에서는 제2실시 형태의 트레이(15)(도 12로부터 도 13B)를 사용했다. 즉, 실험예 2는 트레이(15)와 트레이 지지면(28)의 사이에 폴리이미드 테이프(91)를 설치하는 것에 의한 트레이 자체의 냉각을 실행하지만, 트레이(15)는 기판(2)의 외주 가장자리부를 면접촉적인 형태로 지지하는(점접촉적인 형태나 선접촉적인 형태로 기판(2)을 지지하지 않는다) 예이다. 실험예 1, 2에서는 모두 에칭 처리의 종료 후, 시간을 들이지 않고 트레이(15)를 챔버(3)로부터 로드락 챔버(10)에 반출하고, 비교예 2, 3과 같은 대기 시간은 설정하지 않는다(대기 시간은 0분).
이하의 조건에 대해서는, 비교예 1∼3 및 실험예 1, 2에 대해서 공통적이다. 기판(2)은 2inch의 사파이어 기판(두께가 520㎛ 정도)을 사용했다. 트레이(15)는 도 4B에 나타낸 바와 같이 7장의 기판(2)을 수용하는 것을 사용했다. 주된 에칭 조건은 이하와 같았다. 에칭 가스는 Cl2 가스를 사용하고 공급량은 50sccm으로 했다. 챔버(3) 내의 압력은 1.OPa, ICP 코일(5)에 공급하는 고주파 전력과 기판 서셉터(9)에 공급하는 바이어스 전력은 각각 400W와 300W로 했다. 정전 흡착용 전극(40)에 인가하는 직류 전압은 1000V로 했다. 기판(2)과 기판 탑재면(31)의 사이의 공간에의 전열 가스(He)의 충전 압력은 1200Pa로 했다. 천판(4), 챔버(3)의 측벽 및 유전체판(23)의 온도는 각각 100℃, 100℃ 및 15℃로 했다.
비교예 1∼3 및 실험예 1, 2의 실험 결과를 이하의 표 1∼5에 나타낸다.
(비교예 1: 대기 시간 0분)

기판의 온도(℃) 트레이의 온도(℃)
중앙부 외주 가장자리부
에칭 처리 중 76 76 ≥254
로드락 챔버
(대기 개방 전)
76 93 -
로드락 챔버
(대기 개방 후)
93 130 -
(비교예 2: 대기 시간 2분)

기판의 온도(℃) 트레이의 온도(℃)
중앙부 외주 가장자리부
에칭 처리 중 76 76 ≥254
로드락 챔버
(대기 개방 전)
76 93 -
로드락 챔버
(대기 개방 후)
82 120 -
(비교예 3: 대기 시간 5분)

기판의 온도(℃) 트레이의 온도(℃)
중앙부 외주 가장자리부
에칭 처리 중 76 76 ≥254
로드락 챔버
(대기 개방 전)
76 93 -
로드락 챔버
(대기 개방 후)
82 98 -
(실험예 1: 트레이(15)는 기판(2)을 점접촉적 또는 선접촉적인 형태로 지지)

기판의 온도(℃) 트레이의 온도(℃)
중앙부 외주 가장자리부
에칭 처리 중 76 76 ≥254
로드락 챔버
(대기 개방 전)
76 76 -
로드락 챔버
(대기 개방 후)
82 87 -
(실험예 2: 폴리이미드 테이프(91)를 통해서 트레이(15)를 트레이 지지면(28)에 탑재)

기판의 온도(℃) 트레이의 온도(℃)
중앙부 외주 가장자리부
에칭 처리 중 76 76 ≤154
로드락 챔버
(대기 개방 전)
76 82 -
로드락 챔버
(대기 개방 후)
82 87 -
비교예 1(표 1)에 대해서는, 에칭 처리 중의 기판(2)은 중앙부와 외주 가장자리부의 양쪽이 76℃로 유지되지만, 트레이(15)의 온도는 254℃ 이상이 된다. 그리고 로드락 챔버(10)의 대기 개방 전의 기판(2)은 중앙부가 76℃이고 외주 가장자리부가 93℃인 것에 반해, 로드락 챔버(10)를 대기 개방하면 중앙부가 93℃이고 외주 가장자리부가 130℃이며, 트레이(15)로부터의 열전도에 의해 기판(2)의 온도가 대폭 상승하고 있다. 특히, 기판(2)의 외주 가장자리부의 온도는 로드락 챔버(10)의 대기 개방 전후에 약 40℃ 상승하고 있다.
비교예 2(표 2)에 대해서는, 에칭 처리 중의 기판(2)과 트레이(15)의 온도는 비교예 1과 동일하다. 로드락 챔버(10)의 대기 개방 전의 기판(2)은 중앙부가 76℃이고 외주 가장자리부가 93℃인 것에 반해, 로드락 챔버(10)를 대기 개방하면 중앙부가 82℃이고 외주 가장자리부가 120℃이며, 트레이(15)로부터의 열전도에 의해 기판(2)의 온도상승은 약간 저감되어 있다. 이는 챔버(3) 내에서의 2분간의 대기 시간 중에 트레이(15)의 온도가 약간 저하한 것에 기인한다. 그러나 로드락 챔버(10)를 대기 개방했을 때의 기판(2)의 온도는 중앙부와 외주 가장자리부의 양쪽에서 모두 여전히 고온이며, 기판(2)은 충분히 냉각되어 있지 않다.
비교예 3(표 3)에 대해서는, 에칭 처리 중의 기판(2)과 트레이(15)의 온도는 비교예 1과 동일하다. 로드락 챔버(10)의 대기 개방 전의 기판(2)은 중앙부가 76℃이고 외주 가장자리부가 93℃인 것에 반해, 로드락 챔버(10)를 대기 개방하면 중앙부가 82℃이고 외주 가장자리부가 98℃이며, 트레이(15)로부터의 열전도에 의한 기판(2)의 외주 가장자리부의 온도상승은 비교예 1, 2와의 비교에서는 효과적으로 저감되어 있다. 이는 챔버(3) 내에서의 대기 시간을 비교예 2(2분)의 2배 이상인 5분으로 설정하여, 그 사이에 트레이(15)의 온도가 저하한 것에 기인한다. 그러나 이 비교예 3과 같이 에칭 처리 후의 챔버(3) 내에서의 대기 시간을 길게 설정하면, 처리량이 저하한다. 또한, 로드락 챔버(10)의 대기 개방 시의 기판(2)의 외주 가장자리부의 온도는 98℃이고, 기판(2)의 중앙부의 온도가 82℃인 것은 다소 고온이다.
실험예 1(표 4)에 대해서도, 에칭 처리 중의 기판(2)과 트레이(15)의 온도는 비교예 1과 동일하다. 로드락 챔버(10)의 대기 개방 전의 기판(2)의 온도는 중앙부에서는 비교예 1∼3과 동일한 76℃이지만, 외주 가장자리부에서는 76℃이어서 비교예 1∼3(93℃)보다 낮다. 또한, 로드락 챔버(10)를 대기 개방한 후의 기판(2)의 온도는 중앙부에서는 82℃이고 외주 가장자리부에서는 87℃이며, 로드락 챔버(10)의 대기 개방 전후의 기판(2)의 온도상승은 중앙부에서는 6℃이고, 외주 가장자리부에서는 11℃이다. 비교예 1, 2의 경우, 로드락 챔버(10)의 대기 개방 전후의 기판(2)의 외주 가장자리부의 온도상승은 각각 37℃와 27℃이므로, 실험예 1에서는 로드락 챔버(10)의 대기 개방 전후의 기판(2)의 외주 가장자리부의 온도상승이 효과적으로 저감되어 있다. 또한, 5분간이나 대기 시간을 설정한 비교예 3과 비교하면, 로드락 챔버(10)의 대기 개방 후의 기판(2)의 외주 가장자리부의 온도는 비교예 3에서는 98℃인 것에 반해서 실험예 1에서는 87℃이다. 이러한 점에서, 돌기(76A∼76C)에 의한 점접촉적인 형태로 트레이(15)에 기판(2)을 지지함으로써, 대기 시간을 설정하고 있지 않음에도 불구하고, 기판(2)의 외주 가장자리부의 온도상승이 효과적으로 저감되는 것을 확인할 수 있다.
실험예 2(표 5)에 대해서는, 에칭 처리 중의 기판(2)은 중앙부와 외주 가장자리부의 양쪽이 비교예 1∼3의 경우와 같은 76℃로 유지된다. 그러나 에칭 처리 중의 트레이(15)의 온도는 비교예 1∼3에서는 254℃ 이상이 되는 것에 반해서, 실험예 2에서는 154℃ 이하가 되어 있다. 이 점에서 트레이(15)의 하면(15c)에 폴리이미드 테이프(91)를 진공 부착함으로써 에칭 처리 중의 트레이(15)가 효과적으로 냉각되고 있는 것을 확인할 수 있다. 또한, 로드락 챔버(10)의 대기 개방 전의 기판(2)의 온도는 중앙부에서는 76℃이고 외주 가장자리부에서는 82℃이다. 이에 반해, 로드락 챔버(10)의 대기 개방 후의 기판(2)의 온도는 중앙부에서는 82℃이고 외주 가장자리부에서는 87℃이다. 로드락 챔버(10)의 대기 개방 전후의 기판(2)의 온도상승은 중앙부에서는 6℃이고 외주 가장자리부에서는 5℃이며, 비교예 1(27℃)이나 비교예 2(37℃)와 비교해서 대폭 저감되어 있다. 또한, 5분이나 대기시간을 설정한 비교예 3과 비교하면, 로드락 챔버(10)의 대기 개방 후의 기판(2)의 외주 가장자리부의 온도는 비교예 3에서는 98℃인 것에 반해서 실험예 2에서는 87℃이다. 이러한 점에서, 폴리이미드 테이프(91)를 진공 부착함으로써 에칭 처리 중의 트레이(15)의 온도를 저감하고, 대기 시간을 설정하고 있지 않음에도 불구하고, 기판(2)의 외주 가장자리부의 온도상승이 효과적으로 저감되는 것을 확인할 수 있다.
ICP형의 건식 에칭 처리 장치를 예로 들어 본 발명을 설명했지만, 평행 평판형 RIE(Reactive-ion etching)형의 건식 에칭, 플라즈마 CVD용 플라즈마 처리 장치 등의 다른 플라즈마 처리 장치에도 본 발명을 적용할 수 있다.
1 건식 에칭 장치
2 기판
2a 하면
2b 단면
3 챔버
3a 게이트
3b 에칭 가스 공급구
3c 배기구
4 천판
5 ICP 코일
6 정합 회로
7 고주파 전원
9 기판 서셉터
10 로드락 챔버
10a 게이트
12 에칭 가스 공급원
13 진공 배기 장치
15 트레이
15a 트레이 본체
15b 상면
15c 하면
15d 구멍 벽
15e 위치 결정 노치
16 반송 암
17 구동장치
18 승강 핀
19A∼19I 기판 수용구멍
21 기판 지지부
23 유전체판
24 금속판
25 스페이서판
26 가이드 통체
27 접지 실드
28 트레이 지지면
29A∼29D 기판 탑재부
31 기판 탑재면
32 원환 형상 돌출부
33 원기둥 형상 돌기
34 직선 형상 홈
35 원환 형상 홈
36 원형 개구
40 정전 흡착용 전극
41 직류 전원
42 저항
43 직류 전압 인가 기구
44 공급 구멍
45 전열 가스 공급 기구
46 전열 가스원
47 공급 유로
48 유량계
49 유량제어 밸브
50 압력계
51 배출 유로
52 차단 밸브
53 바이패스 유로
54 배기구
56 고주파 인가 기구
57 고주파 전원
58 가변용량 커패시터
59 냉각 기구
60 냉매 유로
61 냉매 순환 장치
63 컨트롤러
71 정렬대
72A, 72B 카세트
73 반송 암
74 환상부
74a 상면
74b 하면
74c 선단면
76A∼76C 돌기
76a 상면
76b 위쪽 부분
76c 아래쪽 부분
91, 191 폴리이미드 테이프
92 테이프 기재
93 접착재층

Claims (9)

  1. 감압 가능한 챔버와,
    상기 챔버 내에 플라즈마를 발생시키는 플라즈마 발생원과,
    기판이 수용되는 기판 수용구멍이 두께 방향으로 관통하도록 형성된 트레이와,
    상기 기판 수용구멍의 구멍 벽의 상기 트레이의 하면측으로부터 돌출하는 환상부와, 상기 구멍 벽 및 상기 환상부의 상면 중 적어도 한쪽에 형성되어, 상기 기판 수용구멍에 수용된 상기 기판의 하면측의 외주 가장자리부의 둘레 방향으로 서로 간격을 둔 3개소 이상의 복수 개소를 접촉해서 지지하는 복수의 기판 접촉부를 구비하는 기판 지지부와,
    상기 챔버 내에 설치되고, 상기 챔버 내에 반입되는 상기 기판을 수용한 상기 트레이의 하면을 지지하는 트레이 지지면과, 상기 트레이 지지면으로부터 위쪽으로 돌출하여, 상기 트레이의 하면측으로부터 상기 기판 수용구멍에 삽입되고, 또한 그 상단면인 기판 탑재면에 상기 기판의 하면이 탑재되는 기판 탑재부를 구비하는 유전체 부재와,
    상기 기판 탑재부에 적어도 일부가 내장된, 상기 기판을 상기 기판 탑재면에 정전 흡착하기 위한 정전 흡착용 전극과,
    상기 정전 흡착용 전극에 직류 전압을 인가하는 직류 전압 인가 기구와,
    상기 기판과 상기 기판 탑재면의 사이의 공간에 전열 가스를 공급하는 전열 가스 공급 기구를 구비하는 것을 특징으로 하는, 플라즈마 처리 장치.
  2. 청구항 1에 있어서,
    상기 기판 지지부의 각각의 상기 기판 접촉부는 상기 환상부의 상면에 형성된 돌기인 것을 특징으로 하는, 플라즈마 처리 장치.
  3. 청구항 1에 있어서,
    상기 기판 지지부의 각각의 상기 기판 접촉부는 상기 구멍 벽에 형성된 돌기인 것을 특징으로 하는, 플라즈마 처리 장치.
  4. 청구항 1에 있어서,
    상기 기판 지지부의 각각의 상기 기판 접촉부는 상기 환상부의 상면과 상기 구멍 벽에 걸쳐 연장되는 돌기인 것을 특징으로 하는, 플라즈마 처리 장치.
  5. 청구항 1 내지 청구항 4 중 어느 한 항에 있어서,
    상기 트레이의 하면 및 상기 트레이 지지면의 적어도 어느 한쪽에 전열재층이 형성되어 있는 것을 특징으로 하는, 플라즈마 처리 장치.
  6. 감압 가능한 챔버와,
    상기 챔버 내에 플라즈마를 발생시키는 플라즈마 발생원과,
    기판이 수용되는 기판 수용구멍이 두께 방향으로 관통하도록 형성되고, 상기 기판 수용구멍의 구멍 벽이 상기 기판 수용구멍의 중심을 향해서 제1경사 각도로 수평 방향에 대하여 경사져 있는 트레이와,
    상기 구멍 벽의 상기 트레이의 하면측으로부터 돌출하고, 상기 기판 수용구멍의 중심을 향해서 상기 제1경사 각도보다도 작은 제2경사 각도로 수평 방향에 대하여 경사지는 상면인 기판 접촉부가 상기 기판 수용구멍에 수용된 상기 기판의 외주 가장자리부를 지지하는, 환상부를 구비하는 기판 지지부와,
    상기 챔버 내에 설치되고, 상기 챔버 내에 반입되는 상기 기판을 수용한 상기 트레이의 하면을 지지하는 트레이 지지면과, 상기 트레이 지지면으로부터 위쪽으로 돌출하여, 상기 트레이의 하면측으로부터 상기 기판 수용구멍에 삽입되고, 또한 그 상단면인 기판 탑재면에 상기 기판의 하면이 탑재되는 기판 탑재부를 구비하는 유전체 부재와,
    상기 기판 탑재부에 적어도 일부가 내장된, 상기 기판을 상기 기판 탑재면에 정전 흡착하기 위한 정전 흡착용 전극과,
    상기 정전 흡착용 전극에 직류 전압을 인가하는 직류 전압 인가 기구와,
    상기 기판과 상기 기판 탑재면의 사이의 공간에 전열 가스를 공급하는 전열 가스 공급 기구를 구비하는 것을 특징으로 하는, 플라즈마 처리 장치.
  7. 청구항 6에 있어서,
    상기 트레이의 하면 및 상기 트레이 지지면의 적어도 어느 한쪽에 전열재층이 형성되어 있는 것을 특징으로 하는, 플라즈마 처리 장치.
  8. 감압 가능한 챔버와,
    상기 챔버 내에 플라즈마를 발생시키는 플라즈마 발생원과,
    기판이 수용되는 기판 수용구멍이 두께 방향으로 관통하도록 형성된 트레이와,
    상기 기판 수용구멍의 구멍 벽에 형성되어 상기 기판 수용구멍 내에 수용된 기판의 외주 가장자리부를 지지하는 기판 지지부와,
    상기 챔버 내에 설치되고, 상기 챔버 내에 반입되는 상기 기판을 수용한 상기 트레이의 하면을 지지하는 트레이 지지면과, 상기 트레이 지지면으로부터 위쪽으로 돌출하여, 상기 트레이의 하면측으로부터 상기 기판 수용구멍에 삽입되고, 또한 그 상단면인 기판 탑재면에 상기 기판의 하면이 탑재되는 기판 탑재부를 구비하는 유전체 부재와,
    상기 트레이의 하면 및 상기 트레이 지지면의 적어도 어느 한쪽에 형성된 전열재층과,
    상기 기판 탑재부에 적어도 일부가 내장된, 상기 기판을 상기 기판 탑재면에 정전 흡착하기 위한 정전 흡착용 전극과,
    상기 정전 흡착용 전극에 직류 전압을 인가하는 직류 전압 인가 기구와,
    상기 기판과 상기 기판 탑재면의 사이의 공간에 전열 가스를 공급하는 전열 가스 공급 기구를 구비하는 것을 특징으로 하는, 플라즈마 처리 장치.
  9. 기판 서셉터의 유전체 부재의 트레이 지지면과, 기판 수용구멍에 기판을 수용한 트레이의 하면의 사이에 절연성을 가지는 테이프 기재를 개재시켜, 상기 트레이 지지면에 상기 트레이를 탑재하고,
    플라즈마를 발생시킴과 더불어 상기 기판 서셉터에 바이어스 전압을 인가하고, 상기 트레이 지지면에 탑재된 트레이 위에 마이너스의 시스 전위를 발생시켜 상기 테이프 기재 내의 전위를 분극시키고,
    분극된 상기 테이프 기재에 의해 상기 트레이를 상기 유전체 부재의 상기 트레이 지지면에 자기 정전 흡착시키는, 플라즈마 처리 방법.
KR1020117021374A 2009-03-26 2010-03-23 플라즈마 처리 장치 및 플라즈마 처리 방법 KR20110137775A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2009-076596 2009-03-26
JP2009076596 2009-03-26
JPJP-P-2009-097251 2009-04-13
JP2009097251 2009-04-13

Publications (1)

Publication Number Publication Date
KR20110137775A true KR20110137775A (ko) 2011-12-23

Family

ID=42780545

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117021374A KR20110137775A (ko) 2009-03-26 2010-03-23 플라즈마 처리 장치 및 플라즈마 처리 방법

Country Status (4)

Country Link
US (1) US20120006489A1 (ko)
KR (1) KR20110137775A (ko)
TW (1) TW201118977A (ko)
WO (1) WO2010109848A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014051874A1 (en) * 2012-09-28 2014-04-03 Applied Materials, Inc. Improved edge ring lip
KR20170113090A (ko) * 2016-03-28 2017-10-12 도쿄엘렉트론가부시키가이샤 액 처리 장치
KR20170126503A (ko) * 2015-04-27 2017-11-17 가부시키가이샤 사무코 서셉터 및 에피택셜 성장 장치

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101685150B1 (ko) * 2011-01-14 2016-12-09 주식회사 원익아이피에스 박막 증착 장치 및 이를 포함한 기판 처리 시스템
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5613837B2 (ja) * 2011-07-26 2014-10-29 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
JP6285620B2 (ja) * 2011-08-26 2018-02-28 新光電気工業株式会社 静電チャック及び半導体・液晶製造装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013101992A (ja) * 2011-11-07 2013-05-23 Ulvac Japan Ltd プラズマエッチング装置
WO2013103594A1 (en) * 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
JP5855479B2 (ja) * 2012-01-31 2016-02-09 株式会社アルバック プラズマエッチング装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN105683067B (zh) * 2013-10-29 2018-01-23 堺显示器制品株式会社 板材支承体和搬送装置
JP5538613B1 (ja) * 2013-11-13 2014-07-02 東京エレクトロン株式会社 接合装置及び接合システム
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
TWI734668B (zh) * 2014-06-23 2021-08-01 美商應用材料股份有限公司 在epi腔室中的基材熱控制
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN106233450B (zh) * 2015-01-20 2018-12-28 日本碍子株式会社 晶片支承构造体
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6552346B2 (ja) * 2015-09-04 2019-07-31 東京エレクトロン株式会社 基板処理装置
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2017092156A (ja) * 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
JP6445191B2 (ja) * 2016-05-09 2018-12-26 株式会社アルバック 静電チャック、および、プラズマ処理装置
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3477691B1 (en) * 2016-06-23 2022-03-09 Ulvac, Inc. Holding device
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
CN109478525B (zh) * 2016-07-09 2023-12-08 应用材料公司 基板载体
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
JP6824400B2 (ja) * 2016-11-03 2021-02-03 モレキュラー インプリンツ, インコーポレイテッドMolecular Imprints,Inc. 基板装填システム
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6820206B2 (ja) * 2017-01-24 2021-01-27 東京エレクトロン株式会社 被加工物を処理する方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6858035B2 (ja) * 2017-02-27 2021-04-14 新光電気工業株式会社 基板固定具及び基板固定装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11469130B2 (en) * 2017-06-16 2022-10-11 Jusung Engineering Co., Ltd. Substrate processing apparatus and rotating electrical connector for vacuum
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6969373B2 (ja) * 2017-12-28 2021-11-24 トヨタ自動車株式会社 プラズマ処理装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019246041A1 (en) * 2018-06-18 2019-12-26 Applied Materials, Inc. Paired dynamic parallel plate capacitively coupled plasmas
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102266890B1 (ko) * 2018-08-29 2021-06-21 에이피시스템 주식회사 정전척, 이를 포함하는 합착 설비 및 합착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
DE102021003326B3 (de) 2021-06-28 2022-09-08 Singulus Technologies Aktiengesellschaft Substratträger
JP2023019193A (ja) * 2021-07-28 2023-02-09 株式会社ディスコ 被加工物の加工方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395363B1 (en) * 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
JP4255091B2 (ja) * 1999-04-07 2009-04-15 株式会社日立国際電気 半導体製造方法
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US20030089457A1 (en) * 2001-11-13 2003-05-15 Applied Materials, Inc. Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
KR100995715B1 (ko) * 2002-04-09 2010-11-19 파나소닉 주식회사 플라즈마 처리 방법 및 장치와 플라즈마 처리용 트레이
US7062161B2 (en) * 2002-11-28 2006-06-13 Dainippon Screen Mfg. Co., Ltd. Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor
US7736528B2 (en) * 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
JP4361045B2 (ja) * 2005-10-12 2009-11-11 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
JP4601698B2 (ja) * 2008-09-26 2010-12-22 株式会社日立国際電気 半導体製造方法及びその装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014051874A1 (en) * 2012-09-28 2014-04-03 Applied Materials, Inc. Improved edge ring lip
US8865602B2 (en) 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
KR20170126503A (ko) * 2015-04-27 2017-11-17 가부시키가이샤 사무코 서셉터 및 에피택셜 성장 장치
KR20170113090A (ko) * 2016-03-28 2017-10-12 도쿄엘렉트론가부시키가이샤 액 처리 장치

Also Published As

Publication number Publication date
WO2010109848A1 (ja) 2010-09-30
TW201118977A (en) 2011-06-01
US20120006489A1 (en) 2012-01-12

Similar Documents

Publication Publication Date Title
KR20110137775A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP4841686B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4361045B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR101153118B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
JP5395633B2 (ja) 基板処理装置の基板載置台
CN110120329B (zh) 等离子体处理装置
US20180102275A1 (en) Protective cover for electrostatic chuck
US20090179366A1 (en) Apparatus for supporting a substrate during semiconductor processing operations
JP5243465B2 (ja) プラズマ処理装置
JP5595549B2 (ja) プラズマ処理装置用トレイ、プラズマ処理装置、及びプラズマ処理方法
JP5324975B2 (ja) プラズマ処理装置
JP2010225775A (ja) プラズマ処理装置
JP2010232250A (ja) プラズマ処理装置
JP5539436B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4518712B2 (ja) トレイ式マルチチャンバー基板処理装置
JP2020017590A (ja) 基板支持装置およびプラズマ処理装置
JP4781445B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4969595B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4783440B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI791773B (zh) 基板載置構造體及電漿處理裝置
JP2017220591A (ja) プラズマ処理装置、プラズマ処理方法、及びプラズマ処理装置用トレイ

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid