KR20090116649A - 포토레지스트 스트리핑 방법 및 장치 - Google Patents

포토레지스트 스트리핑 방법 및 장치 Download PDF

Info

Publication number
KR20090116649A
KR20090116649A KR1020090039399A KR20090039399A KR20090116649A KR 20090116649 A KR20090116649 A KR 20090116649A KR 1020090039399 A KR1020090039399 A KR 1020090039399A KR 20090039399 A KR20090039399 A KR 20090039399A KR 20090116649 A KR20090116649 A KR 20090116649A
Authority
KR
South Korea
Prior art keywords
pedestal
substrate
platen
wafer
photoresist
Prior art date
Application number
KR1020090039399A
Other languages
English (en)
Inventor
마이클 리브킨
피터 크로토프
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20090116649A publication Critical patent/KR20090116649A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 소재로부터 불필요한 물질을 제거하는 방법에 관한 발명이다. 특히, 본 밞여은 반도체 제작 중 반도체 웨이퍼로부터 포토레지스트 물질을 제거하는 데 관련된 발명이다. 본 발명의 방법에 따르면, 복사에 의한 열전달을 감소시키기 위해 저복사율 표면을 가지는 피데스털(pedestal)을 웨이퍼 지지용으로 사용한다.

Description

포토레지스트 스트리핑 방법 및 장치{PHOTORESIST STRIPPING METHOD AND APPARATUS}
본 발명은 부분적으로 제작된 집적 회로를 포함하는 기판의 표면으로부터 추가적 작업을 위해 포토레지스트를 벗겨내고 잔류물을 제거하는 방법 및 시스템에 관련된 발명으로서, 기판에 대해 상대적으로 조정가능한 위치를 가진 피데스털(pedestal)을 이용하는 방법 및 장치에 관한 발명이다.
포토레지스트는 감광성 유기 폴리머로서, 액체 형태로 "스펀 온(spun on)"될 수 있고, 그후 건조/경화되어 고체 박막을 형성한다. 그후, 감광성인 포토레지스트는 마스크를 통과한 광을 이용하여 패턴처리되고 이어서 습식 솔벤트에 노출된다. 일부 IC 제작 단계에서, 건식 플라즈마 에칭 프로세스가 사용되어 기판의 노출부를 에칭시키고 패턴을 기판에 전이시킨다. 이 패턴은 트렌치, 바이아, 그리고 그외 다른 IC의 특징부들을 실리콘, 금속, 또는 유전층에 형성시킨다. 또다른 제작 단계에서는, 포토레지스트 패턴처리 기판 위에 고에너지 도펀트 이온 주입이 수행되어, 기판 위에 도핑된 영역과 도핑되지 않은 영역을 형성하게 된다. 이 단계들은 포토레지스트의 윗면에 가교결합된 "크러스트(crust)"나 스킨을 생성한다. 기판이 에칭 되거나 도핑되면, 소자 내에 불순물이 존재하는 것을 방지하기 위해 추가 작업 이전에 포토레지스트가 반드시 벗겨져야 하고, 그외 다른 잔류물도 완전히 제거되어야 한다. 포토레지스트 스트리핑을 위한 종래의 프로세스들은 플라즈마에 산소 존재하에서 기체 믹스처로부터 형성되는 플라즈마를 이용한다. 높은 반응성의 산소 기반 플라즈마가 유기 포토레지스트와 반응하고 이를 산화시켜서, 웨이퍼 표면으로부터 떠나게 되는 휘발성 컴포넌트들을 형성하게 된다.
현재의 스트리핑 반응기들은 플라즈마 챔버에 한개 이상의 스테이션들을 이용한다. 각각의 스테이션은 작업 중에 기판을 보지시키는 피데스털(pedestal)을 포함한다. 멀티-스테이션 챔버에서, 기판이 일련의 스테이션에서 가열되고 플라즈마에 노출되어, 로봇의 제어하에 자동적으로 한 스테이션에서 다음 스테이션으로 이동하게 된다. 스트리핑 챔버는 한개 이상의 플라즈마 소스들을 또한 포함할 수 있다. 각각의 플라즈마 소스는 플라즈마를 발생시키기 위한 에너지를 제공하며, 부가적으로, 피데스털 상의 기판을 향해 가스/플라즈마를 분배시키는 플라즈마 샤워헤드를 제공한다. 멀티스테이션 챔버에서는 멀티 플라즈마 소스를 이용하는 것은 흔한 일이다. 일부 경우에 각각의 스테이션이 각자의 플라즈마 소스를 가진다.
온도 제어는 스트리핑 툴에게 있어 중요한 요소다. 스트리핑 프로세스는 특정한 열 범위(thermal budget)를 가지는 경우가 많고, 이러한 열 범위는 전체 스트리핑 프로세스 중 기판에 공급되어야 하는 열 에너지의 총양을 규정한다. 열 범위는 스트리핑 프로세스의 시간 및 온도를 제한한다. 더우기, 과도한 온도나 급격한 온도 상승은 문제를 일으킬 수 있다.
한가지 문제점으로, 급격한 온도 상승 중 포토레지스트 상에서의 크러스트 "파핑(popping)"이 있다. 상술한 바와 같이, 크러스트는 이온 주입 프로세스에 노출된 포토레지스트 상에 형성된다. 이러한 포토레지스트들이 스트리핑 중 급격한 온도 상승에 노출될 경우, 크러스트는 터져서(pop) 불완전한 포토레지스트 제거를 야기할 수 있고 입자 오염을 야기할 수 있다.
상당수의 상용 스트리핑 툴의 목적은 크러스트있는 포토레지스트를 가진 웨이퍼를 스트리핑하는 것은 물론, 크러스트없는 포토레지스트를 가진 웨이퍼를 스트리핑하는 것이다. 이 툴들은 높은 생산성을 유지하면서도 피데스털의 동일한 온도 설정점을 유지하면서 이를 행할 수 있어야 한다. 크러스트없는 포토레지스트를 가진 웨이퍼들은 적합한 스트리핑 수행을 위해 섭씨 350-450도 부근의 비교적 고온에서의 피데스털을 필요로한다. 불행하게도, 크러스트있는 포토레지스트를 가진 웨이퍼들이 이와 동일한 온도에서 처리될 경우, 크러스트들이 과열되어 크러스트의 완전 제거 이전에 터지게 된다. 이 문제점을 취급하기 위한 한가지 방식은 비교적 저온이 요구되는 멀티스테이션 챔버의 초기 스테이션들에서 피데스털의 높이를 낮추고, 크러스트 제거 후 웨이퍼가 처리되는 후기 스테이션들에서 피데스털의 높이를 상승시키는 것이다. 그러나, 이러한 방식이 애노드화된 플래튼(platen)과 함께 사용될 때(현재의 스트리핑 툴에 일반적으로 사용됨), 피데스털이 하강할 때 웨이퍼 가열에 상당한 가변성이 나타난다. 기판 종류에 따라 서로 다른 비율의 적외선 흡수가 나타나며, 이는 피데스털이 하강하였을 때 특히 열전달에 영향을 미친다. 더우기, 각 종류의 웨이퍼는 이온 주입의 서로 다른 높이 및 깊이로 인해 최적 스트 리핑 성능을 위해 고유의 온도 프로파일을 각자 가지게 된다. 마지막으로, 크러스트있는 포토레지스트를 가진 웨이퍼 그룹 내에서, 일부 웨이퍼들은 높은 적외선 흡수율을 가질 수 있고(저항이 작은 고도핑 웨이퍼), 일부 웨이퍼들은 낮은 적외선 흡수율을 가질 수 있다(저항이 큰 웨이퍼). 그 결과, 이 웨이퍼들이 애노드화된 피데스털처럼 높은 복사율의 피데스털을 가진 툴을 통해 이동할 때, 상당히 다르게 열 에너지를 흡수하여, 포토레지스트 애싱(ashing)이 이루어질 때 폭넓은 웨이퍼 온도 분포를 나타내게 된다. 이 툴은 이러한 다양한 웨이퍼 각각을 효과적으로 처리해야할 필요가 있다.
고저항의 웨이퍼들에 대해 프로세스가 최적화될 경우, 저저항 웨이퍼들이 너무 빠르게 가열되어 크러스트 파핑 위험성이 높아진다. 다른 한편, 저저항의 웨이퍼들에 대해 프로세스가 최적화될 경우, 고저항 웨이퍼들이 너무 느리게 가열되고, 초기 스테이지들에서 불충분하게 제거된 크러스트들이 급격한 가열이 이루어지는 멀티스테이지 툴의 후기 스테이지들에서 터질 수 있다. 일반적으로, 스트리핑 툴은 고저항 웨이퍼와 저저항 웨이퍼를 동일한 방식으로 처리하며, 이는 저저항 웨이퍼에 대해 크러스트 파핑의 에지에 놓인다. 이러한 방식은 고저항 웨이퍼들을 거의 가열시키지 않으며, 이 고저항 웨이퍼들에 대한 크러스트 제거를 매우 느리게 만든다.
따라서, 여러가지 종류의 웨이퍼들을 효과적으로 스트리핑함에 있어 유연하게 포토레지스트를 스트리핑하고 스트리핑 프로세스의 온도를 유연하게 제어할 수 있는 개선된 방법 및 장치가 필요하다.
포토레지스트를 제거하기 위한 장치의 일례가 기술된다. 본 발명의 방법 및 장치들은 부분적으로 제작된 집적 회로로부터 포토레지스트/에칭 부산물을 제거하는 데 사용될 수 있다. 이 장치는 소정의 특징부들을 이용하여 스트리핑 중 웨이퍼의 온도를 제어한다. 이 특징부들 중에 저복사율 피데스털과, 가열이나 스트리핑 단계 중 기판에 대해 서로 다른 위치로 이동할 수 있는 피데스털이 있다. 스트리핑 챔버의 실시예에서는 복수의 스테이션들이 제시되며, 각각의 스테이션은 각자의 피데스털을 가진다. 부분적으로 제작된 집적 회로들은 이러한 챔버의 처리과정 중 스테이션을 따라 이동한다.
일부 실시예에서, 스트리핑 툴은 기판을 지지하기 위한 저복사율 피데스털과, 플라즈마 소스를 구비한 챔버를 포함한다. 챔버는 진공 펌프에 연결되어 스트리핑 단계 중 저압을 유지시킨다. 본 발명에 따른 프로세스 챔버는 임의의 적절한 챔버일 수 있다. 프로세스 챔버는 멀티챔버 장치 중의 한개의 챔버일 수도 있고, 또는 단일 챔버 장치의 일부분일 수도 있다. 상술한 바와 같이, 일부 실시예에서, 프로세스 챔버는 복수개의 스테이션들을 포함할 수 있고, 각각의 스테이션에는 각 자의 피데스털이 구비된다.
피데스털은 피데스털 샤프트와 플래튼(platen)을 포함한다. 피데스털은 스트리핑 단계 중, 또는 스트리핑 단계들 중 일부 단계에서 기판을 지지한다. 일부 실시예에서, 피데스털은 기판이나 챔버에 대해 위아래로, 가령, 상승 위치와 하강 위치 사이를, 이동할 수 있다. 피데스털 플래튼은 플래튼 온도 제어를 위해 가열 요소를 가진다. 추가적으로, 웨이퍼에 면하는 플래튼 표면은 저복사율의 표면으로서, 저복사율 범위는 0.5 이하이고, 일부 실시예에서는 0.01 내지 0.3 사이의 범위를 가지며, 일부 실시예에서는 0.1 내지 0.2 사이의 범위를 가진다.
일부 경우에, 프로세스 챔버는 챔버에 장착되는 펙이나, 웨이퍼 이송용 내부 로봇의 핑거를 가질 수 있다. 이는 플래튼이 하강 위치에 있을 때 웨이퍼를 제자리에 고정시키는 역할을 한다. 이때, 웨이퍼는 플래튼 표면과 접촉하지 않는다. 그러나, 플래튼이 상승 위치에 있을 때, 웨이퍼는 플래튼 자체에 의해 지지되게 된다. 상승 위치에서, 플래튼은 주로 전도에 의해 웨이퍼를 가열시킨다. 저복사율 피데스털을 이용할 때, 피데스털 표면으로부터 복사에 의한 웨이퍼 가열은 최소치에 불과하다. 따라서, 피데스털이 하강 위치에 있을 때, 피데스털은 웨이퍼의 가열에 거의 기여하지 않는다.
일부 실시예에서, 플래튼이 상승하여 웨이퍼와 결합할 때 웨이퍼를 지지하는 작은 볼이나 그외 다른 돌출물이 플래튼 표면에 구성된다. 플래튼이 이러한 돌출물을 가지고 있을 때, 그리고 웨이퍼가 이 돌출물에 의해 지지될 때, 웨이퍼와 플래튼 표면 간의 평균 갭은 0 내지 0.01 인치 사이의 범위를 가진다.
멀티스테이션 챔버에서, 챔버 내 로봇은 한 스테이션으로부터 다음 스테이션으로 웨이퍼를 이동시킨다. 일반적으로, 피데스털이 하강하여 피데스털이 로봇의 웨이퍼 결합과 간섭을 일으키지 않도록 할 때 이러한 과정이 수행된다. 따라서, 웨이퍼가 펙이나 핑거에 의해 지지될 때, 로봇은 웨이퍼 아래의 위치로 이동하여 웨이퍼를 현 스테이션 내 위치로부터 들어올려서 다음 스테이션으로 이동시킨다. 그후 해당 스테이션에 연계된 펙이나 핑거 위에 웨이퍼를 내려놓게 된다. 이 스테이션에서도 앞서와 유사한 스트리핑 프로세스가 이어질 수 있다.
피데스털로부터 낮은 복사율을 제공하기 위해, 저복사율을 가지도록 플래튼 표면의 마감이 선택될 수 있다. 더우기, 표면 마감은 스트리핑 플라즈마의 존재 시에 더 높은 복사율 상태로 변환하는 것에 대해 내성을 가져야 한다. 일부 실시예에서, 이러한 표면 마감은 웨이퍼에 면하는 표면으로 제한된다. 저복사율의 플래튼은 열 전달에 대한 웨이퍼 내성의 효과를 최소화시키고, 여러 종류의 기판에 대한 온도 제어를 좁은 범위 내로 개선시킨다. 이는 프로세스 처리량을 증가시킨다. 특히, 크러스트있는 포토레지스트를 스트리핑함에 있어 더욱 그러하다. 예를 들어, 전형 적인 반도체 그레이드 생산은 서로 다른 적외선 흡수율을 가진 기판들을 생산한다. 상술한 바와 같이, 이러한 가변성은 실리콘 내 가변적인 도펀트 농도에 기인할 수 있다. 따라서, 가변적인 웨이퍼 저항에 기인할 수 있다. 저복사율 플래튼은 모든 종류의 기판에 대해 고온에서 사용될 수 있다. 높은 복사율을 가진 애노드화된 플래튼 복사는 금지된다. 왜냐하면, 고저항 실리콘 웨이퍼와 저저항 실리콘 웨이퍼 간의 가열속도 차이 때문이다.
상술한 바와 같이, 저저항 웨이퍼들은 고저항 웨이퍼들에 비해 고속으로 가열된다. 따라서 애싱도 빠르게 이루어진다. 그러나 크러스트 파핑 가능성이 크다. 여러 종류의 기판들에 대해 적합한 전형적인 스트리핑 요법은 초기 스테이지에서는 저온을 이용하고, 낮은 열전달을 고저항 웨이퍼에 제공하여, 이 웨이퍼들에 대한 크러스트 제거를 매우 느리게 행한다. 플래튼의 복사율을 감소시킴으로서, 웨이퍼 저항 의존도를 감소시킬 수 있고, 높은 플래튼 온도가 사용될 수 있다. 추가적으로, 임의의 웨이퍼에 대해 더 우수한 온도 제어가 가능하고 더 좁은 온도 범위 내에서 온도 제어를 할 수 있어서, 가령, HDIS(High Dose Implant Strip) 프로세스 처리량을 증가시킬 수 있다.
다양한 저복사율 물질이 플래튼 표면에 이용될 수 있다. 적절한 금속의 예로는 알루미늄, 로듐, 니켈, 금이 있다. 그외 다른 종류도 가능하다. 이와 같이 선택된 물질은 적절하게 낮은 복사율을 제공하기 위해 낮은 표면 러프니스를 가질 것이다. 예를 들어, 알루미늄의 경우 16 내지 32 마이크로인치의 표면 러프니스가 여러 용도로 적합하다. 일반적으로, 폴리싱된 표면이 본 발명에 적합하다. 그러나, 폴리싱된 표면이 바람직하지 않은 분야도 존재한다. 왜냐하면 스크래치가 쉽게 생겨서 복사율 증가를 일으키기 때문이다. 플래튼 표면에 사용되는 물질의 한가지 예는 16 마이크로인치 수준의 표면 마감을 한 순수 알루미늄 합금(가령, Alcoa 사의 Alloy 6061)이 있다. "지터버그(jitterbug)" 마감이 사용될 수도 있다. 그러나, 이러한 마감은 높은 복사율을 유도한다. 산화 플라즈마로부터의 환경적 효과를 감소 시키기 위해, 니켈 전기도금같은 다양한 코팅이 사용될 수 있다.
본 명세서에서, "반도체 웨이퍼", "웨이퍼", "부분적으로 제작된 집적 회로"는 상호교환가능하게 사용된다. 당 업자라면 "부분적으로 제작된 집적 회로"라는 것이 집적 회로 제작의 여러 스테이지들 중 임의의 스테이지에 있는 실리콘 웨이퍼를 의미한다는 것을 이해할 수 있을 것이다. 다음의 설명은 본 발명이 웨이퍼 상에서 구현됨을 가정한다. 그러나, 본 발명이 이에 제한되지는 않는다. 소재나 기판이 다양한 형태, 크기, 그리고 물질의 것일 수 있다. 반도체 웨이퍼에 추가하여, 본 발명을 이용할 수 있는 다른 소재들은, 인쇄 회로 보드, 디스플레이, 등등과 같이 다양한 품목들을 포함한다.
본 발명의 실시예에 따른 플라즈마 스트리핑 챔버들은 벌크 스트립 프로세스와 HDOS 스트립 프로세스에 모두 사용될 수 있다. 벌크 스트립 프로세스는 하이 도즈 이온 임플랜트에 노출되지 않은 포토레지스트를 제거하고, 따라서, 실질적으로 크러스트를 가지지 않게 된다. HDIS 프로세스는 하이 도즈 이온 임플랜트에 노출된 포토레지스트를 제거하고, 따라서, 포토레지스트 상부에 실질적인 크러스트를 지니게 된다. HIDS 프로세스는 스테이지형 스트립 프로세스를 이용하는데, 크러스트를 제거하여 벌크 로토레지스트를 노출시키도록 초기 스트리핑 조건을 최적화시키고, 이어서, 벌크 포토레지스트 제거를 위해 별도로 스트리핑 조건들을 최적화시킨다.
한 예로서, 벌크 스트립 프로세스는 섭씨 250도 이상의 온도로(가령, 섭씨 280도) 웨이퍼를 매우 급속하게 가열시키고, 그후, 산소 플라즈마 존재 하에 이 온 도에서 애싱(ashing)이 이루어진다. 이와는 달리, HIDS 프로세스는 산소 플라즈마 존재 하에 크러스트가 제거될 때까지 웨이퍼를 저온으로(가령, 섭씨 100-150도, 특히, 120-140도로) 가열함으로서 시작된다. 그후, 웨이퍼를 고온으로(가령, 섭씨 250도 이상으로, 특히, 섭씨 280도로) 급속하게 가열시키며, 이때, 플라즈마는 아래에 노출된 벌크 포토레지스트를 애싱시키게 된다.
도 1A는 상승 위치에 있는 플래튼(117)을 가진 장치(100)의 개략도다. 장치(100)는 보 발명의 일부 실시예에 이용될 수 있는 특징들을 개략적으로 제시한다. 장치(100)는 플라즈마 소스(101)와 프로세스 챔버(103)가 샤워헤드 어셈블리(105)에 의해 분리되어 있는 구조를 도시한다. 플라즈마 소스(101)는 프로세스 기체 유입구(111)에 연결되어 있다. 샤워헤드(109)는 샤워헤드 어셈블리(105)의 하부를 형성한다. 프로세스 챔버(103) 내부에서는 플래튼(117)이 상승 위치에 있을 때 포토레지스트로 덮힌 웨이퍼(116)가 플래튼(117)(또는 스테이지)에 의해 지지된다. 플래튼(117)은 가열/냉각 소자와 연결될 수 있고, 웨이퍼(116)와 면하는 저복사율 표면을 가진다. 일부 실시예에서는 플래튼(117)이 웨이퍼(116)에 바이어스를 인가하도록 구성된다. 진공 펌프와 도관(119)를 통해 프로세스 챔버(103)에 저압이 구현된다.
동작시에, 프로세스 기체가 기체 유입구(111)를 통해 플라즈마 소스(101)에 유입된다. 플라즈마 소스(101)에 유입된 기체는 화학적으로 활성인 종들과, 한개 이상의 형성 종들을 함유할 수 있다. 이 기체는 플라즈마 소스에서 이온화되어 플라즈마를 형성하게 된다. 기체 유입구(111)는 임의의 종류의 것일 수 있고 여러개 의 포트나 제트를 포함할 수 있다. 플라즈마 소스(101)는 프로세스 기체로부터 활성 종들을 발생시켜서 플라즈마를 형성시킨다. 도 1A에서, 플라즈마 소스(101)는 RF 유도 코일(115)와 함께 도시된다. 코일(115)은 여기되어 플라즈마를 발생시킨다. 샤워헤드(109)는 그후 플라즈마를 샤워헤드 구멍(121)을 통해 프로세스 챔버(103) 내로 향하게 한다. 웨이퍼(116)의 표면을 향해 플라즈마/기체 믹스처와 분포의 균일성을 극대화시키도록 샤워헤드 구멍(121)에는 임의의 수와 임의의 배열이 존재할 수 있다. 샤워헤드 어셈블리(105)는 인가 전압을 가질 수 있는 것으로서, 일부 이온들의 흐름을 중단시킬 수 있고, 중성 종들이 프로세스 챔버(103) 내로 흘러들어가게 할 수 있다.
플래튼(117)의 온도가 제어된다. 플래튼(117)은 웨이퍼(116)에 열을 전도하여, 웨이퍼(116)의 노출면으로부터 포토레지스트 제거를 위한 필요 프로세스 조건들을 구현한다. 도 1A는 상승 위치의 플래튼을 도시한다. 이때, 플래튼(117)은 웨이퍼(116)를 지지한다. 플래튼(117)은 웨이퍼(116)와 여러 지점에서 접촉한다. 플래틴이 하강 위치에 있을 때 웨이퍼(116)와 플래튼(117) 사이에 매우 작은 평균적 갭이 존재한다. 평균적 갭은 플래튼(117)의 웨이퍼에 면하는 면 상에 위치한 지지 범프의 존재와 설계에 의해, 그리고, 플래튼(117)의 웨이퍼에 면하는 면과 웨이퍼(116)의 상대적 평탄도에 의해 결정된다. 플래튼(117)과 웨이퍼(116) 간의 작은 간격 및 접촉 때문에, 대부분의 열이 열 전도에 의해 전달된다.
도 1B는 하강 위치에서의 플래튼(117)을 도시한다. 웨이퍼(116)는 펙(123)에 의해 지지되며, 펙(123)은 프로세스 챔버(103)에 부착될 수 있다. 도 2에 설명된 또다른 실시예에서, 웨이퍼는 플래튼이 하강 위치에 있을 때 내부 로봇의 핑거들에 의해 지지될 수 있다. 플래튼(17)을 하강시키면 플래튼(117)과 웨이퍼(116) 사이에 실질적인 간격이 생성되고, 이는 둘 간의 열 전도를 감소시킨다. 이러한 감소는 프로세스 챔버(103) 내에 동작 압력이 낮아지고 간격이 커진다는 점에서 중요하다. 더우기, 플래튼(117)은 웨이퍼(116)와 면하는 저복사율의 표면을 가진다. 이는 플래튼(117)으로부터 웨이퍼(116)까지 복사열 전도를 감소시키거나 효과적으로 제거한다. 그 결과, 플래튼(117)이 상승 위치에 있을 때보다 하강 위치에 있을 때 플래튼(117)과 웨이퍼(116) 사이에 열 전달이 작다. 이에 따라, 플래튼(117)이 동일 온도로 유지되고 있을 때 저온 영역에서 웨이퍼 온도를 정밀하게 제어하는 것이 가능하다. 플래튼(117)과 웨이퍼(116) 간의 간격은 웨이퍼(116)의 요망 온도 영역에 따라 조절될 수 있다.
플라즈마는 웨이퍼(116)로부터 포토레지스트(크러스트 또는 벌크)를 제거하는 데 사용된다. 웨이퍼(116)의 온도는 프로세스 영역을 결정한다. 이는 웨이퍼 종류에 따라 조정될 수 있다. 예를 들어, HDIS 스트립 프로세스의 초기 스테이션들은, 포토레지스트의 상부가 이 스테이션들에서 제거되고 있을 때 웨이퍼의 온도가 섭씨 120-140 범위에 있음을 보장할 수 있도록 하는 위치에서 각각의 플래튼(117)을 가질 수 있다. 다른 한편, 벌크 스트리핑 프로세스는 서로 다른 플래튼 위치를 이용할 수 있다. 더우기, 각 스테이션에서의 플래튼 위치와 타이밍의 조합이 사용되어 전체 프로세스 중 웨이퍼의 온도를 제어할 수 있다.
일부 실시예에서, 장치는 샤워헤드 어셈블리(105)와 샤워헤드(109)를 포함하 지 않을 수 있다. 이러한 실시예에서는 비활성 기체 유입구가 비활성 기체를 프로세스 챔버(103)에 직접 유입시키고, 이 비활성 기체가 웨이퍼(116) 상류 위치에서 플라즈마와 믹싱된다.
도 2는 멀티스테이션 스트리핑 장치(200)의 일례를 도시한다. 이 장치(200)는 프로세스 챔버(201)와 한개 이상의 카세트(203)를 포함한다. 카세트(203)의 예로는 프론트 오프닝 유니파이트 포트(Front Opending Unified Ports)를 들 수 있으며, 처리될 웨이퍼와 스트립 프로세스를 완료한 웨이퍼를 보지하는 기능을 한다. 프로세스 챔버(201)는 복수의 스테이션을 가질 수 있다. 가령, 두개, 세개, 네개, 다섯개, 여섯개, 일곱게, 여덟개, 열개 등등의 스테이션을 가질 수 있다. 스테이션의 수는 일반적으로, 프로세싱 동작들의 복잡도에 의해, 그리고, 공유 환경에서 수행될 수 있는 동작들의 수에 의해 결정된다. 도 2는 여섯개의 스테이션(211-216)을 포함하는 프로세스 챔버(201)를 도시하였다. 단일 프로세스 챔버(203)를 가진 멀티스테이션 장치(200)의 모든 스테이션들이 동일한 압력 환경에 노출된다. 그러나 각각의 스테이션이 전용 플라즈마 발생기 및 플래튼에 의해 구현되는 개별적인 국부적 플라즈마 및 가열 조건들을 가질 수 있다(도 1 참조).
처리될 웨이퍼는 카세트(203)로부터 로드-락(load-lock)(205)을 통해 스테이션(211)에 로딩된다. 외부 로봇(207)이 사용되어 카세트(203)로부터 로드-락(205)으로 웨이퍼를 전달한다. 본 실시예에서는 두개의 로드 락(205)이 존재한다. 이들은 로드-락(205)으로부터 웨이퍼를 이동시키기 위해 웨이퍼 전달 장치 기능을 일반적으로 갖추고 있다(압력이 프로세스 챔버(203)의 내부 환경에 대응하는 레벨로 평 형을 이루었을 때 이러한 웨이퍼의 이동이 이루어짐). 내부 로봇(209)은 프로세싱 스테이션(211-216)들 사이에서 웨이퍼를 전달하는 데 사용되고, 또한, 아래 설명되는 바와 같이 프로세스 중 웨이퍼들 일부를 지지한다.
일례에 따르면, 스테이션(211)은 웨이퍼 가열을 위해 예약된다. 스테이션(101)은 도 1에 도시된 것과 유사한 웨이퍼를 지지하는 플래튼과 웨이퍼 위에 위치한 가열 램프(도시되지 않음)를 가질 수 있다. 스테이션(211)에서 웨이퍼가 가열된 후, 웨이퍼는 프로세싱 스테이션(212, 213, 214, 215, 216)에 차례로 전달된다. 이러한 프로세싱 스테이션의 배치가 순차적일 수도 있고 순차적이지 않을 수도 있다. 일부 실시예에서, 프로세싱 스테이션(212-215)(가능하다면 스테이션(216) 역시)들이 저복사율 플래튼 표면을 구비한 피데스털을 가지며, 이는 아래에서 추가적으로 설명될 것이다. 각각의 프로세싱 스테이션, 가령, 스테이션(212, 213, 314, 215, 216) 마다 고유의 RF 파워 서플라이(가령, 다운스트림 유도 연결 플라즈마 RF 소스)가 제공될 수 있다. 각각의 스테이션에 구성되는 플래튼은 가열 소자에 적응될 수 있고 웨이퍼에 바이어스를 인가하도록 구성될 수 있다. 멀티스테이션 장치(200)는 플래튼의 하강 위치에서 웨이퍼에 대한 열 전달을 감소시키는 한개 이상의 스테이션, 바람직하게는 두개 이상의 스테이션을 가질 수 있다.
발명의 이해를 돕기 위해, 프로세싱 스테이션들을 세개의 그룹으로 구분하였다. 스테이션(211)은 그룹 A에 속한다. 스테이션(211)은 카세트(203)로부터 프로세스 챔버(201)로의 웨이퍼 전달을 가능하게 하도록 로드-락(205)을 구비하고 있다. 멀티스테이션 장치(200)는 모든 스테이션들이 동이한 압력 환경에 노출되도록 구성 된다. 이렇게 함에 있어, 웨이퍼들이 스테이션(211)으로부터 프로세스 챔버의 다른 스테이션에게로, 로드-락같이 트랜스퍼 포트에 대한 필요성없이 전달된다. 스테이션(211)은 다음 처리 스테이션으로 웨이퍼를 전달하기 전에 각 웨이퍼를 예열시키기 위한 가열 플래튼과 가열 램프를 또한 구비할 수 있다.
내부 로봇(209)은 프로세싱 챔버(201) 내부의 스테이션(211-216)들 사이에서 웨이퍼를 전달한다. 구체적으로, 내부 로봇(209)은 웨이퍼들을 스테이션(211)으로부터 스테이션(212)으로, 이어서 다음 스테이션(213)으로 순차적으로 전달하는 데 사용된다. 스테이션(212, 213)은 그룹 B의 프로세스 스테이션에 속한다. 이 그룹 B 스테이션들은 포토레지스트로부터 임플랜트 크러스트를 제거할 때 플래튼이 하강 위치에 놓이는 한개 이상의 스테이션, 바람직하게는 두개 이상의 스테이션들을 포함할 수 있다. 따라서, 웨이퍼가 더 낮은 온도 상태에 놓이도록 크러스트 제거 중 웨이퍼와 플래튼들 간에 갭이 존재할 것이다. 이러한 동작 중, 플래튼은 저항방식으로, 또는 가열 램프로 가열될 수 있다. 일부 실시예에서, 플래튼은 온도 설정점에서(가령, 섭씨 3503-450도 사이) 유지된다.
그룹 B 스테이션들이 본 실시예에서 그룹 A 스테이션에 바로 이어지지만, 그룹 B 스테이션들이 프로세스 챔버(201) 내 어떤 위치에도 배열되고 구현될 수 있다는 것을 당 업자라면 용이하게 이해할 수 있을 것이다. 즉, 그룹 B 스테이션들이 그룹 A 스테이션들에 바로 이어서 배열될 수도 있고, 노출 챔버 내에 위치하는 프로세싱 스테이션들의 시퀀스 시작 위치에 배열될 수도 있다. 또다른 실시예에서는 그룹 B 스테이션들이 이러한 시퀀스의 말미에 놓일 수 있고, 또는, 노출 챔버 내부 에 위치하는 복수의 처리 스테이션들 전반에 골고루 간헐적으로 배치될 수도 잇다.
웨이퍼들은 내부 로봇(209)을 통해 프로세스 챔버(201) 내부로 전달된다. 각각의 처리 스테이션에 대해 한개 이상의 암을 갖춘 핀이 스핀들 어셈블리에 포함될 수 있다. 이때 각각의 암은 각각의 처리 스테이션을 향해 뻗어간다. 처리 스테이션들에 인접한 암의 단부에는 각 사이드 상에 두개의 핑거를 갖춘 암으로부터 뻗어가는 네개의 핑거들이 존재한다. 이 핑거들은 처리 스테이션 내에서 웨이퍼를 들어올리거나 하강시키거나 배치하는데 사용된다. 예를 들어, 일실시예에 따르면, 멀티스테이션 장치가 6개의 처리 스테이션들을 포함하는 경우에, 스핀들 어셈블리는 한개의 핀에 6개의 암을 가진 6개의 암 회전 어셈블리다. 예를 들어, 스핀들 어셈블리의 핀은 6개의 암들을 포함하고, 각각의 암은 네개의 핑거를 가진다(도면 참조).
한 세트, 네개의 핑거들, 즉, 제 1 암의 두개의 핑거와 이에 인접한 제 2 암의 두개의 핑거들은 한개의 처리 스테이션으로부터 또다른 처리 스테이션까지 웨이퍼를 상승시키고 위치설정하며 하강시키는 데 사용된다. 이러한 방식으로, 이 장치에는 플래튼, 스테이션, 웨이퍼마다 네개의 핑거가 제공된다. 각각의 플래튼은 아래 설명되는 바와 같이 그리고 도 4B에 도시되는 바와 같이, 인접 암들의 네개의 핑거들을 수용하기 위한 네개의 구멍들을 포함할 수 있다.
웨이퍼가 로딩/예열 스테이션(211)에 제공되기 전에, 스핀들, 핀, 암, 그리고 핑거들은 네개의 핑거들이 이러한 핑거들을 수용하기 위한 플래튼의 구멍 내에 위치하도록 배치될 수 있다. 이러한 방식으로, 스트리핑이 필요한 표면들을 가진 웨이퍼가 스테이션(211)에 로딩되면, 웨이퍼는 스테이션(211) 내의 플래튼의 상부 면과 암의 네개의 핑거들에 모두 직접 접촉하게 된다.
웨이퍼는 웨이퍼 표면으로부터 어떤 불필요한 물질 및 포토레지스트를 제거할 수 있는 온도로 스테이션(211) 내에서 예열된다. 웨이퍼는 가열된 플래튼으로부터 열 전달을 통해 가열될 수 있고, 이는 전기 히터나 가열 램프를 이용하여 구현될 수 있다. 가열된 플래튼에 대한 대안으로, 또는 이와의 조합으로, 웨이퍼가 스테이션(211) 위에 배치된 가열 램프를 이용하여 가열될 수 있다. 웨이퍼를 예열한 후, 그리고 프로세싱 중, 웨이퍼는 상온(가령, 섭씨 25도)에서 섭씨 300도에 이르는 온도 범위를 가지는 것이 바람직하다. 이 온도는 크러스트 스트리핑이나 벌크 스트리핑같은 차후 동작에 의해 결정되는 것이 일반적이다.
웨이퍼가 예열되면, 웨이퍼가 그룹 B의 처리 스테이션들(가령, 스테이션(212, 213))에 전달될 수 있다. 그룹 B 스테이션들 각각은 하강 위치와 상승 위치 사이에서 그 위치를 변화시킬 수 있는 플래튼을 포함할 수 있다. 대안으로, 플래튼이 하강 위치에 영구적으로 배치될 수 있다. 상승 위치에서는 웨이퍼의 후면이 플래튼의 상부면과 직접 접촉할 수 있다. 또는 범프같은 플래튼 표면의 소정의 특징부들과 직접 접촉할 수 있다. 하강 위치에서는 웨이퍼가 플래튼과의 접촉을 피하게 된다. 결과적으로 웨이퍼와 플래튼 간에 갭이 나타난다.
로딩/예열 스테이션(211)으로부터 웨이퍼를 전달할 때, 내부 로봇(209)이 핀의 암을 프로세스 챔버(201) 내 상향으로 이동시켜서, 웨이퍼 아래 위치한 네개의 핑거들을 통해 스테이션(211)의 플래튼으로부터 상향으로 웨이퍼를 들어올리게 된다. 스핀들은 그후 웨이퍼를 스테이션(211)으로부터 프로세싱 스테이션(212)으로 이동시킨다. 프로세싱 스테이션(212)의 플래튼은 HDIS 프로세싱의 경우 하강 위치에 놓일 수 있다. 즉, 웨이퍼를 지지하는 네개의 핑거들이 플래튼의 대응하는 구멍 부분에 수용될 때, 웨이퍼의 후면만이 네 핑거의 상부면과 접촉한다. 이렇게 함에 있어, 웨이퍼와 플래튼 사이에 갭이 생겨서, 웨이퍼의 후면이 프로세싱 스테이션(212)의 플래튼과 접촉을 피하게 된다. 플래튼은 스테이션(212) 내의 상승 위치와 하강 위치 사이에서 이동할 수 있다. 이러한 방식으로, 웨이퍼를 지지하는 네개의 핑거를 스테이션(212) 내 플래튼의 구멍 부분들이 수용한다. 이 갭은 플래튼이 하강 위치에 있을 때 웨이퍼의 후면과 플래튼 사이에 생성된다. 그래서, 웨이퍼의 후면이 그 하부에 위치한 핑거들과만 접촉하게 된다. 이 갭은 HDIS 크러스트 스트리핑 동작 중 플래튼으로부터 웨이퍼까지 열 전달을 감소시키는 데 필요할 수 있다. 벌크 스트리핑에 비해 저온으로 웨이퍼를 유지시키고 싶을 때 필요한 것이다. 그러나, 웨이퍼 온도를 유지시키고 높은 스트립 속도를 보장하기 위해, 플래튼이 상승 위치로 이동할 수 있다. 웨이퍼를 프로세싱 챔버 내에 위치시키면, 웨이퍼가 예열 및 웨이퍼 온도 유지를 위해 플래튼과 접촉하는 것이다. 이는 벌크 스트립 프로세스의 경우 적절하다.
그후 웨이퍼가 그룹 B 프로세싱 스테이션으로부터 그룹 C 프로세싱 스테이션으로 이동할 수 있다. 그룹 C 스테이션에서는 웨이퍼의 후면이, 그룹 C 스테이션에 위치하는 플래튼들과 직접 접촉하도록 제공된다. 그룹 B와 그룹 C 피데스털들 중 어떤 것도 본 발명의 일실시예에 따른 저복사율 표면을 가질 수 있다.
웨이퍼를 스테이션(213)으로부터 다음 스테이션(가령, 스테이션(214))로 이 동시킴에 있어, 인접 암에 위치한 핑거들이 스테이션(213)으로부터 웨이퍼를 들어올리고, 스핀들은 웨이퍼를 프로세싱 스테이션(214)을 향해 이동시킨다. 핑거들은 스테이션(214)의 플래튼 내 구멍에 수용되며, 그후 웨이퍼가 이러한 플래튼 상에 집적 하강하여 놓이게 된다. 스테이션(214, 215, 216)의 플래튼들은 정적인 플래튼으로서, 상승 위치에 유지된다. 따라서, 웨이퍼 후면이 플래튼 상부면과 직접 접촉하게 된다. 플라즈마가 스테이션(214)을 통해 흘러서, HDIS 나 벌크 프로세스에서 나머지 벌크 포토레지스트를 벗겨내기에 충분한 시간동안 웨이퍼의 전면과 직접 접촉하게 된다.
멀티스테이션 챔버를 이용하는 벌크 스트립 프로세스의 한 예에서, 도 2에 기술된 바와 같이, 모든 피데스털들은 고온 스트리핑 프로세스 중 웨이퍼와 접촉하도록 상향으로 유지된다. 벌크 스트립 프로세스의 경우 섭씨 250도 이상(가령, 섭씨 280도)의 온도로 웨이퍼를 가열시키는게 바람직할 수 있다. 이는 섭씨 350도 내지 450도 사이의 온도(가령, 섭씨 370도 내지 400도 사이)로 피데스털을 가열함으로서 달성될 수 있다. 프로세스 챔버(201)가 스테이션(212-216) 각각 또는 스테이션(212-215) 각각에 대해 개별적인 플라즈마 소스를 가질 경우, 플라즈마 소스들은 벌크 스트립 프로세스 중 모두 턴온 될 수 있다. 대안으로, 마지막 두개 또는 세개의 스테이션들이 벌크 스트립 프로세스 중 (간헐적으로) 턴오프되는 플라즈마 소스들을 가질 수 있다.
도 2에 기술된 바와 같은 멀티스테이션 챔버를 이용한 HDIS 스트립 프로세스의 한 예가 이제부터 설명될 것이다. 웨이퍼가 스테이션(211)에 위치할 때, 관련 피데스털이 상승 위치에 배치되고 웨이퍼는 섭씨 120도 내지 140도 사이의 온도로 가열된다. 웨이퍼가 스테이션(212)으로, 이어서 스테이션(213)으로 이동할 때, 각 스테이션 내 피데스털들이 하강 위치에 놓여, 웨이퍼와 접촉하지 않게 되고 웨이퍼의 추가적인 가열이 최소화된다. 대안으로, 웨이퍼의 온도 프로파일에 따라, 스테이션(212, 213) 내 한개 이상의 피데스털들이 프로세싱 중 상승될 수 있다. 이는 복사 흡수에 의해 가열에 덜 귀속되는 고복사율 웨이퍼를 장치에서 구현할 때 더욱 적절하다. 이와는 상관없이, 스테이션(213, 213)의 기판 온도는 스테이션(211)에서와 동일 온도를 유지하여야 한다. 가령, 섭씨 120도 내지 140도 사이를 유지하여야 한다. 본 예에서, 크러스트 제거는 스테이션(212, 213)에서 수행된다.
기판이 스테이션(214)으로 이동할 때, 포토레지스트의 벌크 스트리핑이 시작된다. 따라서, 스테이션(214)의 피데스털을 상승 위치로 이동시킴으로서 기판 온도가 증가한다(가령, 섭씨 250도 이상, 특히 섭씨 280도까지). 이러한 상승 위치에서, 웨이퍼에 면하는 플래튼의 표면은 웨이퍼와 접촉하게 되고, 열 전달에 의해 웨이퍼에 추가적인 열 전달을 제공하게 된다. 스테이션(215)에서 추가적인 스트리핑이 이루어진다. 여기서, 전체 스트리핑 프로세서에 대해 명시된 열 범위(또는 최대 온도)에 적절한 대로 피데스털이 상승 위치나 하강 위치에 놓일 수 있다. 일반적으로, 기판은 스테이션(214-216)들 각각에서 설정 온도로 유지된다(가령, 섭씨 280도, 또는 섭씨 285도). 이는 스테이션(215, 216)에서 적절한 대로 피데스털을 배치함으로서 달성될 수 있다. 일반적으로, 스테이션(212-215) 내의 각 피데스털의 온도는 어떤 종류의 기판이 처리되고 있는 지에 관계없이 동일한 설정 온도로 유지될 수 있다(가령, 섭씨 350도 내지 400 도 사이). 일부 동작 모드에서는 기판의 온도가, 피데스털의 설정 온도를 조정하는 것보다는 개별 스테이션에서 피데스털의 위치를 단순히 변경함으로서, 각 종류의 기판에 대해 제어된다.
상당수의 실시예에서, 피데스털의 위치는 한개의 상승 위치와 한개의 하강 위치 사이에서만 변화한다. 일부 실시예에서는 온도 제어시 보다 큰 유연성을 제공할 수 있는 실시예로서, 피데스털이 그외 다른 위치를 가질 수 있고, 어떤 경우에는 피데스털 위치가 연속적으로 변할 수 있다.
일반적으로, 피데스털이 하강 위치에 있을 때 플래튼의 웨이퍼에 면하는 표면과 기판 간의 갭은 0.001 인치와 3 인치 사이에 해당한다. 구체적으로, 이 갭이 1 인치 내지 3인치 사이일 수 있고, 특히, 1.5 인치 내지 2.5 인치 사이일 수 있다. 이 갭은 웨이퍼에 면하는 플래튼 표면의 복사율, 플래튼의 온도, 스테이션에 전달될 때 웨이퍼의 초기 온도, 동작 중 웨이퍼 온도 요건, 웨이퍼의 열 범위, 웨이퍼의 저항, 기판 위의 포토레지스트의 종류, 그리고 그외 다른 프로세스 파라미터들과 같은 한개 이상의 인자들에 기초하여 프로세싱 중 선택되거나 조정될 수 있다. 피데스털의 하강 위치는 웨이퍼에 면하는 플래튼의 표면(또는 범프와 같이 이 표면 상의 임의의 특징부들)이 웨이퍼와 접촉하지 않을 때의 위치에 해당한다(또는 웨이퍼가 이송 장치에 의해 보지되고 피데스털이 최대 상승 위치에 있을 때처럼 매우 가까이 있을 때가 아닌 위치에 해당한다).
일부 상황에서, 포토레지스트 스트립 장치는 차례로 여러가지 종류의 웨이퍼들을 처리할 것이다. 예를 들어, 최초에 이 장치는 웨이퍼로부터 벌크 포토레지스 트를 스트립할 수 있다. 이 동작 중, 급속한 고온 프로세싱이 요망된다. 따라서, 스테이션(212, 213) 내 플래튼 중 한개 또는 두개 모두가 상승할 수 있고, 스트립 중인 웨이퍼와 접촉할 수 있다. 나중에, 크러스트있는 포토레지스트를 지닌 저저항 웨이퍼들이 처리될 필요가 있을 수 있다. 이러한 웨이퍼들은 스테이션(212, 213)에서 저온에서 처리되어야한다. 그후, 둘 중 한개 또는 둘 모두의 스테이션(212, 213)의 피데스털이 하강될 수 있다. 이 피데스털들이 벌크 웨이퍼의 초기 처리로부터 뜨거운 상태를 유지하기 때문에, 저복사율 피데스털의 이용은 웨이퍼를 비교적 차게 유지시킬 수 있게 하고, 크러스트 제거 중 뜨거운 피데스털에 의해 영향을 덜 받게 할 수 있다. 고처리량 프로세싱 중 피데스털 온도 설정점을 유지시키는 것이 바람직한 경우가 자주 있다.
일부 실시예에서 아래 설명되는 스트리핑 프로세스의 다양한 동작들에 대한 프로세스 조건들을 제어하기 위해 시스템 컨트롤러(221)가 사용된다. 예를 들어, 컨트롤러(221)는 각 스테이션(2110216)에서의 피데스털의 위치를 제어할 수 있고, 서모커플로부터의 신호를 처리할 수 있으며, 그외 다른 기능들을 수행할 수 있다. 컨트롤러(221)는 한개 이상의 메모리 소자와 한개 이상의 프로세서를 포함하는 것이 일반적이다. 프로세서는 CPU나 컴퓨터, 아날로그, 디지털 입력/출력 연결, 스테퍼 모터 컨트롤러 보드, 등등을 포함할 수 있다.
일부 실시예에서, 컨트롤러(221)는 장치(200)의 모든 동작들을 제어한다. 컨트롤러(221)는 프로세싱 동작들의 타이밍, 피데스털의 위치설정, 챔버의 온도 및 압력, 그리고 그외 다른 프로세서 파라미터를 제어하기 위한 명령 세트들을 포함하 는 시스템 제어 소프트웨어를 실행한다. 컨트롤러(221)에 연계된 메모리 장치에 저장되는 다른 컴퓨터 프로그램들이 일부 실시예에서 이용될 수 있다.
일부 실시예에서는 사용자 인터페이스가 컨트롤러(221)와 연계되어 있다. 사용자 인터페이스는 디스플레이 스크린, 장치나 프로세스 조건의 그래픽 소프트웨어 디스플레이, 포인팅 장치, 키보드, 터치스크린, 마이크로폰 등등과 같은 사용자 입력 장치들을 포함할 수 있다.
프로세싱 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 가령, 어셈블리어, C, C++, 파스칼, 포트란, 등등과 같은 종래의 컴퓨터로 판독가능한 프로그래밍 언어로 기록될 수 있다. 컴파일된 객체 코드나 스크립트는 프로그램에 명시된 작업을 수행하기 위해 프로세서에 의해 실행된다.
컨트롤러 파라미터들은 프로세싱 단계들의 타이밍, 유동 속도, 프리커서 및 프로세스 기체들의 온도, 기판의 온도, 챔버의 압력, 특정 프로세스의 그외 다른 파라미터 등등과 같이 프로세스 조건들에 관련된다. 이 파라미터들은 처방 형태로 사용자에게 제공되며, 사용자 인터페이스를 이용하여 입력될 수 있다.
시스템 소프트웨어는 여러가지 방식으로 설계/구성될 수 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴이나 제어 객체들이, 본 발명의 증착 프로세스들을 숫행하는데 필요한 챔버 컴포넌트들의 동작을 제어하기 위해 기록될 수 있다. 이러한 용도의 프로그램이나 프로그램 섹션의 예로는, 프로세싱 단계의 기판 타이밍 코드, 유동 속도, 프리커서 및 비활성 기체 코드의 온도, 챔버 압력 코드 등이 있다.
시스템 컨트롤러(221)는 사용자 인터페이스로부터 그리고/또는 다양한 센서 로부터 입력을 수신할 수 있다. 사용자 인터페이스로부터의 입력의 예로는, 기판 종류, 온도 요건, 다양한 스트리핑 동작들의 듀레이션, 등등과 같은 조작자에 의해 입려고디는 프로세스 파라미터들이 있다. 다양한 센서로부터의 입력의 예로는 기판 및 플래튼 온도를 측정하는 서모커플, 복사 측정 장치, 기판과 플래튼의 위치를 등록하기 위한 센서, 압력 측정 장치 등등이 있다. 시스템 컨트롤러는 프로세싱 챔버(201) 내부의 각 스테이션(211-216)의 액츄에이터 메커니즘에 연결될 수 있고, 시스템 컨트롤러(211)에 제공되는 입력에 기초하여 각 플래튼의 위치들(가령, 상승, 하강, 중간, 가변, 또는 그외 다른 위치)을 제어하도록 구성될 수 있다. 다양한 제어 처방들이 스트리핑 프로세스의 설명에서, 그리고, 본 문서의 그외 다른 부분들에 제시된다. 예를 들어, 시스템 컨트롤러(221)는, 스테이션(212)에서 처리될 다음 기판이 저저항 기판이고 HDIS 스트리핑법이 사용되어야 함을 표시하는 입력을 수신할 수 있다. 시스템 컨트롤러(221)는 스테이션(212)의 플래튼에서 수신되는 대로 다음 기판의 온도, 플래튼의 온도, 기판 저항, 등등과 같이 한개 이상의 센서로부터 프로세스 조건들을 확인할 수 있다. 시스템 컨트롤러(221)는 모든 가용 입력에 기초하여 피데스털이 하강 위치에 놓여야 하고 피데스털의 현 위치를 확인하여야 함을 결정할 수 있다. 시스템 컨트롤러(221)는 그후 스테이션(212)의 액츄에이터에게 피데스털을 하강 위치로 옮기도록 지시할 수 있다. 더우기, 입력을 수신하고 피데스털의 위치를 조정하는 것이 동적 프로세스일 수 있다. 시스템 컨트롤러(221)는 기판 온도를 더욱 정밀하게 제어하기 위해 입력을 연속적으로 수신할 수 있고 전체 동작에서의 피데스털의 위치를 재조정할 수 있다.
일반적으로, 본 발명의 한가지 장점은 여러 다른 종류의 웨이퍼들을 차례로 처리할 수 있다는 것이다. 차례로 처리될 수 있는 여러가지 종류의 웨이퍼들의 예로는, 크러스트없는 포토레지스트를 가진 저저항 및 고저항 웨이퍼, 크러스트있는 포토레지스트를 가진 고저항 웨이퍼, 크러스트있는 포토레지스트를 가진 저저항 웨이퍼가 있다. 각 종류의 웨이퍼에 대해 전용화된 방식으로 저복사율 피데스털들을 상승 및 하강시킴으로서, 장치는 차례로 처리되는 다양한 종류의 웨이퍼들을 수용할 수 있고, 따라서 처리량을 개선시킬 수 있다. 상술한 멀티스테이션 장치에 대한 대안으로서, 본 발명은 배치 모드로(즉, 비-순차적 방식으로) 단일 프로세싱 스테이션에서 한개의 웨이퍼를 처리하는 멀티스테이션 챔버, 또는 단일 웨이퍼 챔버를 가진 노출 챔버로 구현될 수 있다. 발명의 본 형태에 따르면, 웨이퍼가 단일 프로세싱 스테이션의 플래튼에 로딩된다(단 한개의 프로세싱 스테이션을 가진 장치인지, 배치 모드로 구동되는 멀티스테이션을 가진 장치인지 여부는 관계가 없다). 웨이퍼는 열 램프를 웨이퍼 후면에 제공함으로서, 또는 플래튼을 저항방식으로 가열함으로서, 등등과 같이 가열될 수 있다. 그러나, 웨이퍼는 전체 스트리핑 프로세스 중 동일 스테이션에서 유지된다. 이 스테이션의 피데스털은 각 동작의 온도 요건에 따라 상승 위치와 하강 위치 사이를 옮겨다닐 수 있다. 이러한 온도 요건을 웨이퍼 종류마다 다를 수 있다. 각각의 경우에, 웨이퍼 피데스털은 복사열 전달의 임팩트를 감소시키기 위해 저복사율 표면을 가질 것이다.
도 3은 본 발명의 스트리핑 프로세스들에 따라 사용될 수 있는 멀티 챔버 스트리핑 장치(300)의 개략도다. 도시되는 바와 같이, 장치(300)에는 세개의 챔 버(301, 303, 305)가 구성된다. 각각의 챔버(301-305)는 피데스털을 두개씩 가진다. 각각의 챔버(301, 303, 305)는 고유 압력 환경을 가지며, 이는 챔버 간에 공유되지 않는다. 각각의 챔버는 한개 이상의 대응하는 이송 포트(가령, 로드-락)을 가질 수 있다. 이 장치는 한개 이상의 카세트(309)의 트랜스퍼 포트들 간에 웨이퍼를 이송시키기 위해 공유 웨이퍼 핸들링 로봇(307)을 추가로 포함할 수 있다. 각각의 스테이션은 시스템 컨트롤러(311)에 의해 제어될 수 있고, 컨트롤러(311)는 대응하는 스테이션들의 저복사율 피데스털의 위치를 제어할 수 있다.
도 4A-4C는 일실시예에 따른 피데스털 구조(401)의 일례를 제시한다. 도 4A와 4C는 부착된 샤프트(405)와 함께 플래튼(403)의 하부를 도시한다. 도 4B에 도시되는 플래튼(403)의 상부면은 스트리핑 챔버에서 상승 위치에 있을 때 기판과 접촉한다. 상기 상부면은 특히 피데스털이 하강 위치에 있을 때, 기판의 복사 가열을 감소시키기 위해 저복사율 표면을 가진다. 피데스털의 플래튼 부분은 처리되는 기판의 종류를 수용하기에 적합한 크기와 형태를 가진다. 이 크기는 기판 전체에서 우수한 열 전달에 영향을 미칠 수 있다. 일부 실시예에서, 플래튼(403),은 원형으로서 10인치 내지 15인치 사이의 직경을 가지며, 특히, 11인치 내지 14인치 범위, 좀더 선호되는 범위의 직경은 12인치 내지 13인치 사이다. 구체적인 일례에서, 플래튼의 직경은 12.4인치다. 플래튼 두께는 0.5 인치 내지 3인치 사이이고, 1인치 내지 2인치 사이가 선호된다. 구체적인 일례에서, 플래튼의 두께는 1.6인치다.
가열 요소(407)가 플래튼(403) 하부에 구성된다. 특정 실시예에서, 가열 요소는 저항성 전기 히터로서, 금속 튜브에 전류를 지닌 코일의 형태로 구현된다. 이 는 가열 요소(407)의 눈에 보이는 부분에 해당한다. 일부 경우에, 이 튜브는 플래튼(403) 후면에 나선형 그루브 컷으로 용접된 알루미늄 튜브다. 또다른 실시예에서, 플래튼(403)의 온도 제어를 위해 열 교환 유체가 사용될 수 있다.
플랜지(411)가 플래튼(403)에 샤프트(405)를 부착한다. 벨로 구조(bellows structure)(413)가 플래튼(403)과 플랜지(411) 아래 배치되는 위치에서 샤프트(405)에 부착된다. 벨로(413)의 다른 쪽 단부는 O-fld(415)이나 그외 다른 씰 수단을 통해 스트리핑 챔버의 아래쪽 벽에 부착된다. 벨로(413)는 피데스털이 상승 위치와 하강 위치 사이를 이동함에 따라 압축되고 압축해제되며, 스트리핑 중 플라즈마에 의한 공격으로부터 샤프트 및 관련 제어 라인들을 효과적으로 밀폐시키고 보호한다.
모터나 그외 다른 액츄에이팅 장치(도시되지 않음)가 피데스털(401)에 연결되어 상승 및 하강 위치 간의 플래튼(403) 위치를 제어한다. 일반적으로, 액츄에이팅 장치는 피데스털 샤프트(405)를 통해 피데스털(401)에 연결된다.
도시되는 실시예에서, 가령, 서모커플 신호와 저항성 가열 요소(407)에 전류를 제공하기 위해, 다양한 제어 라인(417)들이 샤프트(405)에 제공된다. 이 라인들은 벨로(413)에 의해 부분적으로 보호된다.
일반적으로, 샤프트(405)는 기계가공된 금속으로 만들어지며, 5인치 내지 10인치의 길이를 가진다. 6인치 내지 9인치 길이가 선호되며, 7인치 내지 8인치 길이가 더욱 선호된다. 일실시예에서는 샤프트 길이가 7.3인치다. 샤프트는 원통형이거나 이와는 다른 단면 형태를 가질 수 있다. 즉, 상승 위치와 하강 위치 사이에서 피데스털(401)의 운동을 구동시키는 액츄에이터나 그외 다른 수단과의 결합을 구현하는 형태를 가진다.
도 4B는 플래튼(403)의 평면도다. 플래튼(403)의 상부면(421)은 저복사율 표면으로서, 복사율이 0.5 이하다. 0.01 내지 0.3 사이의 복사율이 선호되고, 0.1 내지 0.2 사이의 복사율이 더욱 선호된다. 저복사율 표면을 제공하기 위한 적절한 물질의 예로는 기계가공/도금된 니켈, 금, 로듐, 알루미늄, 몰리브덴, 그리고 이 금속들의 합금이 있다. 예를 들어, 니켈, 몰리브덴, 알루미늄 합금(Ni-Mo-Al)으로 만든 피데스털 표면이 0.01의 복사율을 나타낸다.
일반적으로, 복사율은 피드스털의 온도와, 복사율 측정 각도같은 관련 동작 파라미터들과 관련하여 정의된다. 복사체에서, 온도는 스펙트럼 분포 복사 에너지에 영향을 미친다. 따라서, 본원에서 제시되는 복사율은 동작 조건들 하에서 복사가 가장 강한 스펙트럼 영역에 대한 것이다. 예를 들어, 섭씨 350도 내지 400도 사이에 있는 웨이퍼에 면하는 플래튼 표면에 대한 복사율은 일반적으로 90도 복사 각도에서 2 내지 8 미크론 사이의 파장에 대응한다. 더우기, 웨이퍼에 면하는 플래튼 표면에 대해 복사율값들이 평균화되거나 적분된다. 표면 상의 다양한 지점들 사이에서 국부 복사율 값들이 다를 수 있다. 예를 들어, 동작 중 웨이퍼에 면하는 표면들에서의 국부적인 변색이나 스크래치를 플래튼이 발전시킬 수 있고, 따라서, 국부적인 복사율 피크를 나타낼 수 있다. 웨이퍼를 면하는 플래튼 표면들이 주기적으로 재손질되어 특정 범위 내의 복사율을 유도하게 된다.
일부 실시예에서, 플래튼(403)용 물질은 프로세스 조건들과 부합된다. 즉, 시간이 지남에 따라, 그리고 동작시에, 플래튼 표면의 조건이 높은 복사율로 저하되지 않도록 물질이 선택된다. 그러나, 저하 상태에서도, 플래튼은 수용가능한 범위 내의 복사율을 가지는 것이 일반적이다. 또한, 일부 응용예의 경우 폴리싱되지 않아도 여전히 낮은 복사율을 가지는 표면을 갖춘 것이 바람직할 수 있다. 이러한 표면들은 플래튼을 반복사용할 때 복사율 값의 변화가 적게 이루어진다는 장점을 가진다. 예를 들어, 알루미늄같은 일부 금속들은 20 마이크로인치 이하의 표면 러프니스를 가지며, 일부 실시예에서는 5 내지 15 마이크로인치의 표면 러프니스를 가진다. 일부 실시예에서는 높은 레벨의 폴리싱이 이루어진 표면들이 사용될 수도 있다. 복사율 저하는 경도가 높은 표면처럼 내-스크래치 표면을 선택함으로서 제어될 수 있다.
도 4B에 도시되는 바와 같이, 플래튼(403)의 상부면(421)에는 6개의 범프(423)가 구비되어 스트리핑 프로세스 중 기판을 지지하게 된다. 일부 실시예에서, 이 범프(423)들은 평균적으로 0 내지 10 mils 사이의 간격으로 플래튼(403)의 상부면(421)과 기판을 이격유지시키는 크기를 가질 수 있다. 물론, 다른 실시예에서는 다른 갯수의 범프들을 이용할 수 있으며, 아예 이용하지 않을 수도 있다. 범프에 의해 야기되는 상부면(421)과 기판 간의 최소 갭은, 피데스털(401)이 하강 위치로 이동할 때 둘 간의 큰 갭과는 달리, 기판과 플래튼 간 전도성 열 전달에 실질적으로 영향을 미치지 않을 것이다.
도 4B에 도시되는 바와 같이, 플래튼(403)은 챔버에 부착된 펙이나 위에서 설명한 웨이퍼 전달용 내부 로봇의 핑거들을 수용하기 위한 그루브(425)들을 가진 다. 핑거들(또는 펙들)은 피데스털(401)이 하강 위치에 있을 때 기판을 지지한다. 도시되는 실시예에서, 네개의 그루브(425)들이 도시되지만 본 발명은 이에 제한되지 않는다.
도 4C, 4D, 4E는 볼트 및 리테이너 어셈블리(431)를 통해 플래튼(403)에 플랜지(411)가 볼트연결되는 실시예를 제시한다. 이러한 설계는 볼트나 나사가 온도 변화 중 느슨해지는 경향이 있다는 문제점을 감소시킨다. 예를 들어, 온도가 섭씨 100도 이상으로 상승할 때, 일부 사례에서 섭씨 250도로 상승할 때, 볼트나 나사가 느슨해지는 경향이 있다. 더우기, 플래튼(403)의 진동으로 인해 볼트나 나사가 느슨해질 수 있다. 도 4D에 제시된 설계에서, 볼트(435)와 플랜지(411) 사이에 리테이너(433)가 배치된다.
도 4F는 일실시예에 따른 리테이너(433)를 도시한다. 리테이너(433)는 윙이라고도 불리는 한개 이상의 리프(leaf)(451, 452)들을 가진다. 리테이너(433)는 리프를 규정하면서 리프를 휘게 만드는 한개 이상의 컷(cut: 453, 454, 455)들을 또한 가질 수 있다. 예를 들어, 컷(453, 454)은 리테이너(433)의 플랫한 부분(456)에 대해 리프(3451, 452)를 휘게하는 것을 도울 수 있다. 이와 동시에, 모두 세개의 컷(453, 454, 455)들이 리프(451, 452)에 의해 규정되면 평면에 대해 리프(451, 452)를 휘게하는 것을 도울 수 있다. 휘어진 형태에서, 볼트가 조여질 때 리프(451, 452)는 볼트의 상부 다각형 단부(도 4D와 4E의 소자(435))와 결합한다. 조여진 볼트는, 리프(451, 452)에 가장 가까운 다각형 단부 면이 리프(451, 452)에 의해 규정되는 평면에 평행하지 않도록, 배향될 수 있다. 이 경우에, 리테이너의 두 리프(451, 452)가 서로에 대해 구부러져서, 볼트의 면과 리프(451, 452) 간에 간격이 매우 작거나 아예 없게 된다. 일반적으로, 리프(451, 452)와 볼트 면 간의 접촉은 피데스털 조립 및 유지 중에 보장된다.
도 4C, 4D, 4E로 되돌아가서, 리프들은 차후 가열 중 볼트(435) 헤드의 움직임 범위를 제한한다. 볼트(435)는 플랜지(411)와 플래튼(403) 사이에 배치된 슬리브(437)를 통과한다. 대안의 실시예에서는 스프링 워셔나 금속 스트링을 이용하여 이들을 풀림으로부터 방지하도록 하는 방향으로 함께 조이게 된다.
볼트 헐거워짐을 처리하기 위한 대안의 실시예들은 적절한 열팽창 계수를 가진 물질을 선택하는 것이다. 슬리브(437)와 볼트(435)가 서로 다른 열팽창 계수를 가질 때 이러한 헐거워짐이 유발된다. 예를 들어, 볼트 물질의 열팽창계수가 슬리브(437) 물질의 것보다 작을 때, 볼트(435)는 전체 피데스털 어셈블리 가열 중 슬리브(437)보다 느린 속도로 팽창할 것이다. 이는 상당한 인장 강도를 발생시켜서 볼트와 플래튼의 나선형 결합을 악화시키고 볼트(437)의 헐거워짐을 초래한다. 일부 관련 방식에서는 볼트 물질의 열팽창계수가 슬리브(437)의 것보다 높을 때, 나선형 결합이 피데스털 조립체의 가열 중 느슨해질 것이다. 나사는 느슨한 상태로 유지될 것이고, 피데스털 어셈블리가 뜨거운 상태로 유지될 때 더욱 느슨해질 것이다.
추가적인 장치 파라미터
플라즈마 발생
포토레지스트를 벗겨내는 데 플라즈마가 사용된다. 다양한 조성이 사용될 수 있다. 비활성 기체가 산화제와 함께 사용된다. 산화제로는 산소, 카본다이옥사이드, 카본모노옥사이드, 카본테트라-풀로라이드, 그리고 비활성 기체(가령, 아르곤, 헬륨, 또는 질소) 등이 있다. 일부 실시예에서는 수소가 플라즈마에 포함될 수 있다. RF 소스같은 다양한 플라즈마 소스에 의해 플라즈마가 발생될 수 있다. 플라즈마 챔버에 유입되는 기체의 유입점 상류나 하류에서 플라즈마가 생성될 수 있다. 전형적인 경우에, 기체는 플라즈마 소스의 하류에서 플라즈마에 유입되고, 기체를 반응 챔버로 향하게 하는 샤워헤드 상류에서 플라즈마에 유입된다.
본 발명의 방법 및 장치에 따라 사용되는 플라즈마 소스는 임의의 종류의 플라즈마 소스일 수 있다. 선호 실시예에서, RF 플라즈마 소스가 사용된다.
RF, DC, 마이크로웨이브, 등등과 같은 임의의 공지된 플라즈마 소스들이 본 발명에 따라 사용될 수 있다. 선호 실시예에서, 다운스트림 RF 플라즈마 소스가 사용된다. 통상적으로, 300mm 웨이퍼에 대한 RF 플라즈마 파워는 300 와트 내지 10 킬로와트 사이의 범위를 가진다. 선호 실시예에서, RF 플라즈마 파워는 3000 와트 내지 6000 와트 범위를 가진다.
샤워헤드 어셈블리
일부 실시예에서는 샤워헤드 어셈블리를 이용한다. 샤워헤드 어셈블리는 공정 챔버 내로의 일부 이온의 흐름에 영향을 미치는 인가 전압을 가질 수 있다. 어셈블리는 샤워헤드 그 자체로서, 플라즈마와 비활성 기체 믹스처를 반응 챔버 내로 향하게 하는 구멍들을 가진 플레이트일 수 있다. 샤워헤드는 비교적 넓은 면적에서 플라즈마 소스로부터 활성 수소를 재분포시켜서, 더 작은 플라즈마 소스들을 사용 할 수 있게 한다. 샤워헤드 구멍의 수와 배열은 스트립 속도와 스트립 속도 균일성을 최적화시키도록 설정될 수 있다. 구멍이 적을수록 균일성이 개선되지만, 플라즈마 이온 및 전자의 재조합을 증가시켜서 스트립 속도 저하로 나타나게 된다. 플라즈마 소스가 웨이퍼 상 중앙에 위치할 경우, 외부 영역을 향해 활성 기체들을 밀어내기 위해, 샤워헤드 구멍이 샤워헤드 중심에서 적을 수 있고 작을 수 있다. 특정 실시예에서, 샤워헤드는 100개 이상의 구멍을 가진다. 샤워헤드 어셈블리가 없는 실시예에서는 플라즈마가 프로세스 챔버에 바로 들어간다.
프로세스 챔버
프로세스 챔버는 임의의 적절한 반응 챔버일 수 있다. 이는 멀티 챔버 장치의 한개의 챔버일 수도 있고, 단일 챔버 장치일 수도 있다. 상술한 바와 같이, 챔버는 여러 종류의 웨이퍼들이 동시에 처리될 수 있는 멀티스테이션들을 포함할 수도 있다. 프로세스 챔버는 에칭이 이루어지는 동일한 챔버일 수도 있고, 에칭이 이루어지는 별개의 챔버일 수도 있다. 프로세스 챔버 압력은 가령, 300 밀리토르 내지 2 토르 범위일 수 있고, 일부 실시예에서는 압력이 0.9 토르 내지 1.1 토르 범위를 가진다.
소재( Workpiece )
선호 실시예에서, 본 발명의 방법 및 장치에 사용되는 소재는 반도체 웨이퍼다. 임의의 크기를 가진 웨이퍼가 사용될 수 있다. 가장 현대적인 웨이퍼 제작 설비는 200mm 또는 300mm 웨이퍼를 사용한다. 프로세스 조건들은 웨이퍼 크기에 따라 가변적이다. 특히 선호되는 실시예에서, 소재는 단일 또는 듀얼 다마신 장치를 포 함한다.
본 발명의 실시예에서, 플라즈마를 소재 표면에 도포하는 중에 특정 온도로 소재를 유지시키는 것이 바람직하다. 일부 실시예에서, 웨이퍼 온도는 섭씨 220도 내지 300도 범위에 있다.
일부 실시예에서, 소재의 표면은 저유전율 물질이나 Back End of Line(BEOL) 프로세싱에 사용되는 그외 다른 물질을 포함한다. 일부 실시예에서, 소재의 표면은 Front End of Line (FEOL) 프로세싱에서 일반적으로 사용되는 실리콘(단결정 또는 다결정 실리콘)을 포함한다.
본 발명에 따른 소재로부터 물질을 제거함에 있어, 프로세싱 스테이션의 플래튼이 하강 위치에 놓여 갭을 형성하고 기판이 플래튼과 접촉하는 것을 방지하게 된다. 이 갭은 기판이 플래튼과 접촉하는 것을 방지한다. 일반적으로, 수용가능한 열 전달을 제공할 수 있는 어떤 지정된 갭이 사용될 수 있다.
일부 실시예에서, 갭은 폐루프 방식으로 기판 온도를 제어하기 위해 동작 중에 갭이 변화할 수 있다. 예를 들어, 폐루프 온도 제어가 시작되는 갭에 해당하는, 지정된 초기 갭이 존재할 수 있다. 지정된 초기 갭은 웨이퍼 일체성을 유지하는 데 필요한 최소 갭이거나 이에 가까운 값이다. 즉, 피데스털에 의한 웨이퍼 오염이나 왜곡을 방지하는 데 필요한 최소 갭이거나 이에 가까운 값이다. 일부 실시예에서, 지정된 초기 갭은 웨이퍼 왜곡 임계 갭이고, 최소 갭에서는 웨이퍼가 열 왜곡을 일으키지 않는다. 대기 질소 환경에서, 이 임계 갭은 섭씨 400도 피데스털의 경우 0.05 인치에 해당한다. 일반적으로, 지정된 초기 갭은 이 임계 갭 이상이다. 온도 는 피데스털 온도를 조정하거나 조정하지 않으면서 웨이퍼-피데스털 갭을 변화시킴으로서 유지될 수 있다. 요망 온도 유지 이전에 이 동작에서 요망 값으로 온도가 조정된다. 일부 실시예에서, 로직 장치 제어부가 서보 모터로 하여금 웨이퍼 온도 신호를 입력으로 이용하여 규정된 모션을 설정하도록 지시할 수 있다. 이 장치는 안정하고 정확한 제어를 위해 PID 같은 알고리즘을 이용할 수 있다.
서모미터 장비로부터의 신호가 컨트롤러로 전송된다. 컨트롤러는 이 신호들을 모터에 전송하여 요망 최종 온도를 얻고 유지하기 위해 요구되는 대로 웨이퍼로부터 가깝게 또는 멀리 피데스털을 이동시킨다. 수용가능한 갭의 범위는 장비가 얻을 수 있는 최대 수용가능 거리(가령, 피데스털 다운 위치)에 의해, 그리고 웨이퍼 일체성을 보장하는 최소 갭에 의해 제한되는 것이 일반적이다. 일부 실시예에서, 최소 갭은 웨이퍼 왜곡 임계 갭이다. 또다른 실시예에서, 지정 초기 갭에서 예열 스테이션에 웨이퍼가 제공될 수 있다. 이 초기 갭에서 피드백 제어가 시작된다. 이는 웨이퍼가 요망 최종 온도 근처에 도달할 때까지 이 작은 갭에 머무를 것임을 의미한다. 이러한 초기 접근 파라미터들은 지정된 초기 갭과 설정 속도 또는 설정 가속도를 포함하는 것이 일반적이다. 피드백 제어 스테이지 파라미터들은 최대 속도, 최대 가속도, 그리고 지정된 최소 갭을 포함한다. 지정된 초기 갭은 각 종류의 웨이퍼/요망 온도/피데스털 온도에 대해 실험적으로 또는 연산에 의해 결정될 수 있다. 열적 왜곡 임계 갭의 것보다 큰 거리로 지정된 초기 갭을 제한함에 추가하여, 이 갭은 웨이퍼 전체에서 웨이퍼-피데스털 갭에서의 어떤 변화(가령, 피데스털 표면의 변화)도 이 갭에 비해 무의미하도록 충분히 커야만 한다. 일부 실시예에서, 이러한 초기 갭은 피드백 제어 스테이지 중 최소 갭이 되도록 설정될 수도 있다. 일부 실시예에서, 피드백 제어 스테이지 중 사용되는 최소 갭은 지정된 초기 갭과 다르다. 예를 들어, 열적 왜곡 임계 갭이 웨이퍼와 피데스털 간의 온도 차이에 따라 좌우되며, 동도 차이가 작아질수록 작아지기 때문에, 이러한 최소 갭은 지정된 초기 갭보다 작을 수 있다.
앞서 설명한 초기 접근법 스테이지는 피드백 제어 스테이지 이전의 스테이지의 한가지 예에 불과하다. 예를 들어, 초기 접근법 스테이지는 두개 이상의 스테이지로 나누어질 수 있고, 각기 다른 갭, 접근 속도 등을 가질 수 있다. 상술한 바와 같이 일부 실시예에서는, 어떤 초기 접근도 존재하지 않을 수 있고, 웨이퍼를 스테이션에 이동시킨 직후 피드백 제어 스테이지가 시작될 수 있다.
이 장치의 각 스테이션은 서모커플과 컨트롤러를 포함할 수 있다. 서모커플은 웨이퍼 온도 감지를 위해 웨이퍼의 주변 가장자리 근처에 배치될 수 있다. 서모커플로부터의 출력 전압은 와이어 또는 그외 다른 연결을 통해 컨트롤러에 전달된다. 컨트롤러는 그후 서모커플로부터 수신한 신호에 따라 모터에 신호를 전송한다.
온도 측정은 서모커플, 피로미터(pyrometer), 에미소미터(emissometer) 등을 포함하는 임의의 적절한 장치에 의해 수행될 수 있다. 에미소미터는 웨이퍼로부터 나오는 적외선 복사량을 측정하는 기계다. 일반적으로, 웨이퍼를 손상시키거나 오염시키는 것을 방지하기 위해 비접촉 온도 측정 장치가 사용된다. 접촉식 장치가 사용될 경우, 상부면이 아닌 웨이퍼의 하부나 측부에 접촉이 이루어질 수 있다. 특정 실시예에서는 웨이퍼 옆에 흑체가 위치하고, 흑체 내의 서모커플이 온도를 모니 터링한다. 일부 실시예에서, 한개 이상의 서모커플이 웨이퍼 근처에 매달리거나 웨이퍼에 지지된다. 여러 다른 지점에 위치한 복수개의 서모커플이 추가적인 온도 정보 제공에 사용될 수 있다. 서모커플은 온도의 표시자인 디렉트 전압을 출력한다.
상술한 바와 같이, 온도 감지 장치는 웨이퍼 온도 정보를 컨트롤러에 전송한다. 이는 일반적으로 출력 전압의 형태로 전송이 이루어진다. 컨트롤러는 이 데이터를 분석하여, 웨이퍼-피데스털 갭을 변조시키고 온도로 요망 레벨로 유지시키도록 선형 모터에 지시한다. 일반적으로, 오버슛이 작은, 정확한 피드백 제어가 필요하다. 일부 실시예에서, 컨트롤러는 안정되고 정확한 제어를 위해 PID(Proprotional Integral Derivative) 알고리즘으로 프로그래밍된다. 일부 실시예에서, 피데스털과 웨이퍼 지지체를 이동시키는 데 사용되는 모터는 서보 제어 선형 액츄에이터 모터로서, 서모미터 장비로부터의 입력에 기초하여 규정된 모션을 위한 명령들을 수신한다. 이 모터는 갭 변화에 대한 PID 폐루프 알고리즘을 지원하기 위해 내장 로직 회로를 가질 수 있다. 상술한 바와 같이, 웨이퍼-피데스털 갭은 웨이퍼를 서로 보지하는 웨이퍼 지지체나 피데스털을 이동시킴으로서 변화할 수 있다. 일부 실시예에서, 갭 변화에 따라 둘 모두가 이동할 수 있다. 어떤 종류의 피데스털도 사용될 수 있다. 가령, 다양한 형태 및 크기를 가진, 오목형, 볼록형, 또는 평탄한 피데스털도 사용될 수 있다. 일반적으로 피데스털은 가열 요소를 포함하며, 온도 제어를 위해 서모커플을 가진다. 일부 실시예에서, 온도는 일정하며, 웨이퍼에 열이 전달되는 속도는 웨이퍼-피데스털 갭을 조정함으로서 주로 제어된다. 그러나 일부 실시예에서 피데스털 히터 파워를 바꿀 수도 있다.
멀티스테이션 프로세싱 챔버
상술한 바와 같이, 프로세싱 스테이션들 중 일부가 기판 온도 제어를 위해 기판과 플래튼 간의 이격을 위해 조정될 수 있다. 이러한 프로세싱 스테이션들에서, 플래튼 각각은 플래튼으로부터 기판까지 열 전달을 최소화시키기 위해 하강 위치에 놓일 수 있고, 또는 피데스털로부터 기판으로의 전도를 위해 상승 위치에 놓일 수 있다.
전형적인 일실시예에서, 기판은 복수의 스테이션 중 제 1 스테이션에서 소정의 온도로 예열된다. 기판은 그후 제 2 스테이션으로 전달되고, 여기서 기판은 제 2 스테이션의 플래튼 위에 위치하여 플래튼과 기판의 접촉을 방지하는 갭을 부가적으로 형성하게 된다.
기판이 제 2 스테이션에서 처리되면, 이 방법은 기판을 제 3 스테이션으로 이동시키는 단계를 또한 포함한다. 기판은 제 3 스테이션의 플래튼 상에 배치되어 기판의 제 3 스테이션의 플래튼과 접촉하는 것을 방지하기 위해 또다른 갭을 형성하게 된다. 기판은 그후 순차적으로 스테이션을 따라 이동하고, 반응 챔버 내의 나머지 프로세싱 스테이션 내의 공정을 수행하게 된다. 보 발명은 본 발명에 따라 수정된 Novellus Systems, Inc. 사의 Gamma 툴 상에서 구현될 수 있다. 구체적으로, 감마 툴의 피데스털 중 한개 이상이 상술한 바와 같이 저복사율 표면을 가지는 것으로 수정될 수 있다. Novellus Gamma 툴은 한개의 프로세싱 챔버 내에 최대 여섯개의 웨이퍼를 순차적으로 처리할 수 있고, 레지스트 스트립, 청정, 유전체 및 실리콘 에칭 용도로 사용된다. 그러나, 본 발명은 Novellus Gamma 플랫폼에 제한되지 않으며, 다른 스트립 또는 에칭 프로세스 툴 플랫폼에도 적용될 수 있다.
도 5A는 피데스털 샤프트에 연결하기 위한 모터형 리프트 메커니즘의 도면으로서, 포토레지스트 스트립 챔버에서 상승 위치와 하강 위치 간에서 피데스털을 상승 및 하강시키도록하는 메커니즘의 도면이다. 피데스털 샤프트는 슬롯(503)과 들어맞는다. 도 5B는 리프트 메커니즘과 피데스털의 조립체를 도시한다. 설치되었을 때, 피데스털과 리프트 메커니즘은 프로세싱 챔버의 하부 벽 위에 다리를 펼친 모양으로 형성된다.
여러 종류의 웨이퍼들의 처리
상술한 바와 같이, 동일한 스트리핑 챔버에서 차례로 여러 종류의 기판들이 처리도리 수 있다. 종류라 함은, 크러스트없는 포토레지스트를 가진 저저항 및 고저항 웨이퍼, 크러스트있는 포토레지스트를 가진 고저항 웨이퍼, 크러스트있는 포토레지스트를 가진 저저항 웨이퍼를 포함할 수 있다. 저복사율을 가진 플래튼 표면과, 기판 위치에 대해 제어가능한 위치를 가진 플래튼 표면의 조합은 플래튼 온도같은 다른 프로세싱 파라미터들을 변화시키지 않으면서 여러가지의 기판들을 처리할 수 있게 한다. 이에 따라, 높은 처리량을 얻을 수 있고 주어진 프로세스 장치에 대해 더 큰 활용도를 얻을 수 있다.
도 6은 가변적인 저항 및 포토레지스트 조건을 가진 복수의 기판으로부터 포토레지스트를 벗겨내는 방법에 관한 순서도다. 이 프로세스는 제 1 위치에 놓인 피데스털 위에 제 1 기판을 배치함으로서 시작된다(단계 602). 일반적으로, 멀티스테이션 챔버의 임의의 피데스털이 이 프로세스에 의해 표현될 수 있다. 구체적으로, 여럭가지 종류의 기판들을 처리하기 위해 여러 다른 기판 온도가 요구되는 경우의 피데스털들이 고려된다. 예를 들어, 도 2에 도시된 스테이션(212, 213)의 피데스털들이 벌크 스트리핑 및 HDIS 스트리핑 간의 스위칭시 그 위치를 변화시킬 필요가 있다. 벌크 스트리핑은 초기 스트리핑 스테이지들(스테이션(212, 213)) 중 고온을 필요로하고, 단계 602에서의 피데스털의 제 1 위치는 상승 위치에 해당한다. 이와는 반대로, HDIS 스트리핑은 (가령, 크러스트 제거를 위한) 초기 스트리핑 스테이지 중 저온을 필요로하고, 단계 602 중 피데스털 위치는 하강 위치에 대응할 것이다. 제 1 위치는 조작자의 입력에 기초하는 방식처럼 외부적으로 선택될 수도 있고, 센서의 응답에 기초하는 방식처럼 내부적으로 선택될 수도 있고, 이 두가지의 조합 형태를 취할 수도 있다.
프로세스는 그후 제 1 기판으로부터 포토레지스트 전부 또는 일부를 제거하는 과정을 진행한다(단계 604). 이때 피데스털은 제 1 위치에 유지된다. 이에 대한 대안으로, 피데스털이 단계 604 중조정되어 좀 더 정밀한 온도 제어를 구현할 수 있다. 예를 들어, 기판의 온도를 모니터링하기 위해 서모커플이 사용될 수 있다. 피데스털 위치는 서모커플로부터 수신한 신호에 따라 조정된다.
다음 동작에서, 피데스털로부터 제 1 기판이 이동하며(단계 606), 다음 기판이 피데스털에 위치한다(단계 608). 이 방법은 새 기판이 앞서 처리한 기판과 다른 저항을 가지는지, 또는, 처리과정 중 서로 다른 온도에 놓일 필요가 있는지를 결정하는 과정을 계속한다(단계 610). 그외 다른 프로세스 파라미터 및 기판 특성도 이 단게에서 고려될 수 있다. 예를 들어, 단계 610에서는 피데스털이 또다른 위치로 하강 또는 상승되었음을 결정할 수 있다. 이 경우에 프로세스는 피데스털이 재위치설정되는 단계 612로 진행할 수 있고, 그후 포토레지스트 제거 단계 614로 진행한다. 이에 대한 대안으로, 어떤 피데스털 재배치도 요구되지 않음을 표시할 수 있다. 가령, 새 웨이퍼가 앞서 처리된 웨이퍼와 동종의 것인 경우에 해당한다. 이 경우에 프로세스는 단계 614로 바로 진행한다.
단계 614 중, 포토레지스트 일부 또는 전부가 기판으로부터 제거된다. 단계 604 와 유사하게, 피데스털은 전체 단계 614 중 동일 위치에 유지될 수 있고, 또는 더 우수한 온도 제어를 위해 위치를 변경할 수 있다. 처리되는 기판의 종류에 따라 단계 614에서의 다른 프로세스 조건들(가령, 플라즈마 조성, 플라즈마 에너지)이 조정되거나 제어될 수 있다.
새 기판이 처리되면, 단계 616에서 새 기판이 피데스털로부터 제거되며, 이 피드스털에서 처리가 필요한 또다른 웨이퍼가 존재하는 지를 프로세스가 질의한다(단계 618). 또다른 웨이퍼가 존재할 경우, 단계 608-618이 반복된다. 일부 실시예에서, PLAD(Plasma Assisted Doping) 프로세스과 관련된 스트리핑 처리에 본 발명의 스트리핑 장치가 사용될 수 있다. 이러한 프로세스에는 통상적으로 보론, 비소, 포스포러스같은 매우 높은 농도의 도펀트를 제공한다(가령, 1 x 1016 cm-2 이상). 크러스트에 트래핑된 도펀트들이 산화된 포토레지스트 물질에 비해 휘발성이 적기 때문에, 고농도에서는 크러스트를 제거하기가 훨씬 어렵다. 가끔은 플루오르를 함유한 화합물이 플라즈마에 첨가되어 제거 프로세스를 돕는다. 또다른 예에서는 기판 이 산소와 형성 기체로부터 형성된 제 1 플라즈마에 노출된다. 형성 기체는 수소를 포함할 수 있다. 수소의 농도는 0.5 내지 10 몰퍼센트, 특히, 4 내지 6 몰퍼센트, 심지어 5 몰퍼센트가 선호된다. 이 방법은 제 1 플라즈마에 산소 및 형성 기체를 이용하여 기판에 얇은 옥사이드를 형성하는 단계를 또한 포함할 수 있다. 옥사이드는 기판이 플루오르 라디칼에 노출될 때 실리콘의 손실을 최소화시키거나 방지하도록 충분히 두꺼울 수 있다. 예를 들어, 옥사이드는 0 내지 5 나노미터 사이의 두께를 가질 수 있고, 구체적으로는 0 내지 2 나노미터의 두께를 가질 수 있다.
제 1 플라즈마의 형성 기체는 포토레지스트의 크러스트 감소를 위한 감소제로 기능한다. 특히, 수소는 아래의 메커니즘을 통해 보론 옥사이드를 휘발성이 큰 종으로 효과적으로 감소시킨다.
B2O3 + H+ -> BXHY + OZ
이러한 휘발성 종들은 감소되지 않은 크러스트에 비해 반도체 기판으로부터 손쉽게 제거될 수 있다. 발명의 일실시예에서는 제 1 플라즈마의 산소:형성 기체 비가 0:1 내지 1:0에 달한다. 선호 실시예에서, 제 1 플라즈마의 산소:형성 기체 비는 19: 1 내지 1:19에 달한다. 더욱 선호되는 실시예에서, 제 1 플라즈마의 산소:형성 기체 비는 4:1이다. 포토레지스트의 일부분을 제거하여 기판에 옥사이드층을 형성하기에 충분한 시간동안 반도체 기판이 제 1 플라즈마에 노출된 후, 기판은 제 2 플라즈마에 노출된다. 일례의 실시예에서, 제 2 플라즈마는 산소, 형성 기체나 비활성 희석제(가령, 질소나 헬륨), 그리고, 플루오르 라디칼의 소스로 작용하 는 기체를 플루오르 함유 기체로부터 형성된다. 플루오르 함유 기체는 나이트로젠 트리플로라이드(NF3), 설퍼 헥사플로라이드(SF6), 헥사플로로에탄(C2F6), 테트라플로로메탄(CF4), 트리플로로메탄(CHF3), 디플로로메칸(CH2F2), 옥토플로로프로판(C3F8), 옥토플로로사이클로부탄(C4F8), 옥토플로로[1-]부탄(C4F8), 옥토플로로[2-]부탄(C4F8), 옥토플로로이소부틸렌(C4F8), 플로린(F2), 등등이 될 수 있다. 일실시예에 따르면, 제 2 플라즈마는 산소, 형성 기체나 질소, 그리고 CF4로부터 형성된다. 일부 실시예에서, 제 2 플라즈마는 10 내지 100%의 산소, 0 내지 50%의 형성 기체나 질소, 0 내지 20%의 CF4로부터 형성된다. 선호 실시예에서, 제 2 플라즈마는 16 : 2 : 0.05 의 산소 : 형성 기체나 질소 : CF4 비로부터 형성된다. 형성 기체는 플루오르 라디칼과 수소가 결합하기 때문에 실리콘 손실을 좀 더 정확하게 제어할 수 있게 한다. 제 2 플라즈마는 포토레지스트 잔류물을 제거하고, 훨씬 느린 속도로 얇은 옥사이드층을 제거한다. 이와 동시에, 제 2 플라즈마 프로세스 중 소모되는 실리콘을 최소화시킨다.
일실시예에 따르면, 반도체 기판이 제 2 플라즈마에 노출되는 동안 섭씨 16도 내지 300도의 온도 범위로 유지되거나 가열되다. 반도체 기판이 제 2 플라즈마에 노출되는 시간은, 제 1 플라즈마 프로세스 이후 포토레지스트 잔류물의 두께의 함수에 해당한다. 반도체는 1밀리토르 내지 1 기압의 압력으로 유지되며, 선호되는 압력 범위는 0.1 토르 내지 10 토르다. 제 1 플라즈마에 노출시키는 것과 제 2 플 라즈마에 노출시키는 것은 구분된 두개의 단계로 수행되며, 그 사이에 퍼지 단계가 수행될 수 있다. 또는, 한개의 연속적인 플라즈마 흐름 단계로 수행될 수도 있다. 이 경우에는 연속적인 플라즈마 흐름의 조성제 1 플라즈마의 조성으로부터 제 2 플라즈마의 조성으로 변화한다.
결론
본 발명의 프로세스, 시스템, 장치를 구현하는 데는 본 명세서에 기재된 방식 외에도 여러가지 대안의 방식들이 존재한다.
도 1A와 1B는 본 발명의 일실시예에 따른, 그리고, 본 발명의 방법의 구현에 적합한 장치의 개략적 도면.
도 2는 본 발명의 스트리핑 프로세스에 따른 멀티스테이션 스트리핑 장치의 개략도.
도 3은 본 발명의 스트리핑 프로세스에 따라 사용될 수 있는 멀티챔버 스트리핑 장치의 개략도.
도 4A-4C는 본 발명의 일실시예에 따른 피데스털 설계의 다양한 특징들의 사시도.
도 4D와 4E는 고온 동작을 위해 설계된 플래튼에 플랜지를 고정시키는 데 사용될 수 있는 볼트 및 리테이너 어셈블리의 도면.
도 4F는 고온 동작용으로 설계된 피데스털에 사용되는 리테이너의 도면.
도 5A와 5B는 피데스털 샤프트에 연결하기 위한 리프트 메커니즘의 사시도로서, 포토레지스트 스트립 챔버 내의 상승 위치 및 하강 위치 사이에서 피데스털을 상승 및 하강시키는 과정에 대한 사시도.
도 6은 저항과 포토레지스트 조건을 달리하면서 복수의 기판으로부터 포토레지스트를 스트리핑하는 방법의 프로세스 순서도.

Claims (18)

  1. 기판으로부터 포토레지스트를 스트리핑하기 위한 장치에서 기판을 지지하는 피데스털(pedestal)에 있어서, 상기 피데스털은,
    a) 0.3 이하의 복사율을 가진 기판에 면하는 표면을 가진 플래튼(platen),
    b) 플래튼에 구성된 가열 요소, 그리고,
    c) 플래튼에 연결되어 액츄에이터와 결합하기 위한 특징부를 가진 샤프트로서, 상기 장치 내에서 피데스털을 하강 위치와 상승 위치 사이에서 이동시킬 수 있게 하는 샤프트
    를 포함하는 것을 특징으로 하는 피데스털.
  2. 제 1 항에 있어서, 상기 플래튼의 기판에 면하는 표면은 기판 지지를 위한 하개 이상의 범프를 포함하는 것을 특징으로 하는 피데스털.
  3. 제 2 항에 있어서, 상기 범프의 높이 범위가 0 내지 0.010 인치인 것을 특징으로 하는 피데스털.
  4. 제 1 항에 있어서, 기판을 면하는 플래튼의 표면이 0.1 내지 0.2의 복사율을 가지는 것을 특징으로 하는 피데스털.
  5. 제 1 항에 있어서, 가열 요소는 저항성 가열 요소를 포함하는 것을 특징으로 하는 피데스털.
  6. 제 1 항에 있어서, 상기 샤프트에는 한개 이상의 제어 라인들이 제공되는 것을 특징으로 하는 피데스털.
  7. 제 1 항에 있어서, 플래튼은 알루미늄으로 만들어지고, 12 내지 13인치의 직경을 가지며, 샤프트는 6 내지 9인치의 길이를 가지는 것을 특징으로 하는 피데스털.
  8. 기판으로부터 포토레지스트를 스트리핑하는 장치에 있어서, 상기 장치는,
    a) 진공 라인에 연결하기 위한 연결부를 지닌 챔버,
    b) 기판으로부터 포토레지스트를 제거하기 위해 플라즈마를 생성하는 플라즈마 소스,
    c) 스트리핑 중 기판을 가열시키기 위한 피데스털로서, 상기 피데스털은 0.3 이하의 복사율을 가진 기판에 면하는 표면을 가진 플래튼과, 상기 플래튼에 연결되어 챔버의 벽과 결합된 샤프트를 포함하는 것을 특징으로 하는 상기 피데스털, 그리고
    d) 챔버 내 상승 위치 및 하강 위치 사이에서 플래튼을 이동시키기 위한 액츄에이터
    를 포함하는 것을 특징으로 하는 포토레지스트 스트리핑 장치.
  9. 제 8 항에 있어서, 플라즈마와 비활성 기체를 챔버 내로 향하게 하는 샤워헤드를 추가로 포함하는 것을 특징으로 하는 포토레지스트 스트리핑 장치.
  10. 제 8 항에 있어서, 플라즈마 소스는 플라즈마 발생을 위해 RF 코일을 포함하는 것을 특징으로 하는 포토레지스트 스트리핑 장치.
  11. 제 8 항에 있어서, 기판은 부분적으로 제작된 집적 회로 상에 유전층을 포함하는 것을 특징으로 하는 포토레지스트 스트리핑 장치.
  12. 제 8 항에 있어서, 플래튼이 하강 위치에 있을 때 기판을 지지하기 위한 기판 지지 메커니즘을 추가로 포함하는 것을 특징으로 하는 포토레지스트 스트리핑 장치.
  13. 제 8 항에 있어서, 플래튼이 하강 위치에 있을 때, 기판과 기판에 면하는 플래튼 표면 간의 갭이 평균적으로 0.001 인치 내지 3인치 범위에 있는 것을 특징으로 하는 포토레지스트 스트리핑 장치.
  14. 제 8 항에 있어서, 기판은 300mm 반도체 웨이퍼인 것을 특징으로 하는 포토 레지스트 스트리핑 장치.
  15. 제 8 항에 있어서, 스트리핑 중 기판의 온도는 섭씨 100도 내지 300도인 것을 특징으로 하는 포토레지스트 스트리핑 장치.
  16. 제 8 항에 있어서, 스트리핑 중 챔버 내의 압력은 300 밀리토르 내지 2 토르인 것을 특징으로 하는 포토레지스트 스트리핑 장치.
  17. 제 8 항에 있어서, 상기 장치는 샤프트 둘레로 구성되어 볼트와 리테이너에 의해 플래튼에 볼트연결되는 플랜지를 추가로 포함하고, 상기 리테이너는 볼트의 움직임을 제한하기 위해 볼트의 다각형 표면과 결합하는 리프를 가지는 것을 특징으로 하는 포토레지스트 스트리핑 장치.
  18. 가변적인 저항 및 포토레지스트 조건들을 가진 복수의 기판들로부터 포토레지스트를 스트리핑하는 방법에 있어서, 상기 방법은,
    a) 스트리핑 챔버 내에서 제 1 위치에 놓인 피데스털 위에 제 1 기판을 배치하는 단계로서, 이때, 기판에 면하는 피데스털의 표면의 복사율이 0 내지 0.3인 것을 특징으로 하는 단계,
    b) 피데스털이 제 1 위치에 있을 때 제 1 기판으로부터 포토레지스트 전부 또는 일부를 제거하는 단계,
    c) 피데스털로부터 벗어나도록 제 1 기판을 이동시키는 단계,
    d) 스트리핑 챔버 내에서 제 2 위치에 놓인 피데스털 위에 제 2 기판을 배치하는 단계,
    e) 피데스털이 제 2 위치에 있을 때 제 2 기판으로부터 포토레지스트 전부 또는 일부를 제거하는 단계
    를 포함하는 것을 특징으로 하는 포토레지스트 스트리핑 방법.
KR1020090039399A 2008-05-06 2009-05-06 포토레지스트 스트리핑 방법 및 장치 KR20090116649A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US5088008P 2008-05-06 2008-05-06
US61/050,880 2008-05-06

Publications (1)

Publication Number Publication Date
KR20090116649A true KR20090116649A (ko) 2009-11-11

Family

ID=41265879

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090039399A KR20090116649A (ko) 2008-05-06 2009-05-06 포토레지스트 스트리핑 방법 및 장치

Country Status (3)

Country Link
US (1) US20090277472A1 (ko)
KR (1) KR20090116649A (ko)
TW (1) TWI472882B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101275336B1 (ko) * 2011-04-13 2013-06-17 노벨러스 시스템즈, 인코포레이티드 페데스탈 커버
KR20150126295A (ko) * 2014-05-02 2015-11-11 램 리써치 코포레이션 이온 주입된 레지스트 제거를 향상시키기 위한 플라즈마 건식 스트립 전처리
KR20220054271A (ko) * 2014-02-24 2022-05-02 램 리써치 코포레이션 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR20110097023A (ko) * 2010-02-24 2011-08-31 엘에스전선 주식회사 알루미늄계 단열관을 구비한 초전도 케이블
US9613825B2 (en) * 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
TWI783910B (zh) * 2016-01-15 2022-11-21 荷蘭商庫力克及索發荷蘭公司 放置超小或超薄之離散組件
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11177048B2 (en) * 2019-11-20 2021-11-16 Applied Materials Israel Ltd. Method and system for evaluating objects
CN113180254B (zh) * 2021-04-28 2022-06-21 广西壮族自治区亚热带作物研究所(广西亚热带农产品加工研究所) 一种澳洲坚果小型加工装置及其使用方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4615755A (en) * 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
US5562947A (en) * 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6320736B1 (en) * 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6561796B1 (en) * 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
JP4540796B2 (ja) * 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
DE10043234A1 (de) * 2000-09-02 2002-03-14 Stihl Maschf Andreas Ventiltrieb mit einem Kipphebel
US6544340B2 (en) * 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
AU2002240261A1 (en) * 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6563686B2 (en) * 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
US20020179006A1 (en) * 2001-04-20 2002-12-05 Memc Electronic Materials, Inc. Method for the preparation of a semiconductor substrate with a non-uniform distribution of stabilized oxygen precipitates
JP3825277B2 (ja) * 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
JP4821074B2 (ja) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP4133062B2 (ja) * 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 熱処理装置
CN100437894C (zh) * 2002-09-10 2008-11-26 亚舍立技术有限公司 利用固定温度的卡盘以可变温度的工艺加热衬底的方法
US6768084B2 (en) * 2002-09-30 2004-07-27 Axcelis Technologies, Inc. Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US6887523B2 (en) * 2002-12-20 2005-05-03 Sharp Laboratories Of America, Inc. Method for metal oxide thin film deposition via MOCVD
JP4212888B2 (ja) * 2002-12-26 2009-01-21 三菱化学エンジニアリング株式会社 プレート型触媒反応器
US7154731B1 (en) * 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
JP4376070B2 (ja) * 2004-01-14 2009-12-02 日本碍子株式会社 加熱装置
DE102004055449B4 (de) * 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
TW200723352A (en) * 2004-12-06 2007-06-16 Axcelis Tech Inc Medium pressure plasma system for removal of surface layers without substrate loss
KR100702010B1 (ko) * 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7194199B2 (en) * 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
US20070029046A1 (en) * 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
US7956310B2 (en) * 2005-09-30 2011-06-07 Tokyo Electron Limited Stage, substrate processing apparatus, plasma processing apparatus, control method for stage, control method for plasma processing apparatus, and storage media
US20070283709A1 (en) * 2006-06-09 2007-12-13 Veeco Instruments Inc. Apparatus and methods for managing the temperature of a substrate in a high vacuum processing system
US20080102644A1 (en) * 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US7960297B1 (en) * 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7870804B2 (en) * 2006-12-08 2011-01-18 GM Global Technologies Operations LLC Multi-speed dual clutch transmission
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
JP5135915B2 (ja) * 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US8052419B1 (en) * 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8047706B2 (en) * 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
US8288288B1 (en) * 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7981763B1 (en) * 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8033771B1 (en) * 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
KR20140119726A (ko) * 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101275336B1 (ko) * 2011-04-13 2013-06-17 노벨러스 시스템즈, 인코포레이티드 페데스탈 커버
KR20220054271A (ko) * 2014-02-24 2022-05-02 램 리써치 코포레이션 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴
KR20150126295A (ko) * 2014-05-02 2015-11-11 램 리써치 코포레이션 이온 주입된 레지스트 제거를 향상시키기 위한 플라즈마 건식 스트립 전처리

Also Published As

Publication number Publication date
US20090277472A1 (en) 2009-11-12
TWI472882B (zh) 2015-02-11
TW200951648A (en) 2009-12-16

Similar Documents

Publication Publication Date Title
KR20090116649A (ko) 포토레지스트 스트리핑 방법 및 장치
KR101275336B1 (ko) 페데스탈 커버
US6318384B1 (en) Self cleaning method of forming deep trenches in silicon substrates
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
JP4364320B2 (ja) 誘電酸化物層及び反射防止膜のインサイチュ堆積方法
JP5014985B2 (ja) 基材を処理するためのプロセス加工システムおよび方法
JP5028536B2 (ja) 半導体処理のためのリッドアセンブリ
US7718032B2 (en) Dry non-plasma treatment system and method of using
EP1918979A2 (en) Etching oxide with high selectivity to titanium nitride
US6270634B1 (en) Method for plasma etching at a high etch rate
JP2016051900A (ja) 高アスペクト比構造におけるコンタクト洗浄
US20140069459A1 (en) Methods and apparatus for cleaning deposition chambers
KR20050010770A (ko) 제조 중인 반도체 기판의 가장자리영역 부근에 박막을형성시키는 방법 및 장치
US20030213561A1 (en) Atmospheric pressure plasma processing reactor
KR20220161467A (ko) 열적 에칭을 위한 신속하고 정확한 온도 제어
KR20060038925A (ko) 광역온도범위의 척 시스템
US20120108072A1 (en) Showerhead configurations for plasma reactors
US10727058B2 (en) Methods for forming and etching structures for patterning processes
KR20010032726A (ko) 현장 예비세정 단계를 포함하는 웨이퍼상의 티타늄화학기상증착
CN101133682A (zh) 用于蚀刻具有受控制的制程结果分配的方法
JP2007294990A (ja) 基板処理装置
WO2021126889A1 (en) Surface profiling and texturing of chamber components
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
KR20240032175A (ko) 금속-함유 포토레지스트의 재작업 (rework)
JP2002261156A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application