CN102230155A - 可分离式腔体 - Google Patents

可分离式腔体 Download PDF

Info

Publication number
CN102230155A
CN102230155A CN2011101631252A CN201110163125A CN102230155A CN 102230155 A CN102230155 A CN 102230155A CN 2011101631252 A CN2011101631252 A CN 2011101631252A CN 201110163125 A CN201110163125 A CN 201110163125A CN 102230155 A CN102230155 A CN 102230155A
Authority
CN
China
Prior art keywords
cavity
plate
spacer
substrate
vacuum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011101631252A
Other languages
English (en)
Other versions
CN102230155B (zh
Inventor
李在珠
栗田真一
约翰·M·怀特
苏希尔·安瓦尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102230155A publication Critical patent/CN102230155A/zh
Application granted granted Critical
Publication of CN102230155B publication Critical patent/CN102230155B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1313Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells specially adapted for a particular application
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

可分离式腔体,本发明的实施方式包括一腔体,该腔体的顶部或底部至少其中之一与腔体侧壁分离。本发明适于用作真空交换腔、基板传递腔和真空处理腔等。

Description

可分离式腔体
技术领域
本发明的实施方式主要涉及一种用于真空处理系统的腔体。
背景技术
由平板技术形成的薄膜晶体管(TFT)一般用于有源矩阵显示器,诸如计算机和电视监控器、移动电话显示器、个人数字助理(PDA)和数量不断增加的其他器件。一般地讲,平板包含两块玻璃板,其中在二者之间设置有液晶层。至少一块玻璃板包括设置在其上并与电源连接的一层导电膜。从电源供应到导电膜的能量改变液晶材料的方向,引起图像显示。
随着市场对平板技术的接受,对于大尺寸、高产量以及低成本的需求已经驱使设备制造厂商研发适应用于平板显示器制造的较大尺寸玻璃板的新系统。通常配置目前的玻璃处理设备使其容纳略微大于约五平方米的基板。人们预想在不久的将来可以设计用于适应更大基板尺寸的处理设备。
制造这类大面积基板的设备意味着向平板显示器件制造厂注入大量的投资。传统的系统需要巨大且昂贵的硬件。大尺寸的真空腔易于使基板在真空条件下变形。例如,由于真空腔的顶部和底部在真空条件下向内偏斜,与真空隔离阀门交界的真空腔体的密封表面可能变成非平面,导致真空隔离阀门与真空腔之间的密封易于泄露。而且,大偏斜可能导致真空隔离阀门的金属表面与腔体摩擦,从而产生可能被引入真空腔并污染基板的有害颗粒。由于预想未来的工艺系统可以处理更大尺寸的基板,所以对于能迅速传递大面积基板的改进型真空腔的需要受到很大的关注。
因此,对于改进型的腔室存在需求。
发明内容
本发明的实施方式包括一腔体,该腔体的顶部或底部至少其中之一与腔体侧壁分离。本发明适于用作真空交换腔、基板传递腔和真空处理腔等腔室。
在第一实施方式中,提供了一种具有与管状腔体分离的顶板和底板至少其中之一的真空腔。在一个实施方式中,真空腔包括在其中具有至少两个基板进入孔的管状体。顶板密封设置在腔体的上端表面上,而底板密封设置在腔体的下端表面上。多个紧固件在顶板和底板之间夹住腔体。
在另一实施方式中,提供一种真空腔室,其具有以允许至少顶板相对于腔体移动的方式连接到管状腔体的顶板和底板。在另一实施方式中,在顶板和腔体之间设置至少一个隔离物,从而以隔离关系保持腔体和顶板。
在再一实施方式中,提供一种真空腔,其包括连接到管状腔体的第一板。管状腔体具有经过其本身形成的至少一个基板进入孔。使用密封件以密封第一板和腔体。多个垂直层叠的隔离物设置在第一板和腔体之间,并以隔离关系保持第一板和腔体。第二板与腔体密封连接,其中腔体和板限定了一个足够容纳大面积基板的内部体积。在内部体积中设置基板支架。
附图说明
为了实现上所述的特征并能详细理解该特征,通过参照在附图中示出的本发明的实施方式对本发明进行更详细的描述以及简要总结。然而,应该注意,附图仅示出了本发明的典型实施方式,其不能理解为对本发明范围的限制,因为本发明可承认其他等效的实施方式。
图1所示为真空交换腔的一个实施方式的截面图;
图2所示为图1的真空交换腔的分解图;
图3所示为图1的真空交换腔的部分截面图;
图4所示为多腔集成设备的一个实施方式的平面图;
图5所示为多腔真空交换腔的一个实施方式的侧视截面图;
图6所示为图5的真空交换腔的局部截面图;
图7所示为图5的真空交换腔的另一截面图;以及
图8所示为图4的多腔集成设备的部分传递和工艺腔的截面图;
为了便于理解,尽可能采用相同的附图标记表示共用于示图的相似元件。可以考虑到在其他实施方式中方便地采用一个实施方式中的元件,而不需要进一步描述。
具体实施方式
提供了具有可分离的腔体组件的真空腔。腔体组件的部件通过允许腔体顶部和管状腔体的侧壁之间横向移动将施加到腔体顶部上的力与管状腔体的侧壁分离,这里施加给腔体顶部的压力或者其他力会导致热膨胀或偏斜。因此,术语分离定义了顶板或底板的至少其中之一相对于腔体侧壁横向移动而不会危及腔真空完整性的能力。这有利于在操作容限内保持腔体密封表面,并有助于在操作期间减少颗粒产生。尽管将主要实施方式描述为真空交换腔,但是人们可以预期可以将其他真空腔,例如,诸如基板传递、化学气相沉积、物理气相沉积、热处理、蚀刻、离子注入或其他真空腔构造成在此描述的结构。
图1描述了本发明的真空交换腔100的一个实施方式。真空交换腔100包括由诸如不锈钢、铝或其他适当材料的刚性材料制造的腔体组件102。通过一组部件制成具有不漏结构的腔体组件102。在一个实施方式中,腔体组件102包括将环形体148夹在中间以围绕内部体积120的顶板104和底板106。主体148包括侧壁108、110、112和114。在图2中示出了与第一侧壁112相对设置的第四侧壁114。
在每个侧壁112和114中都公开了至少一个基板进入孔116,以允许基板从腔体组件102的内部体积120进出。通过在本领域中众所周知的真空隔离阀门选择性地密封基板进入孔116。在由Tanase等人在2004年6月14日提交的题目是“曲形的真空隔离阀门(CURVED SLIT VALVE DOOR)”、美国专利申请号为No.10/867,100的专利中的描述的一个可以应用到本发明中的真空隔离阀门。
在一个实施方式中,将顶板104或底板106的至少一个构造为温度调节板。可以在平板104、106中形成一个或多个通道124,并且该通道124与流体源128连接。流体源128提供通过通道142循环以调节(即,加热和/或冷却)基板122的温度的热交换流体。
可选地,可以在真空交换腔100的内部体积120内设置一个或多个加热器166以选择性地加热基板122。在图1所描述的实施方式中,在底板106上设置多个加热器166,并且该加热器166独立连接到电源168上。位于基板122下部的加热器166的位置有助于在基板上部流动的气体中不产生扰动的情况下对基板进行有效辐射加热。这个构造允许独立控制每个加热器166,从而可以根据需要调节基板122的温度分布,例如,增加加热均匀性或基板的一个区域比第二区域加热地更快。在图1所描述的实施方式中,配置加热器166以允许通过不同于基板周围的速率加热基板122的中心位置。
基板支架结构118设置在由腔体组件102限定的内部体积120中。通常配置基板支架结构118以支撑通过真空交换腔100分离的周围环境和真空环境之间传递的一个或多个基板122。尽管在图1中所描述的基板支架结构118显示支撑单独的基板122,应该考虑到其他基板支撑结构适用于本发明,包括那些其上支撑一个或多个基板的支撑结构。
基板支架结构118包括多个销126。销126与腔体组件102的底板106连接。支撑基板112的销126的端部可以是圆形的,和/或包括球体以减小在基板112的底面和销126之间的动力摩擦,并防止基板擦伤。在图1所描述的实施方式中,球体172设置在每个销126的末梢部。由球体172提供的减小的摩擦力允许当基板支撑在销126上时较容易地扩大和缩小而不会擦伤基板。在2003年3月5日提交的专利号为6,528,767的美国专利、2001年10月27日提交的专利申请号为09/982,406以及2003年2月27日提交的专利申请号为60/376,857美国专利中描述了其他适用的支撑。通常配置销126以有助于基板与机械手交换。
压力控制系统150与真空交换腔100连接以控制腔体组件102的内部体积120内的压力。压力控制系统150一般包括气源152和排气系统154。气源152与通过腔体组件102形成的至少一个进气口160连接。气源152提供吹扫气体以增加和/或调节腔体组件102的内部体积120内部压力。例如,气源150可能将排出气流入到内部体积120内,以有助于基板122从真空环境到周围环境的传递。在一个实施方式中,吹扫气包括氮气、氦气、空气或其他适宜气体的至少一种。
在气源152和进气口160之间设置入口控制阀156,以选择性地控制流入到腔体组件102的内部体积120中的吹扫气流。入口控制阀156能提供在真空条件下的基本密封。在一个实施方式中,配置气源152以控制吹扫气体的属性,诸如吹扫气体的流速、温度和/或湿度。
在图1所描述的实施方式中,进气口160通过吹扫通道138与一个或多个扩散器140连接。在顶板102的内侧形成扩散器140,从而向基板122的顶部定向流入内部体积120的气体。该排列方式有助于当在处理基板122之后在吹扫真空交换腔100的同时冷却基板122。
在一个实施方式中,在顶板102的内表面上限定的凹部132内形成扩散器140。帽144覆盖凹部132以限定位于顶板102中的压力空间(plenum)142。连接孔136将压力空间142与排气通道138流体连接。穿过帽144形成多个隙缝176以允许吹扫气体从气源152通过压力空间142流到内部体积120,如箭头134所示。尽管这里主要希望采用扩散器140将吹扫气体定向到真空交换腔100,但是应该认识到可以采用扩散器140腔室100的内部体积120抽真空。
排气系统154一般与通过腔体组件102形成的至少一个排气口162连接。配置排气系统154以从真空交换腔100的内部体积120移除气体。排气系统154可以包括一个或多个真空泵(未示出),并且可以最后与简易排气系统(也未示出)连接。例如,排气系统154可以从内部体积120抽走气体,以便于从周围环境到真空环境传递基板122。
排气控制阀158设置在排气系统154和排气口162之间,以选择性地控制流出腔体组件102的内部体积120的气体流量。排气控制阀158通常与入口控制阀156类似,并且能在真空条件下提供基本密封。
在图1所描述的实施方式中,穿过侧壁110形成排气口162。因此,在吹扫内部体积120时和/或在冷却基板122期间,可以在基板122的整个表面上选择性形成吹扫气流(由气流箭头180所示)。气流180一般平行于基板122的平面,朝排气口162流动。气流180通常会增加了基板122和吹扫气体之间的热传递速度,有利于提高基板的冷却速度。而且,通过在吹扫真空交换腔100期间去除吹扫气体,从真空交换腔100的内部体积120去除由基板加热的吹扫气体,并由较冷的吹扫气体代替该加热气体,从而通过基本上保持吹扫气体和基板之间的温差增加基板的冷却速度。
另外参照在图2中的真空交换腔的分解视图,通过多个紧固件以允许顶板104和底板106的至少其中之一与主体148之间的相对移动的方式,将顶板104和底板106与体148密封连接。例如,不通过焊接的方式将顶板104和底板106的至少其中之一与主体148连接。在从板104、106施加到侧壁的力不是很大的关注问题的实施方式中,可以通过焊接方式连接顶板104和底板106以及体148。
在图1-2所描述的实施方式中,表示为螺钉182和螺母184的多个紧固件在顶板104和底板106之间固定主体148。为了清晰,将在图中示出的螺钉182的数量减少为最少。然而,应该考虑可以使用足够数量的紧固件,以在操作条件下充分地密封腔体100。分别穿过顶板104、主体148和底板106设置孔202、204、206以容纳紧固件。
在孔202、204、206内侧形成凹槽208,使得可以在紧固件的内部设置诸如衬垫或O-圈的密封件186,以有效地密封真空交换腔100的内部体积120。可以在顶板104内或者主体148中设置用于衬垫186的凹槽208。
另外参照在图3中描述的腔体组件102的局部截面图,在顶板104的下表面302和主体148的上表面304之间设置至少一个隔离物316。隔离物316隔离顶板104和腔体148,使得在二者之间限定间隙306。在一个实施方式中,隔离物316的平面面积远远小于腔体148的上表面304的平面面积。例如,可以沿腔体148的一侧在上表面304上设置多个隔离物316。
选择隔离物316的厚度从而在真空或其他压力条件下在防止顶板104与腔体148接触的同时充分压缩衬垫186以保持真空密封。类似地,在底板106和腔体148之间设置一个或多个隔离物316以保持在二者之间的间隙。
在图3所描述的实施方式中,示出了设置在顶板104和腔体148之间的第一隔离物312和第二隔离物314。隔离物312、314由具有在其自身(例如,隔离物312到隔离物314)之间的摩擦系数比隔离物与腔体148和/或顶板104之间的摩擦系数低的材料制造而成。因此,当由于真空、热和其他力导致腔体148和顶板104相对于彼此移动时,在防止顶板104和体148接触同时时,顶板104和第一隔离物312不会向第二隔离物314(和主体148)横向传输所述力。
在一个实施方式中,隔离物312、314是圆盘。圆盘可以是设置在螺钉182周围用于便于装配的垫圈。由于滑动元件(例如,隔离物312、314)相对于体148的上表面304具有的较小的接触面积,因此减小开始运动所需的力。另外,由于隔离物312、314的接触表面位于衬垫186的外侧,可以有效地防止在隔离物312、314滑动期间所产生的任何颗粒进入真空交换腔100的内部体积120。可以考虑到隔离物316可能是凸棱形或其他特征的形式,在平板和主体之间延伸以保持他们之间的间隙。还可以考虑隔离物与板或者主体结合(例如,具有整体构造)。
在图3所描述的实施方式中,在主体148的上表面304中形成凹部308以设置第二隔离物314。可选地,可以在顶板104中形成凹部(未示出)以设置第一隔离物312。凹部(未示出)308具有可选择的深度,使得隔离物314延伸到上表面304之外,以确保第一隔离物312不相对于主体148横向滑动。
为了进一步最小化施加在真空交换腔100的顶板104上的力的影响,在其中形成至少一个缝318。缝318在最小化顶板的边缘上的运动的影响的同时允许顶板104的中心区域移动、偏斜和/或膨胀。密封组件320设置在缝318中以防止空气泄露到真空交换腔100的内部体积120中。在图3所描述的实施方式中,密封组件320包括衬垫或通过夹块324加持到顶板104的波纹管322。类似地,如上所述,底板106包括至少一个通过密封组件320密封的缝330。
再参照图2,与工厂界面相对的管状主体148的侧壁还可以包括加固构件292。连接到侧壁112外部的加固构件292提供与主体148的偏斜分离的门密封表面280。在一个实施方式中,加固构件292包括具有从远离腔体组件148的密封表面280延伸或者连接到该密封表面280的一条或多条凸棱296的平板294。典型地,凸棱296基本上垂直于壁112的平面定向。平板294包括多个孔284,以有助于将加固构件292紧固到腔体组件148上。在图2所描述的实施方式中,紧固件286通过孔284并螺纹连接到形成在腔体组件148中的盲孔(未示出)中。衬垫290设置在加固构件292和壁112之间。衬垫290减弱了腔体组件148的运动和/或偏斜对于密封表面280的影响,从而增强真空隔离阀并延长门密封件(O-圈)的寿命,同时防止O-圈扭曲/萎缩防止在门和密封表面280之间的金属与金属的接触。衬垫290一般由适宜的聚合物或弹性材料制造。在板294和衬垫290中形成基板传递通道298、288,并与在侧壁212中形成的基板进入孔216对准,以有助于基板从真空交换腔100进出。
图4是适用于处理大面积基板(例如,具有大于约2.7平方米的平面面积的基板)的多腔集成设备或工艺系统450的一个实施方式的俯视平面图。系统450的至少一个腔包括与腔体分离的顶板。
在图4中示出的工艺系统450包括通过具有多个单独基板传递腔的真空交换腔400与工厂界面412连接的传递腔408。传递腔408具有设置在其中的至少一个双刃真空机械手434,该双刃真空机械手434适于在多个外接的真空工艺腔432和真空交换腔400之间传递基板。通常,传递腔408保持在真空条件下从而不必在每次基板传递后都进行传递腔408和单独工艺腔432之间的压力调节。
工艺腔432可能是适宜于处理基板的任何腔室。例如,工艺腔432的至少其中之一可以是物理气相沉积腔、化学气相沉积腔、蚀刻腔、离子注入腔、热处理腔或在保持在真空状态下的其他腔室。
工厂界面412一般包括多个基板存储盒438和双刃大气机械手436。盒438一般可移动地设置在工厂界面412上形成的多个隔腔440内。大气机械手436适于在盒438和真空交换腔400之间传递基板。典型地,在大气压或者略微高于大气压力的条件下保持工厂界面412。
图5是图4的多腔真空交换腔400的一个实施方式的截面图。真空交换腔400具有可分离的腔体组件512,其包括由真空密封的水平内壁514分开的多个垂直层叠的管状腔体548。尽管在图5中所描述的实施方式示出了三个垂直层叠的管状腔体548,但是应该考虑真空交换腔400的腔体组件512可以包括两个或更多的垂直层叠的腔体548,每个腔体均外接限制在真空交换腔400内的各个基板传递腔。例如,真空交换腔400可以包括在由N-1个水平内壁514分开的腔体548内定义的N个基板传递腔,在这里N是大于1的整数。
在图5所描述的实施方式中,每个基板传递腔520、522、524都限定在各个腔体548内,并配置以容纳单独的大面积基板410,从而最小化每个腔室的体积以增强快速抽吸和吹扫循环。在图5所描述的实施方式中,每个基板传递腔520、522、524都具有等于或小于约4000升的内部体积,诸如小于约1400升,并可以容纳平面面积大约为5平方米的基板。应该考虑到可以设计本发明的基板传递腔使其容纳具有或大或小的平面面积的不同尺寸的基板。
腔体548包括第一侧壁502、第二侧壁504、第三侧壁506和第四侧壁(在图5中未示出)。底板508与内壁514相对地连接到最下面腔室548上,以限定最下面传递腔520。在两个内壁514之间限定中间内腔522。顶板510与内壁514相对地连接到最上面腔体548上以限定最上面传递腔524。
图6是示出具有腔体548、内板514、顶板510和底板508构成的可分离的组件的真空交换腔400的局部截面视图。腔体548以允许其本身相对于板508、510和514其中之一横向移动的方式与板508、510、514的至少一个密封连接。可以采用诸如螺钉182和螺母184的多个紧固件将腔体组件512固定在一起。在图6所描述的实施方式中,螺钉182具有足够的长度以紧固限定腔体组件512的整个层叠。如上所讨论的,密封条186设置在紧固件的内侧,以保持真空交换腔400的真空完整性。
在一个实施方式中,通过至少一个隔离物316以与板508、510、514的间隔关系保持腔体548。如上所述,隔离物316允许板508、510、514相对腔体548移动而不会危及真空密封。在图6所描述的实施方式中,在板508、510、514和体548之间分别限定的每个间隙306中垂直层叠多个隔离物。
再参照图4-5,在腔体组件512中限定的每个基板传递腔520、522、524都包括两个基板进入孔。设置这些孔以便于大面积基板410从真空交换腔400进出。在图5所描述的实施方式中,由底板508限定的第一基板传递腔520包括第一基板进入孔530和第二基板进入孔532。第一基板进入孔532将第一基板传递腔520连接到工艺系统450的中心传递腔408。穿过腔体组件512的第二壁504形成第二基板进入孔532,并将第一基板传递腔520连接到工厂界面412。在图5所描述的实施方式中,在腔体组件512的相对侧上设置基板进入孔530、532,然而,可以将孔530、532交替设置在腔体组件512的相邻壁上。可以通过诸如图2所述的加固构件292的加固构件加固与工厂界面相对的孔。加固构件提供最小化密封表面的偏斜的硬度,其中密封表面的偏斜会导致经过门产生摩擦或由于长期使用磨损而产生颗粒。
通过各个真空隔离门526、528选择性地密封每个基板进入孔530、532,其中该真空隔离门526、528用于将传递腔408的环境和工厂界面412与第一传递腔520选择性隔离。通过激励装置542(在图5中以虚线示出的激励装置542通常设置在腔体组件512的外部)在开、关位置移动真空隔离阀门526、528。在图5所描述的实施方式中,每个真空隔离阀门526、528沿着第一边缘与腔体组件512枢转连接,并通过激励装置542在开、关位置之间旋转。
第一真空隔离阀门526从第一基板传递腔520的内侧密封第一基板进入孔530,使得在第一基板传递腔520和中心传递腔408的真空环境之间的真空(例如,压力)差别有助于装载并密封真空隔离阀门526,从而增强真空密封。相应地,第二真空隔离阀门528设置在真空交换腔100的外部,从而设置第二真空隔离阀门528使得在工厂界面412的周围环境和第一基板传递腔520的真空环境之间的压力差协助密封第二基板进入孔532。在Freerks 1996年12月10日公布的美国专利No.5,579,718和Tepman等人在2000年4月11日公布的美国专利No.6,045,620中描述了可以适用于本发明的真空隔离阀门的其他例子。
第二基板传递腔522同样配置有进入孔534、536以及真空隔离阀门526、528。第三基板传递腔524同样配置有进入孔538、540以及真空隔离阀门526、528。
在第一基板传递腔520的底板508和限制第二及第三基板传递腔522、524的底的内壁514的上部通过多个基板支架544支撑基板410。配置并间隔基板支架544,以在底板508(或者壁514)上部一定高度处支撑基板410,以避免基板与腔体组件512的接触。配置基板支架544以将基板的擦伤和污染减小到最小程度。在图5所描述的实施方式中,基板支架544是具有限定为圆形上端546的滚球形的不锈钢销。在2003年3月11日递交的美国专利申请No.6,528,728、2001年10月17日递交的美国专利申请No.09/982,406和2003年2月27日递交的美国专利申请No.10/376,857中描述了其他适当的基板支架。
可选地,为了在管状腔体548不变形的情况下进一步容纳板508、510、514的偏斜,板508、510、514可以包括由密封组件320密封的一个条或多条缝660。在图6所描述的实施方式中,内壁514的上下侧具有密封组件以提供另一种用于内部体积120之间隔离的方法。通过穿过壁514形成的通道604(以虚线形式示出)将板缝体积602与真空泵606连接,其中所述板缝体积602限定在连接到每面壁514的密封组件320之间。在板缝体积602中提供的真空延长了密封组件320的寿命,并且,将由于在各个腔的内部体积120内的压力变化引起的密封组件320的移动减小到最小程度,从而最小化颗粒产生的可能性。
图7是真空交换腔400的另一截面图。在图7中所描述的实施方式中,内部水平壁514包括配置用于流通由流体源704提供的温度控制流体的至少一个冷却通道702。在图7所描述的实施方式中,在内部水平壁514的两端分别设置进口706和出口708,以设定冷却流体通过壁514的路线。应该考虑到可以采用过壁514的替代通路设计该冷却通道702。
此外如图7的实施方式中所示,通过在内壁514中形成的一个或多个通风道710设定从源152提供的吹扫气体路径。通过在内壁514的底部形成的多个扩散器712,吹扫通道710与真空交换腔400的内部体积120流体连通。应该考虑到可以类似地配置顶板510以向最上部的内部体积120提供吹扫气体。
一般在内壁514的底部形成的凹部714内限定扩散器712。帽716与内壁514连接以限定在凹部714的底部部分中的压力空间722。如箭头720所示,帽716包括穿过其形成的多个孔718,该多个孔718允许气体在压力空间722和腔120的内部之间连通。孔724将凹部714的底部连接到吹扫通道710。应该考虑到也可以利用吹扫通道710以排空腔体120或者可以如图1所描述的那样或者通过其他适当的方法排空腔体120。
图8是传递腔408的另一实施方式以及多腔集成设备450的其中一个工艺腔432的局部截面图。在一个实施方式中,工艺腔432包括限制处理体积804的管状体802。以允许主体802相对于板806、808的至少一个横向移动的方式,通过密封连接到管状体802的板806、808在顶部和底部限制工艺体积804。可能利用诸如螺钉182和螺母184的多个紧固件在板806、808之间固定主体802。
在一个实施方式中,通过至少一个隔离物316使工艺腔体802与板806、808保持隔离关系。如上所述,隔离物316在不会危及真空密封的情况下允许板806、808相对于主体802移动。在图8所描述的实施方式中,在板806、808和体802之间限定的每个间隙306中垂直层叠多个隔离物316。
如以上的实施方式所讨论的,密封件186设置在紧固件的内侧以保持工艺腔432的真空完整性。可选择地,如图2-3的实施方式中所描述的,为了在管状体802不变形的情况下进一步适应板806、808的偏斜,板806、808可以包括由类似于缝318、330和密封组件320的密封组件密封的一个或多个缝。
在图8所描述的实施方式中,工艺腔432包括设置在喷淋头832下部的可垂直移动加热的基板支架830。气源834与工艺腔432连接,使得通过喷淋头832在支架830上的基板410的整个宽度范围内以预定的方式分配进入处理体积804的气体。可选地,电源836可以与喷淋头832连接以在以等离子增强气相沉积、化学气相沉积工艺中供给工艺体积804内的气体能量。尽管将工艺腔432示为等离子增强气相沉积腔和化学气相沉积腔,但是应该考虑到适于基板传递的其他真空腔,如物理气相沉积、蚀刻、离子注入和热处理等腔室也适于这里所描述的可分离的腔体组件构造。
例如,如在图8中通过所描述的传递腔408所示出的,传递腔408还可以包括以允许主体862相对于板864、866的至少一个横向移动的方式密封连接到顶板864和底板866的管状腔体862。腔体862和板864、866限制具有设置在其中(如在图4中所描述)的机械手434的传递体积868。
在一个实施方式中,通过至少一个隔离物316保持板864、866和腔体862密封间隔关系。如上所述,隔离物316允许板864、866相对于体862移动而不会危及真空密封。在图8所描述中,在板864、866和体862之间限定的每个间隙306中垂直层叠多个隔离物316。
可以利用诸如螺钉182和螺母184的多个紧固件以在板864、866之间固定主体862。如上所述,在紧固件的内侧设置密封件186,以保持传递腔408的真空完整性。可选择地,为了进一步适应板864、866的偏斜而管状体862不变形,板864、866可以包括通过类似于如图2-3的实施方式所示的缝318、330和密封组件320的密封组件密封的一个或多个缝。
因此,提供了具有分离的腔体组件构造的真空腔室。该构造允许腔体组件的部件相对于彼此横向移动,从而防止密封表面变形和/或在暴露于腔的内部的区域中的金属与金属发生摩擦。
虽然前述内容涉及到本发明的优选实施方式,但是在不背离本发明的基本范围内可能设计本发明的其他和进一步的实施方式。本发明的范围由以下的权利要求书确定。

Claims (18)

1.一种真空交换腔,包含:
第一腔体,其具有四面壁、一个开口顶部和一个开口底部;
底板,其设置在所述第一腔体下部,以间隔关系设置所述底板和所述第一腔体;
第一密封件,其围绕所述开口底部,并用于密封连接所述底板和所述第一腔体;
第一板,其设置在所述第一腔体上部,所述第一板和所述第一腔体以密封间隔关系设置;
第二腔体,其设置在所述第一板上部;
第二密封件,其密封连接所述第一板和所述第二腔体;
第二板,其设置在所述第一腔体上部,所述第二板和所述第二腔体以间隔关系设置;以及
第三密封,其密封连接所述第二板和所述第二腔体。
2.根据权利要求1所述的真空交换腔,其特征在于,还包含:
多个螺钉,其在所述底板和所述第二板之间固定所述腔体。
3.根据权利要求1所述的真空交换腔,其特征在于,还包含:
至少一个隔离物,其设置在所述第一板和所述第一腔体之间。
4.根据权利要求1所述的真空交换腔,其特征在于,还包含:
至少两个垂直层叠的隔离物,其将所述第一腔体与所述第一板分离。
5.一种真空腔,包含:
管状腔体,其具有通过其中形成的至少一个基板进入孔;
第一板,其以间隔关系连接到所述腔体;
第一密封件,其用于密封连接第一板和腔体;
第二板,其密封连接到所述腔体,其中所述腔体和板限定足够容纳大面积基板的内部体积;以及
设置在所述内部体积中基板支架。
6.根据权利要求5所述的真空腔,其特征在于,所述腔体和板限定基板传递腔、真空交换腔、物理气相沉积腔、热处理腔、蚀刻腔、离子注入腔或化学气相沉积腔。
7.根据权利要求5所述的真空腔,其特征在于,还包含:
多个垂直层叠的隔离物,其设置在所述第一板和腔体之间,所述隔离物以隔离关系保持所述第一板和腔体。
8.根据权利要求7所述的真空腔,其特征在于,所述多个隔离物还包含:
第一组垂直层叠的隔离物,其设置在所述腔体和所述第一板之间;以及
第二组垂直层叠的隔离物,其设置在所述腔体和所述第一板之间。
9.根据权利要求7所述的真空腔,其特征在于,所述隔离物在彼此之间的抗滑阻力比在所述隔离物和所述腔体或第一板的至少其中之一之间的抗滑阻力小。
10.根据权利要求9所述的真空腔,其特征在于,所述隔离物由不锈钢制造,并且所述第一板和腔体由铝制造。
11.根据权利要求7所述的真空腔,其特征在于,所述隔离物设置在所述第一密封件的外侧。
12.根据权利要求7所述的真空腔,其特征在于,还包含:
第二密封件,其密封连接所述第二板和腔体;以及
多个第二垂直层叠的隔离物,其设置在所述第二板和腔体之间,所述隔离物以间隔关系保持所述第二板和腔体。
13.根据权利要求8所述的真空腔,其特征在于,还包含:
第二管状腔体,其具有以间隔关系密封连接到所述第一板的两个基板进入孔,所述第二腔体垂直层叠在所述第一腔体上部;
第三板,其连接到所述第二腔体;以及
第二密封件,其密封所述第三板和第二腔体。
14.根据权利要求13所述的真空腔,其特征在于,还包含:
多个第二垂直层叠的隔离物,其设置在所述第三板和第二腔体之间,所述隔离物以间隔关系保持所述第三板和第二腔体。
15.一种用于传递基板到真空腔的方法,包含:
打开在管状腔体的侧壁中形成的通道,所述管状腔体具有通过底板和顶板密封的开口端;
通过所述管状腔体的所述通道传递基板;
密封所述通道。
16.根据权利要求15所述的方法,其特征在于,还包含:
在所述管状腔体内建立真空,所述真空通过接触所述管状腔体和所述顶板的密封件部分保持。
17.根据权利要求16所述的方法,其特征在于,贯穿所述腔体和所述板设置螺钉。
18.根据权利要求15所述的方法,其特征在于,还包含:
通过在所述顶板和所述管状腔体之间垂直层叠的多个隔离物防止所述顶板接触所述管状腔体。
CN201110163125.2A 2006-01-13 2007-01-09 可分离式腔体 Active CN102230155B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/332,781 2006-01-13
US11/332,781 US7845891B2 (en) 2006-01-13 2006-01-13 Decoupled chamber body

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2007100006065A Division CN101071755B (zh) 2006-01-13 2007-01-09 可分离式腔体

Publications (2)

Publication Number Publication Date
CN102230155A true CN102230155A (zh) 2011-11-02
CN102230155B CN102230155B (zh) 2014-09-24

Family

ID=37836961

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201110163125.2A Active CN102230155B (zh) 2006-01-13 2007-01-09 可分离式腔体
CN2007100006065A Active CN101071755B (zh) 2006-01-13 2007-01-09 可分离式腔体

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2007100006065A Active CN101071755B (zh) 2006-01-13 2007-01-09 可分离式腔体

Country Status (6)

Country Link
US (1) US7845891B2 (zh)
EP (1) EP1808889A3 (zh)
JP (1) JP5005359B2 (zh)
KR (1) KR100848899B1 (zh)
CN (2) CN102230155B (zh)
TW (1) TWI384574B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI608785B (zh) * 2013-11-26 2017-12-11 台達電子企業管理(上海)有限公司 散熱基座與電子裝置

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
CN101842514B (zh) * 2007-11-01 2013-01-23 应用材料公司 用以密封处理腔室的开口的方法与装置
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
KR100953604B1 (ko) * 2007-12-14 2010-04-21 주식회사 에스에프에이 화학 기상 증착 장치의 로드락 챔버
TWI438829B (zh) * 2007-11-21 2014-05-21 Sfa Engineering Corp 用於化學氣相沈積設備的裝載室
KR100948860B1 (ko) * 2007-11-21 2010-03-22 주식회사 에스에프에이 화학 기상 증착 장치의 로드락 챔버
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
KR100970201B1 (ko) * 2008-03-17 2010-07-14 주식회사 아이피에스 진공처리장치
KR101058750B1 (ko) 2008-03-21 2011-08-24 주식회사 아토 진공처리장치
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
WO2010039761A2 (en) * 2008-09-30 2010-04-08 Applied Materials, Inc. Self-aligning utility autocoupler
US8528762B2 (en) * 2008-11-14 2013-09-10 Applied Materials, Inc. Electron beam welding of large vacuum chamber body having a high emissivity coating
US20100127201A1 (en) * 2008-11-21 2010-05-27 Applied Materials, Inc. Interlocking valve chamber and lid
JP2010135536A (ja) * 2008-12-04 2010-06-17 Tokyo Electron Ltd ロードロック装置および真空処理システム
US8033771B1 (en) * 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
FI122940B (fi) * 2009-02-09 2012-09-14 Beneq Oy Reaktiokammio
KR101687955B1 (ko) * 2009-02-22 2016-12-20 마퍼 리쏘그라피 아이피 비.브이. 하전입자 리소그래피 장치 및 진공 챔버에 진공을 발생시키는 방법
JP5315100B2 (ja) * 2009-03-18 2013-10-16 株式会社ニューフレアテクノロジー 描画装置
EP2293321A1 (en) * 2009-09-08 2011-03-09 Applied Materials, Inc. Mechanical modularity chambers
KR20110051588A (ko) * 2009-11-10 2011-05-18 삼성전자주식회사 기판 도금 장치 및 방법
JP5658928B2 (ja) * 2010-07-02 2015-01-28 株式会社Ihi 多室型熱処理装置
US9920418B1 (en) 2010-09-27 2018-03-20 James Stabile Physical vapor deposition apparatus having a tapered chamber
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US20120222813A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Vacuum chambers with shared pump
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9018570B2 (en) * 2011-12-15 2015-04-28 Intermolecular, Inc. Combinatorial heating of substrates by an inductive process and combinatorial independent heating
WO2013103594A1 (en) 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US20140250658A1 (en) * 2013-03-05 2014-09-11 Applied Materials, Inc. Vacuum chambers and components for semiconductor substrate processing and methods of fabrication
KR101580645B1 (ko) * 2013-11-18 2015-12-28 조규원 분리되는 렉산을 구비한 로드락 모듈 챔버의 안전커버
JP6606704B2 (ja) * 2015-12-04 2019-11-20 株式会社NejiLaw 圧力チャンバの締結構造
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
CN107437522B (zh) * 2017-07-24 2019-11-26 武汉华星光电半导体显示技术有限公司 传送装置、基板离子植入系统以及方法
TWI656235B (zh) * 2017-07-28 2019-04-11 漢民科技股份有限公司 化學氣相沉積系統
DE102019208435A1 (de) * 2019-06-11 2020-12-17 Christof-Herbert Diener Erweiterbare Vakuumkammer und Verfahren zur Herstellung einer erweiterbaren Vakuumkammer
JP7280132B2 (ja) * 2019-07-12 2023-05-23 株式会社アルバック 真空チャンバ及び基板処理装置
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control

Family Cites Families (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE653074A (zh) * 1963-09-19
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
CH626214GA3 (zh) 1979-02-07 1981-11-13
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5374147A (en) 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4655584A (en) 1984-05-11 1987-04-07 Nippon Kogaku K. K. Substrate positioning apparatus
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US5224809A (en) 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4759681A (en) 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US4687542A (en) 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4880349A (en) 1986-12-23 1989-11-14 Northern Telecom Limited Method for locating and supporting ceramic substrates
US4784377A (en) 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
GB8713241D0 (en) * 1987-06-05 1987-07-08 Vg Instr Group Bakeable vacuum systems
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
JPH0333058Y2 (zh) 1987-06-26 1991-07-12
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5001327A (en) 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
US5020475A (en) 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
ES2163388T3 (es) 1988-05-24 2002-02-01 Unaxis Balzers Ag Instalacion de vacio.
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
DE69027273T2 (de) 1989-10-20 1997-01-23 Applied Materials Inc Biaxialer Roboter mit magnetischer Kupplung
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (ja) 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5131460A (en) 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5376212A (en) 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5247424A (en) * 1992-06-16 1993-09-21 International Business Machines Corporation Low temperature conduction module with gasket to provide a vacuum seal and electrical connections
JPH0616206A (ja) 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5558482A (en) 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
EP0608620B1 (en) 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
EP0608633B1 (en) 1993-01-28 1999-03-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5421889A (en) 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
EP0634787B1 (en) 1993-07-15 1997-05-02 Applied Materials, Inc. Subsrate tray and ceramic blade for semiconductor processing apparatus
TW276353B (zh) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3136345B2 (ja) 1994-08-25 2001-02-19 富士電子工業株式会社 高周波加熱装置
US5535908A (en) * 1994-11-04 1996-07-16 Sheu; Miin-Tsang Receptacle combination for milk powder
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3288200B2 (ja) 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
TW309503B (zh) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100238998B1 (ko) 1995-07-26 2000-01-15 우치가사키 기이치로 가열로
KR100244041B1 (ko) 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
CH691376A5 (de) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JPH09209150A (ja) * 1996-02-06 1997-08-12 Tokyo Electron Ltd 真空チャンバ及びその製造方法
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5793050A (en) 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6224680B1 (en) 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
KR100234539B1 (ko) 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
JP3215643B2 (ja) * 1997-01-31 2001-10-09 ワイエイシイ株式会社 プラズマ処理装置
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
JP3549141B2 (ja) 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
US6059507A (en) 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6315512B1 (en) 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JP3286240B2 (ja) 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6079693A (en) 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6182851B1 (en) * 1998-09-10 2001-02-06 Applied Materials Inc. Vacuum processing chambers and method for producing
JP3792417B2 (ja) * 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6143079A (en) 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2000195925A (ja) 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6568899B1 (en) 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6410455B1 (en) 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
KR20070037517A (ko) 2000-09-15 2007-04-04 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US6551045B2 (en) * 2000-11-17 2003-04-22 Nikon Corporation Wafer stage chamber
US6609869B2 (en) 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
WO2002093605A2 (en) 2001-05-17 2002-11-21 Tokyo Electron Limited Cylinder-based plasma processing system
JP2002368057A (ja) * 2001-06-04 2002-12-20 Matsushita Electric Ind Co Ltd 真空処理装置用ロードロック室
JP2002361441A (ja) * 2001-06-05 2002-12-18 Mitsubishi Heavy Ind Ltd 真空容器製造方法
US20030026677A1 (en) * 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
AU2002343583A1 (en) 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
JP4559700B2 (ja) * 2002-12-17 2010-10-13 東京エレクトロン株式会社 真空容器
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
US7104535B2 (en) 2003-02-20 2006-09-12 Applied Materials, Inc. Methods and apparatus for positioning a substrate relative to a support stage
KR100441875B1 (ko) * 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR100578134B1 (ko) * 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US8206075B2 (en) * 2004-06-02 2012-06-26 Applied Materials, Inc. Methods and apparatus for sealing a chamber
US7637477B2 (en) * 2004-12-17 2009-12-29 Tokyo Electron Limited Gate valve apparatus of vacuum processing system
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI608785B (zh) * 2013-11-26 2017-12-11 台達電子企業管理(上海)有限公司 散熱基座與電子裝置

Also Published As

Publication number Publication date
CN102230155B (zh) 2014-09-24
KR20070076470A (ko) 2007-07-24
US7845891B2 (en) 2010-12-07
CN101071755B (zh) 2011-07-27
US20070166133A1 (en) 2007-07-19
CN101071755A (zh) 2007-11-14
EP1808889A3 (en) 2011-12-28
TWI384574B (zh) 2013-02-01
EP1808889A2 (en) 2007-07-18
JP2007266576A (ja) 2007-10-11
KR100848899B1 (ko) 2008-07-29
JP5005359B2 (ja) 2012-08-22
TW200733291A (en) 2007-09-01

Similar Documents

Publication Publication Date Title
CN101071755B (zh) 可分离式腔体
TWI425574B (zh) 具有管件內加熱器的負載鎖定室
JP5072958B2 (ja) マルチスロットロードロックチャンバおよび操作方法
US7651315B2 (en) Large area substrate transferring method for aligning with horizontal actuation of lever arm
US9261193B2 (en) Sealing apparatus for a process chamber
KR100851700B1 (ko) 가요성 커플링을 갖춘 곡선형 슬릿 밸브 도어
US8124907B2 (en) Load lock chamber with decoupled slit valve door seal compartment
JP2006005348A (ja) 湾曲したスリットバルブドア
KR20170056433A (ko) 처리 장치
US8752580B2 (en) Vacuum chamber for processing substrate and apparatus including the same
TW202343634A (zh) 基板處理裝置及腔室內襯

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant