JP5072958B2 - マルチスロットロードロックチャンバおよび操作方法 - Google Patents

マルチスロットロードロックチャンバおよび操作方法 Download PDF

Info

Publication number
JP5072958B2
JP5072958B2 JP2009513476A JP2009513476A JP5072958B2 JP 5072958 B2 JP5072958 B2 JP 5072958B2 JP 2009513476 A JP2009513476 A JP 2009513476A JP 2009513476 A JP2009513476 A JP 2009513476A JP 5072958 B2 JP5072958 B2 JP 5072958B2
Authority
JP
Japan
Prior art keywords
substrate
transferring
processed
support
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009513476A
Other languages
English (en)
Other versions
JP2009540547A (ja
JP2009540547A5 (ja
Inventor
真一 栗田
スハイリ アンワル,
チェチョル リ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009540547A publication Critical patent/JP2009540547A/ja
Publication of JP2009540547A5 publication Critical patent/JP2009540547A5/ja
Application granted granted Critical
Publication of JP5072958B2 publication Critical patent/JP5072958B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Description

発明の背景
発明の分野
[0001]本発明の実施形態は一般的に、真空処理システム用のロードロックチャンバと、これを操作する方法に関する。
関連技術の説明
[0001]2つの急速に発展しつつある技術分野は薄膜トランジスタと光起電デバイスである。フラットパネル技術によって形成される薄膜トランジスタ(TFT)は普通、コンピュータおよびテレビモニタなどのアクティブマトリクスディスプレイ、携帯電話ディスプレイ、携帯情報端末(PDA)、ならびに増えつつある他のデバイスに使用される。一般的に、フラットパネルは、液晶材料の層を挟持している2つのガラスプレートを備えている。ガラスプレートのうちの少なくとも一方が、電源に結合されている1枚の導電膜をこの上に含んでいる。電源から導電膜に供給される電力は結晶材料の配向を変更して、パターンディスプレイを作成する。
[0002]光起電デバイス(PV)や太陽電池は、太陽光を直流(DC)電力に変換するデバイスである。PVや太陽電池は典型的に、パネル上に形成された1つ以上のp−n接合を有する。各接合は2つの異なる領域を一方の側がp型領域と示され、もう一方の側がn型領域と示される半導体材料内に備えている。PV電池のp−n接合が(光子からのエネルギーからなる)太陽光に暴露されると、太陽光はPV効果によって直接電気に変換される。一般的に、高品質のシリコンベース材料が、高効率接合デバイス(つまり、単位面積あたりの高電力出力)を生成するために所望される。アモルファスシリコン(a−Si)膜は、従来の低温プラズマ増強化学気相堆積(PECVD)プロセスの製造コストの低くおさえるので、PV太陽電池におけるシリコンベースパネル材料として広く使用されてきた。
[0002]フラットパネル技術の市場の受容と、高騰するエネルギーコストを埋め合わせるより効率的なPVデバイスに対する要望によって、パネルの大型化、生産率の増加および製造コストの低下に対する要求が、フラットパネルディスプレイおよびPVデバイス製作業者用のより大型サイズの基板を収容する新たなシステムの開発を機器製造業者に推進してきた。現在の基板処理機器は一般的に、約2平方メートルよりわずかに大きな基板を収容するように構成されている。より大型の基板サイズを収容するように構成されている処理機器が近い将来には想定されている。
[0003]このような大型基板を製作する機器は製作者に対する相当な投資を表している。従来のシステムは大型かつ高価なハードウェアを必要とする。この投資を埋め合わせるために、高基板スループットが極めて望ましい。
[0004]ロードロックチャンバ内の基板の加熱および/または冷却は、高システムスループットを達成するための重要な態様である。より大きなサイズの基板をも処理する将来の処理システムが想定されているため、大面積基板の均一な急速加熱および冷却の必要性は大きな関心事である。したがって、均一な温度調節および高熱移送率を促進する発展が極めて望ましい。
[0005]したがって、面積がより大きな基板の急速かつ均一な加熱および冷却を容易にする方法および装置の改良が必要とされている。
発明の概要
[0006]本発明の実施形態は、ロードロックチャンバと、ロードロックチャンバを有する処理システムと、大気環境と真空環境との間で基板を移送する方法とを含む。一実施形態では、大気環境と真空環境との間で基板を移送する方法は、2つの換気サイクル用に、ロードロックチャンバ本体に形成された基板移送キャビティ内に処理済み基板を維持するステップを含む。別の実施形態では、基板を移送する方法は、該ロードロックチャンバ本体に形成されている加熱キャビティに移送キャビティから基板を移送するステップと、該加熱キャビティで該基板を加熱するステップとを含む。
[0007]別の実施形態では、基板移送キャビティに配置された基板サポートを有するチャンバ本体を含むロードロックチャンバが提供される。該基板サポートは第1の高さと第2の高さとの間で移動可能である。複数の溝が該基板移送キャビティの天井または床の少なくとも一方に形成され、また、該第2の高さで配置される場合に該基板サポートの少なくとも一部を受け取るように構成されている。
[0008]さらに別の実施形態では、基板移送ロボットがその中に配置された基板移送チャンバと、該移送チャンバに結合されている1つ以上の真空処理チャンバと、ロードロックチャンバとを含む基板処理システムが提供される。該ロードロックチャンバは、該移送チャンバに結合されている本体を有する。該ロードロックチャンバの該本体は第1および第2の冷却された移送キャビティと、加熱キャビティとを含む。該冷却された移送キャビティの各々は複数の基板格納スロットを有する。
[0009]本発明の上記引用された特徴が達成され、かつ詳細に理解されるように、上記簡潔に要約された本発明のより特定的な説明は、添付の図面に図示されている実施形態を参照してなされてもよい。しかしながら、添付の図面は本発明の典型的な実施形態のみを図示しており、また、本発明は他の等しく効果的な実施形態を許容可能であるため、この範囲を制限するものとみなされるべきではない点に注目すべきである。
[0022]理解を容易にするために、同一の参照番号が、可能な限り、図面に共通の同一要素を示すために使用されている。一実施形態の要素はさらなる引用なしで他の実施形態に好都合に利用可能である点が想定されている。
詳細な説明
[0023]大面積基板の効率的な加熱および冷却に適したロードロックチャンバが提供される。ロードロックチャンバを利用して、例えば移送チャンバにあるような真空環境と、例えばファクトリインタフェースにあるような大気環境との間で基板を移送する方法も提供される。ロードロックチャンバと基板移送方法両方の具体的な実施形態は、カリフォルニア州、サンタクララのApplied Materials,Inc.から入手可能な構成のロードロックチャンバを参照して以下に提供されるが、本発明の特徴および方法は、他の製造業者のものを含む他のロードロックシステムでの使用に適合可能である点が想定されている。
[0024]図1は、本発明のロードロックチャンバ104の一実施形態を有する説明のためのクラスターツール100の平面図である。クラスターツール100は、ロードロックチャンバ104によって移送チャンバ106に結合されているファクトリインタフェース102を含む。ファクトリインタフェース102は一般的に、複数の基板格納カセット114および大気ロボット112を含む。大気ロボット112は、カセット114とロードロックチャンバ104との間での基板116の移送を容易にする。複数の基板処理チャンバ108が移送チャンバ106に結合されている。真空ロボット110が移送チャンバ106に配置されて、ロードロックチャンバ104と処理チャンバ108との間での基板116の移送を容易にする。
[0025]ロードロックチャンバ104は一般的に複数の環境分離キャビティを含んでおり、この各々は1つ以上の基板格納スロットをこの中に画成している。ロードロックチャンバ104は、ファクトリインタフェース102の周囲または大気環境と、移送チャンバ106に維持されている真空環境との間で基板116を移送するように操作される。
[0026]図2は、本発明のロードロックチャンバ104の一実施形態を描いている。ロードロックチャンバ104は、ステンレス鋼、アルミニウムまたは他の適切な材料などの剛性材料から製作された本体アセンブリ202を含んでいる。本体アセンブリ202は、コンポーネントのアセンブリから無漏洩構造に製作されてもよい。本発明の利点を享受するように適合可能な適切な本体アセンブリは、2006年1月13日に出願された米国特許出願第11/332,781号に説明されており、これは全体が参照によって本明細書に組み込まれている。代替的に、本体アセンブリ202は、他の構成を有してもよく、かつ/または単一の材料ブロックから製作されてもよい。
[0027]一実施形態では、本体アセンブリ202は、複数のリング状本体248を挟持する上部プレート204および底部プレート206を含む。内部プレート198が本体248間に配置される。プレート204、206、298は、本体248の各々の内部に画成されている内部容積220を囲む。図2に描かれている実施形態では、上部および下部内部容積220は基板移送キャビティ208、210として構成されるが、中間本体248によって境界設定されている内部容積220は加熱キャビティ212として構成される。
[0028]上部および底部プレート204、206は、上部および底部プレート204、206の少なくとも一方と本体248との相対的移動を可能にするように複数のファスナーによって本体248に密封結合される。例えば、上部および底部プレート204、206の少なくとも一方は溶接なしに本体248に結合される。プレート204、206から側壁に印加された力が大きな問題ではない実施形態では、上部および底部プレート204、206および本体248は溶接によって結合されてもよい。
[0029]図3に描かれている本体アセンブリ202の部分的断面図を付加的に参照すると、少なくとも1つのスペーサ316が上部プレート204の下部表面302と本体248の上部表面304との間に設けられている。スペーサ316は上部プレート204とチャンバ本体248とを分離し、この間にギャップ306が画成される。一実施形態では、スペーサ316は、チャンバ本体248の上部表面304の平面積よりかなり小さい平面積を有する部材である。例えば、複数のスペーサ316が、チャンバ本体248の一方の側に沿って上部表面304に配置されてもよい。
[0030]スペーサ316の厚さは、ガスケットまたはOリング386がプレートと本体との間の真空シールを維持するように適切に圧縮され、上部プレート204が真空または他のストレス条件のチャンバ本体248に接触するのを防止するように選択される。同様に、1つ以上のスペーサ316は底部プレート206とチャンバ本体248との間に設けられて、これらの間にギャップ306を維持する。
[0031]図3に描かれている実施形態では、第1のスペーサ312および第2のスペーサ314が、上部プレート204とチャンバ本体248との間に配置されているものとして示されている。スペーサ312、314は、これら(つまり、スペーサ312からスペーサ314へ)の摩擦係数がスペーサとチャンバ本体248および/または上部プレート204との間の摩擦係数より小さい材料から製作される。したがって、チャンバ本体248および上部プレート204が真空、熱または他の力によって相対的に移動すると、上部プレート204および第1のスペーサ312は第2のスペーサ314(および本体248)全体に横方向に自由に移動し、上部プレート204および本体248が接触するのを防止する。
[0032]一実施形態では、スペーサ312、314はディスクである。このディスクは、組み立てを容易にするために本体アセンブリ202を固定するのに利用されるボルト282周辺に配置されているワッシャであってもよい。スライディングコンポーネント(例えば、スペーサ312、314)が本体248の上部表面304に比べて小さな接触面積を有しているので、運動を開始するのに必要な力は削減される。加えて、スペーサ312、314の接触表面がガスケット86の外側にあるので、スペーサ312、314のスライディング時に発生されるいかなる粒子も、ロードロックチャンバ104の内部容積220に入るのを有効に防止される。スペーサ316はリブまたは他の特徴の形態であってもよく、プレートと本体との間に延びて、これらの間のギャップを維持することが想定されている。スペーサがプレートまたは本体のいずれかに組み込まれてもよいことも想定されている。スペーサ316は、プレートと本体との間に延びて、これらの間のギャップを維持するリブまたは他の特徴の形態であってもよい点が想定されている。スペーサはプレートまたは本体のいずれかに組み込まれ(つまり、一体型構造を有し)てもよい点も想定されている。
[0033]図3に描かれている実施形態では、凹部308が、第2のスペーサ314を位置付ける本体248の上部表面304に形成されている。任意に、凹部(図示せず)が、第1のスペーサ312を位置付けるため上部プレート204に形成されていてもよい。凹部(図示せず)308は、スペーサ314が上部表面304を超えて延びて、第1のスペーサ312が本体248に対して左右に自由にスライドすることを保証するように選択された深さを有する。
[0034]ロードロックチャンバ104の上部プレート204に印加された力の影響をさらに最小化するために、少なくとも1つのスロット318が上部プレート204の中に形成される。スロット31によって上部プレート204の中央領域は移動、偏向および/または膨張することができ、上部プレートの縁部への運動の影響を最小化する。シールアセンブリ320が、ロードロックチャンバ104の内部容積220への漏洩を防止するために、スロット318に配置されている。図3に描かれている実施形態では、シールアセンブリ320は、クランプブロック324によって上部プレート204に留めつけられているガスケットやベローズ322を含む。同様に、底部プレート206は、上記のように、シールアセンブリ320によってシールされている少なくとも1つのスロット330を含む。
[0035]図2を再度参照すると、2つの基板アクセスポート216が本体248の対向する側壁を介して形成されて、基板移送キャビティ208、210の内部容積220からの基板の出入を可能にする。ポート216のうちの1つのみが図2に示されている。加熱キャビティ212は、移送チャンバ106に結合されている本体248の一方の側に画成されている少なくとも1つの基板アクセスポート216を含んでおり、移送キャビティ212の内部容積220は(図1に示されている)真空ロボット110によってアクセス可能である。基板アクセスポート216はスリットバルブドアによって選択的にシールされており、これは当業界では公知である。本発明の利点を享受するように適合可能なスリットバルブドアは、Tanase,らによる、CURVED SLIT VALVE DOORと題され、2004年6月14日に出願された米国特許出願第10/867,100号に説明されており、参照によりその内容全体が本願明細書に組み込まれる。
[0036]加熱キャビティ212は任意に第2の基板アクセスポート(図2には示されていない)を有してもよい。第2の基板アクセスポートはスリットバルブドアによって選択的にシールされても、あるいはブランクプレートによって代替的にシールされてもよい。これは、基板アクセスポートは主にキャビティの維持に使用されるからである。
[0037]一実施形態では、プレート204、206、298のうちの少なくとも1つが温度調節プレートとして構成されてもよい。1つ以上の通路224がプレート204、206、298に形成され、流体源228に結合されてもよい。流体源228は、プレート204、206、298の温度、最終的には基板116の温度を調節(つまり、加熱および/または冷却)するために通路142を循環される熱移送流体を提供する。プレート204、206、298を冷却することによって、処理から戻ってくる熱い基板は、キャビティ208、210内に配置されている個別の従来の冷却プレートを利用することなく効果的に冷却可能である。
[0038]加熱キャビティ212は一般的に、基板116を選択的に加熱するための、内部容積220に配置されている1つ以上のヒータ266を含む。図2に描かれている実施形態では、複数のヒータ266が、加熱キャビティ212に配置されている基板116に面する内部プレート298の表面のうちの少なくとも1つに結合されている。ヒータ266は、ランプ、抵抗加熱素子または他の適切な加熱デバイスであってもよい。基板116の上下のヒータ266の位置は基板の急速な放射加熱を容易にする。ヒータ266は電源268に独立して結合されている。この構成によって各ヒータ266は独立してコントロールされ、基板116の温度分布は、例えば基板を一様に加熱および/または基板の一領域を第2の領域より高速で均一に加熱することによって、所望の通り調整可能である。図2に描かれている実施形態では、ヒータ266は、基板116の中央が基板の周縁とは異なる速度で加熱されるように配列されている。
[0039]図2および図4Aを参照すると、複数の基板サポート構造218が移送キャビティ208、210の内部容積220に配置されている。基板サポート構造218は一般的に、各々が1つの基板をサポートするように構成されている。サポート構造218の高さは、冷却されたプレート(またはヒータ266)に対する基板の近さが選択的に調整可能なように選択的にコントロールされる。サポート構造218の高さはまた、ポート216を介する基板の交換を容易にするようにコントロールされてもよい。一実施形態では、各基板サポート218は1つ以上のアクチュエータ294に結合されて、各キャビティ内のそれぞれのサポート218の仰角は独立してコントロール可能になる。他の基板サポート構造も代替的に使用可能であることが想定されている。
[0040]一実施形態では、基板サポート構造218は、アクチュエータ294に結合されている1つのプレートまたは複数のバー296を含む。バー296は、この上に支持されている基板の下面を超えて広がり、アクチュエータ294へのバーの結合を容易にするように構成されている。
[0041]複数のピン226がバー296から延びて、この上に基板116をサポートしてもよい。基板116をサポートしているピン226の端部は基板116の底部表面とピン226間の動的摩擦を削減し、かつ基板の擦れを防止するため、丸められたり、かつ/または、のボールを含んでもよい。図2に描かれている実施形態では、ボールは各ピン226の遠位端に配置されている。ボールによってもたらされた摩擦削減によって、基板は、基板を擦ることなくピン226にサポートされている間に容易に膨張および収縮するようになる。他の適切な基板サポートが、2003年3月5日に出願された米国特許第6,528,767号、2001年10月27日に出願された米国特許出願第09/982,406号、および2003年2月27日に出願された米国特許出願第60/376,857号に説明されており、これらのすべては参照によりその内容全体が本明細書に組み込まれる。ピン226は一般的に、ロボット端部エフェクタとの基板交換を容易にするように配列されている。ピン226は、加熱キャビティ212の床を形成してここに基板をサポートする内部プレート298に付加的に結合される。
[0042]基板とチャンバ本体248間の熱移送を高めるために、基板サポート218は、基板サポート218上に支持されている基板サポートを、移送キャビティ208、210の床(または天井)に近接して移動させてもよい。基板と移送キャビティの床/天井との間の距離は、基板の温度に基づいて調整可能である。例えば、プレス加工から戻ってくる熱い基板は、摂氏240度を超える温度を有してもよい。成形に関する濃縮および/または熱ストレスを防ぐために、熱い基板は、移送キャビティの床/天井から離れた距離に維持されてもよい。ひとたび熱い基板が十分に、例えば摂氏約140度に冷却されると、より冷たい基板は、熱移送効率を増大させるために移送キャビティの床/天井に近づけられてもよく、より低い基板温度がより高速で取得されるようになり、このこともまた基板スループットを高める。
[0043]基板と移送キャビティ208、210の床/天井との間の熱移送をさらに高めるために、基板サポート218は、移送キャビティの床および/または天井と内部嵌合するように構成されてもよい。これによって、基板とチャンバ本体アセンブリ202との間の距離が最小にされ、また一部の実施形態では、チャンバ本体アセンブリ202と接触して基板を設置して、通路224を通る熱移送流体との熱交換を完全に利用することができる。
[0044]図5は、基板サポート218と内部嵌合するように構成されている内部プレート298の一実施形態の断面図を描いている。プレート298は、スロット502(図5に1つ示されている)を含み、スロット502は、基板サポート218のバー296をスロット502の中に移動させるように構成されている。一実施形態では、スロット502の深さは、バー296がスロット502の底部に移動するとプレート298によって基板がピン226から持ち上げられるように選択されてもよい。代替的に、スロット502、またはバー296の運動は、通路224を介して循環する流体によって基板が効率的に冷却される程度にプレートに近接して、ピン226に支持されている基板116を維持するように構成されてもよい。第2の移送キャビティ210は同様に、境界内部プレート298の下部に形成されたスロット502によって構成される。
[0045]図4Bは、ロードロックチャンバの内部の別の実施形態の部分当尺図である。図4Bに描かれている実施形態では、下部基板サポート444の高さをコントロールするアクチュエータ404は、上部基板サポート442に形成されている特徴部440を通過することによって、アクチュエータ402、406の整列を可能にする。したがって、基板サポート442、444は、ロードロックチャンバの内部容積内の同一の投影表面積(例えば、底面積)を有するように構成されてもよく、これによってロードロックチャンバ本体の壁は基板サポート442、444の近くに配置されることが可能になり、これはロードロックチャンバの内部容積を削減して、ポンピングおよび換気の回数の削減を効果的にもたらす。図4Bに描かれている実施形態では、特徴部440は、上部基板サポート442を通って形成された孔である。特徴部440は代替的に、下部基板サポート444の高さをコントロールするアクチュエータ40が、上部基板サポート442による妨げなしで下部サポートプレート444に結合されるようにする上部および下部基板サポート442、444間の刻み目、溝、スロット、切欠きまたは他の幾何学的差であってもよいことが想定されている。複数対のアクチュエータ402、404は、図4Cに示されているように、上部アクチュエータ402のロッド462および上部基板サポート442の特徴部440に入れ子にされた(telescoping)下部アクチュエータの起動ロッド464と同心円状に整列されてもよいことが想定されている。
[0046]図2を再度参照すると、圧力コントロールシステム250がロードロックチャンバ104に結合されて、本体アセンブリ202の内部容積220内の圧力をコントロールする。圧力コントロールシステム250は一般的にガス源252および排気システム254を含む。ガス源252は、チャンバ本体アセンブリ202を通って形成された少なくとも1つの入口ポート260に結合される。ガス源252は、チャンバ本体アセンブリ202の内部容積220内の圧力を上昇および/または調節するために利用される換気ガスを提供する。例えば、ガス源252は、真空環境から大気環境への基板116の移送を容易にするために、移送キャビティ208、210の内部容積220に換気ガスを流してもよい。一実施形態では、換気ガスは、窒素、ヘリウム、空気または他の適切なガスのうちの少なくとも1つを備えている。任意に、加熱キャビティ212は、一実施形態でキャビティ212が動作真空圧力で常に維持可能な場合には、入口ポートを含まなくてもよい。
[0047]入口コントロールバルブ256がガス源252と入口ポート260との間に配置されて、本体アセンブリ202の内部容積220への換気ガスの流れを選択的にコントロールする。入口コントロールバルブ256は、真空条件下で実質的な気密シールを提供することができる。一実施形態では、ガス源252は、換気ガスの流量率、温度および/または湿度などの換気ガスの属性をコントロールするように構成されている。
[0048]図2に描かれている実施形態では、入口ポート260は換気通路238によって1つ以上の拡散器240に結合されている。拡散器240は、内部容積220に流入するガスが基板116の上部に向けて方向付けられるように、上部プレート204(または他のプレート)の内側に形成される。この配列は、基板116を処理した後ロードロックチャンバ104を換気しつつ基板116を冷却するのを効果的に支援する。
[0049]一実施形態では、拡散器240は、プレート204、298の底部表面に画成されている凹部232に形成されている。キャップ244が凹部232を覆って、プレナム242をプレートに画成する。接続孔236はプレナム242を換気通路238に流体的に結合する。複数のアパーチャ276がキャップ244を通って形成されて、矢印234で図示されているように、ガス源252からプレナム242を介して内部容積220に換気ガスを流す。拡散器240はロードロックチャンバ104に換気ガスを方向付けることを主として意図しているが、拡散器240もまたチャンバ104の内部容積220を真空にするために利用可能である点が想定されている。
[0050]排気システム254は一般的に、チャンバ本体アセンブリ202を通って形成された少なくとも1つの排気ポート262に結合される。排気システム254は、ロードロックチャンバ104の内部容積220からガスを除去するように構成されている。排気システム254は1つ以上の真空ポンプ(図示せず)を含んでもよく、さらに、設備排気システム(これも図示せず)に最終的に結合されてもよい。例えば、排気システム254は、大気環境から真空環境への基板116の移送を容易にするために、内部容積220からガスを送り出してもよい。
[0051]排気コントロールバルブ258が排気システム254と排気ポート262との間に配置されて、本体アセンブリ202の内部容積220を出るガスの流れを選択的にコントロールする。排気コントロールバルブ258は典型的に入口コントロールバルブ256に類似しており、また真空条件で実質的な気密シールを提供することができる。
[0052]コントローラ280がロードロックチャンバ104に結合されて、ロードロックチャンバ104の動作をコントロールする。コントローラ280は中央演算処理装置(CPU)282と、サポート回路286とメモリ284とを含む。CPU282は、種々のチャンバおよびサブプロセッサをコントロールするための工業設定で使用可能な任意の形態のコンピュータプロセッサのうちの1つであってもよい。サポート回路286は、従来のようにプロセッサをサポートするためにCPU282に結合される。これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路、サブシステムなどを含む。メモリ284はCPU282に結合される。メモリ284やコンピュータ読み取り可能な媒体は、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスク、あるいは他の形態のローカルまたはリモートのディジタル記憶装置などの容易に入手可能なメモリの1つ以上であってもよい。
[0053]例えば、後述される基板移送方法のうちの1つの方法は一般的に、典型的にはソフトウェアルーチンとしてメモリ284に記憶される。ソフトウェアルーチンはまた、CPU282によってコントロールされるハードウェアからリモート配置されている第2のCPU(図示せず)によって記憶および/または実行可能である。
[0054]本発明の方法はソフトウェアルーチンとして実現されるものとして論じられているが、ここに開示されている方法ステップのうちの一部はソフトウェアコントローラのみならずハードウェアによって実行可能である。したがって、本発明は、コンピュータシステムで実行される際にはソフトウェアで、特定用途向け集積回路や他のタイプのハードウェア実現ではハードウェアで、あるいはソフトウェアおよびハードウェアの組み合わせで実現可能である。
[0055]図6は、大気環境と真空環境との間で基板を移送する方法600の一実施形態の流れ図である。方法600はメモリ284に記憶され、コントローラ280によって実行され、本明細書に説明されているロードロックチャンバ104を利用して実践されてもよい。方法600は他の適切に適合されたロードロックチャンバで実践可能である点も想定されている。
[0056]方法600は、第1の未処理基板を大気環境(例えば、ファクトリインタフェース102)から、ロードロックチャンバ本体アセンブリ202に形成されている第1の移送キャビティ208に配置されている第1の基板サポート218に移送することによってステップ602から開始する。第1の移送キャビティ208は付加的に、第1の処理済み基板を第2の基板サポート218上に位置決めしている。ステップ604において、第1の基板移送キャビティは真空にされ、隣接する真空環境(例えば、移送チャンバ106)に略等しい圧力にされる。真空ステップ604時に、第1の処理済み基板は冷却されてもよい。一実施形態では、第1の処理済み基板は、第1の基板移送キャビティの床に極めて近い、かつ/または接触する位置に基板を移動させることによって冷却されてもよい。第1の基板キャビティの床が、この中に形成されている通路224を循環する冷却流体を有するので、第1の処理済み基板は効率的かつ迅速に冷却される。
[0057]ステップ606において、第1の未処理基板は第1の基板サポートから真空環境に移送される。ステップ608において、第2の処理済み基板が真空環境から、第1の処理済み基板上に配置されている第1の基板サポートに移送される。
[0058]この方法は、第1の基板移送キャビティを換気して、第1の処理済み基板を第2の基板サポートから大気環境(例えば、ファクトリインタフェース102)に移送することによって、ステップ601で継続可能である。ステップ612において、ステップ602乃至610は、大気環境と真空環境との間で追加基板を移動させるために反復してもよい。注目すべきは、この方法600は、移送チャンバからファクトリインタフェースに戻る熱い基板が、少なくとも2つの換気サイクルを通してロードロックチャンバに維持されることを必要とする点である。これによって移送チャンバへの未処理基板の高速送出が容易になり、処理済み基板に対してロードロックチャンバ中の時間を延長し、熱ストレスの望ましくない発生、濃縮または他の欠陥なしで、均一な冷却を保証することができる。
[0059]さらに、処理済み基板への、冷却および/または濃縮時の熱勾配の作成を最小化するために、処理済み基板は、基板が高温である間の第1の移送サイクル時に基板移送キャビティの床(または天井)に対する第1の位置に維持されて、基板がかなり低い温度にある間の第2の移送サイクル時に移送キャビティの床(または天井)近くの第2の高さに移動されてもよい。例えば、基板は、キャビティのフラッドおよび/または天井から比較的間隔をあけられている間の第1の移送サイクル時には摂氏約250度から摂氏約140度に冷却されてもよい。ひとたび低温になると、ロードロックチャンバ本体の冷却された床(または天井)に比較的近い、またはこれと接触した位置に基板を移動させることによって、基板は第2の移送サイクル時に摂氏140度未満の温度に冷却されてもよい。
[0060]図7は、大気環境と真空環境との間で基板を移送する方法700の別の実施形態の流れ図である。一実施形態では、方法700は、未処理基板を大気環境から、ロードロックチャンバ本体アセンブリ202の第1の移送キャビティ208に配置されている第1の基板サポートに移送することによってステップ702から開始する。ステップ702において、第1の基板移送キャビティは、第1の未処理基板を第1の基板移送キャビティの中に配置している間に真空にされる。ステップ706において、第1の未処理基板は第1の基板サポートから真空環境に移送される。ステップ708において、未処理基板は、ロードロックチャンバ本体アセンブリ202の加熱キャビティ212に配置されている第2の基板サポートに移送される。ステップ708において、未処理基板は任意に、加熱されたキャビティ22への移送前に1つ以上のプロセスを受けてもよい。ステップ710において、第1の未処理基板は加熱キャビティ22で加熱される。この方法は、加熱キャビティ22に配置されている第2の基板サポートから真空環境に加熱された第1の未処理基板を移送して、この基板を処理することによってステップ712で継続する。
[0061]ステップ710において、基板は、ランプおよび/または抵抗加熱プレートなどの放射ヒータを使用して加熱されてもよい。加熱は、加熱キャビティ212が真空条件で維持される間に生じてもよい。代替的に、加熱キャビティ212は、第1の未処理基板への熱移送をさらに高めるために、真空環境から分離されて、窒素および/またはヘリウムなどの熱移送媒体で充填されてもよい。
[0062]図8はロードロックチャンバ800の別の実施形態である。ロードロックチャンバ800は、上部移送キャビティ806および下部移送キャビティ808をその中に画成している本体802を含んでいる。チャンバ本体802の構造は、上記のチャンバ本体アセンブリ202に類似していてもよい。
[0063]上部移送キャビティ806は一般的に、4つの基板移送スロット810、812、820、822を上部移送キャビティ806の中に画成している。各基板移送スロットは、1つの基板116を自身の上にサポートする複数のピン226を含む基板サポート818によって画成される。分離プレート830が第2の基板移送スロット812と第3の基板移送スロット820との間に配置されて、上部移送キャビティ806を冷却および加熱領域に分岐させる。加熱領域は一般的に、第1および第2の基板移送スロット810、812を含んでいるのに対して、冷却領域は一般的に、第3および第4の基板移送スロット820、822をこの中に位置決めして含んでいる。
[0064]分離プレート830は、熱移送流体源834に結合されているチャネル832を含む。流体源834は分離プレート830を介して熱移送流体を循環させて、プレート830を所定の温度に維持する。さらに、チャネル832を流れる熱移送流体によって熱移送プレート830は、上部移送キャビティ806内の分離プレート830のいずれかの側に画成されている加熱領域と冷却領域との間の熱クロストークを実質的に最小化する。
[0065]上部移送キャビティ806の加熱領域にサポートされている基板は1つ以上のヒータ866によって加熱される。ヒータ866は、上部移送キャビティ806の天井または床の少なくとも一方に配置される。ヒータ866は抵抗加熱素子またはランプであってもよい。ヒータ866は、ヒータ866によって提供されるネルエネルギーが上記のようコントロール可能になるように、源868に結合される。
[0066]上部移送キャビティ806の冷却領域にサポートされている基板は、上部および下部移送キャビティ806、808を分離する分離プレート830および/または熱調節内部壁828によって冷却される。壁828は一般的に1つ以上の通路24を含んでおり、これを介して、源26によって提供された熱移送流体が循環される。冷却領域が分離プレート830上に定義されることもあるのに対して、加熱領域は分離プレート830の下方に定義されることが想定されている。熱移送キャビティ808は同様に構築される。
[0067]圧力調節システム250が、上記のように移送キャビティ808、806内の圧力をコントロールするために提供される。各キャビティ806、80は、ファクトリインタフェース102に面する基板アクセスポート816を1つと、移送チャンバ106に面する単一の第2の基板アクセスポート816とを含む。したがって、移送キャビティ806、808に画成されている基板格納スロット810、812、820、822の各々は単一ポート816を介してロボット制御でアクセス可能である。各基板アクセスポートは、アクチュエータ804によって選択的に開閉される単一のバルブドア814によって選択的にシールされる。スリットバルブドア814は上記のように構築されてもよい。
[0068]図9は、大気環境と真空環境との間で基板を移送する方法900の別の実施形態の流れ図である。方法900はロードロックチャンバ800を参照して説明されているが、他のロードロックチャンバで実践されてもよい。
[0069]方法900の列902は、方法900の各ステップ間の連続した時間を図示している。この時間は任意であり、ステップごとに必要な相対的時間を表しているに過ぎない点に注目されたい。ステップごとに必要な時間は、基板のサイズ、真空および換気中の容積、およびチャンバの熱移送効率に左右される。列904は、ロードロックチャンバの移送キャビティの圧力状態を示している。方法900において、ロードロックチャンバ800の処理の上部移送キャビティを介する流れが説明される。類似のプロセスが下部移送キャビティで実行されてもよい。方法900の実施形態は他のロードロックチャンバでも実行可能である点が想定されている。
[0070]列906は、上部移送キャビティのスロット1および2中に配置されている基板に対して各時間ステップで行われる動作について説明している。列908は、上部基板移送キャビティのスロット3および4中に配置されている基板に対して行われる動作について説明している。
[0071]図10は、大気環境と真空環境との間で基板を移送する方法900の異なる段階における図8のロードロックチャンバ800の上部移送キャビティの真空条件を図示するグラフを描いている。縦軸1006は圧力を描き、横軸1008は時間を描いている。トレース1002はスロット1および2内の圧力を表しているのに対して、トレース1004はスロット3および4内の圧力を表している。
[0072]この方法は、キャビティが大気圧にある時間ゼロで開始する。2つの冷たい基板がスロット1および2から除去されて、大気ロボット112によってファクトリインタフェース102からの2つの新たな基板と置換される。2つの処理済み基板(つまり、処理チャンバ108のうちの1つ以上での処理から戻ってくる)はスロット3および4に留まり、冷却にさらされる。時間0:30において、上部移送キャビティは真空にポンプダウンされる。スロット1および2に配置されている2つの新たな基板は加熱されるが、スロット3および4に配置されている2つの基板は冷却を継続される。時間1:30において、上部移送キャビティは真空であり、スリットバルブドアは移送チャンバに対して開放される。スロット1および2に配置されている加熱基板は真空ロボット110によってプロセス基板と交換される。スロット3および4に配置されている2つの処理済み基板は冷却を継続される。したがって、この時、スロット1乃至4は処理済み基板をその中に配置している。
[0073]時間2:00において、上部移送キャビティは移送チャンバからシールされて、大気に換気される。スロット1および2に配置されている2つのプロセス基板は冷却されるが、スロット3および4の2つの基板は冷却を継続される。時間6:00において、上部移送キャビティは大気圧にあり、スリットバルブドアは、上部移送キャビティが大気ロボット112にアクセス可能になるように開放される。スロット1および2に配置されている2つの基板は冷却を継続されるが、スロット3および4に配置されている2つの冷却された基板は大気ロボットによって除去されて、カセット114から取得された2つの新たな基板と置換される。
[0074]時間6:30において、上部移送キャビティは真空にポンプダウンされる。スロット1および2に配置されている2つの基板は冷却を継続されるが、スロット3および4に配置されている2つの新たな基板は加熱される。時間7:30において、上部移送キャビティは真空であり、上部移送キャビティを移送チャンバから分離するロードロックチャンバを分離するスリットバルブドアは開放される。スロット1および2に配置されている2つの基板は冷却を継続されるが、スロット3および4に配置されている加熱された基板は真空ロボットによってプロセス基板と交換される。したがって、この時、スロット1乃至4は処理済み基板をこの中に配置している。
[0075]時間8:00において、上部移送キャビティは大気に換気される。スロット1および2に配置されている2つの基板は冷却を継続し、スロット3および4に配置されている2つの基板は冷却を開始する。時間12:00において、上部移送キャビティは大気圧であり、上部移送キャビティをファクトリインタフェースから分離するスリットバルブドアは開放されて、プロセスを再開させる。
[0076]したがって、ロードロックチャンバと、真空環境と大気環境間で基板を移送する方法が提供される。ダブルサイクル冷却によって基板は、熱ストレスを防止する速度で冷却される。個別チャンバでの基板の加熱および冷却は、熱汚染源を最小化および分離することによって、温度均一性を効果的に促進する。さらに、換気サイクルはポンプダウンサイクルに対して比較的長いため、加熱および冷却のイベントおよび時間は新たに引き離され、これは両者が個別チャンバで実行されるからであり、このことは、加熱および冷却プロセスのプロセス柔軟性を付加し、かつこの目標最適化を可能にする。
[0077]上記は本発明の好ましい実施形態を目的としているが、本発明の他のさらなる実施形態も、この基本的範囲から逸脱せずに考案されてもよい。本発明の範囲は添付の特許請求の範囲によって判断される。
本発明のロードロックチャンバの一実施形態を有する事例的クラスターツールの平面図である。 図1の断面ライン2−2に沿ったロードロックチャンバの断面図である。 図1のロードロックチャンバの部分的断面図である。 図1のロードロックチャンバの別の部分的断面図である。 ロードロックチャンバの内部の別の実施形態の部分的当尺図である。 ロードロックチャンバの内部の別の実施形態の部分的断面図である。 図1のロードロックチャンバの別の部分的断面図である。 大気環境と真空環境間で基板を移送する方法の一実施形態の流れ図である。 大気環境と真空環境間で基板を移送する方法の一実施形態の流れ図の別の実施形態である。 マルチチャンバロードロックチャンバの別の実施形態の側部断面図である。 大気環境と真空環境間で基板を移送する方法の別の実施形態の流れ図である。 図9の方法を実践する図8のロードロックチャンバのキャビティの真空条件を図示するグラフである。
符号の説明
100…クラスターツール、102…ファクトリインタフェース、104…ロードロックチャンバ、106…移送チャンバ、110…真空ロボット、112…大気ロボット、114…基板格納カセット、116…基板、202…本体アセンブリ、204…上部プレート、206…底部プレート、208、210…基板移送キャビティ、212…加熱キャビティ、216…基板アクセスポート、218…基板サポート、220…内部容積、224…通路、226…ピン、236…接続孔、238…換気通路、240…拡散器、242…プレナム、244…キャップ、248…本体、250…圧力コントロールシステム、252…ガス源、254…排気システム、256…入口コントロールバルブ、258…排気コントロールバルブ、260…入口ポート、262…排気ポート、266…ヒータ、268…電源、276…アパーチャ、280…コントローラ、282…CPU、284…メモリ、286…サポート回路、294…アクチュエータ、296…バー、298…内部プレート、302…下部表面、304…上部表面、312、314、316…スペーサ、318…スロット、320…シールアセンブリ、322…ベローズ、324…クランプブロック、402、404、406…アクチュエータ、440…特徴部、442…上部基板サポート、444…下部基板サポート、462…ロッド、464…起動ロッド、502…スロット、800…ロードロックチャンバ、802…本体、804…アクチュエータ、806…上部移送キャビティ、808…下部移送キャビティ、810、812、820、822…基板移送スロット、814…バルブドア、816…ポート、828…内部壁、830…分離プレート、832…チャネル、834…熱移送流体源、866…ヒータ、868…源

Claims (13)

  1. 大気環境と真空環境との間で基板を移送する方法であって、
    第1の未処理基板を大気環境から、ロードロックチャンバ本体に形成されている第1の基板移送キャビティに配置されている第1の基板サポートに移送するステップであって、前記第1の移送キャビティは第1の処理済み基板が第2の基板サポート上に位置決めされているステップと、
    前記第1の未処理基板と前記第1の処理済み基板を含む前記第1の移送キャビティを真空にするステップと、
    前記第1の未処理基板を前記第1の基板サポートから真空環境に移送するステップと、
    第2の処理済み基板を前記真空環境から前記第1の基板サポートに移送するステップと、
    を備える方法。
  2. 前記第1の基板移送キャビティを換気するステップと、
    前記第1の処理済み基板を前記第2の基板サポートから前記大気環境に移送するステップと、
    第2の未処理基板を前記大気環境から前記第2の基板サポートに移送するステップと、
    前記第2の未処理基板および前記第2の処理済み基板を含む前記第1の基板移送キャビティを真空にするステップと、
    前記第2の未処理基板を前記第2の基板サポートから前記真空環境に移送するステップと、
    第3の処理済み基板を前記真空環境から前記第2の基板サポートに移送するステップと、
    前記第3の処理済み基板および前記第2の処理済み基板を含む前記第1の基板移送キャビティを換気するステップと、
    前記第2の処理済み基板を前記第1の基板サポートから前記周囲環境に移送するステップと、
    第3の未処理基板を前記周囲環境から前記第1の基板サポートに移送するステップと、
    前記第3の未処理基板および前記第3の処理済み基板を含む前記第1の基板移送キャビティを真空にするステップと、
    をさらに備える、請求項1に記載の方法。
  3. 第2の未処理基板を前記大気環境から、前記ロードロックチャンバ本体に形成されている第2の基板移送キャビティに配置されている第3の基板サポートに移送するステップであって、前記第2の移送キャビティは第3の処理済み基板が第4の基板サポート上に位置決めされているステップと、
    前記第2の移送キャビティを真空にするステップと、
    前記第2の未処理基板を前記第3の基板サポートから前記真空環境に移送するステップと、
    第4の処理済み基板を前記真空環境から、前記第3の基板サポートに移送するステップと、
    前記第2の基板移送キャビティを換気するステップと、
    前記第3の処理済み基板を前記第4の基板サポートから前記大気環境に移送するステップと、
    をさらに備える、請求項1に記載の方法。
  4. 第3の未処理基板を前記大気環境から前記第4の基板サポートに移送するステップと、
    前記第3の未処理基板および前記第4の処理済み基板を含む前記第2の基板移送キャビティを真空にするステップと、
    前記第3の未処理基板を前記第4の基板サポートから前記真空環境に移送するステップと、
    第5の処理済み基板を前記真空環境から前記第4の基板サポートに移送するステップと、
    前記第4および第5の処理済み基板を含む前記第2の基板移送キャビティを換気するステップと、
    前記第4の処理済み基板を前記第3の基板サポートから前記周囲環境に移送するステップと、
    第3の未処理基板を前記真空環境から前記第3の基板サポートに移送するステップと、
    前記第3の未処理基板および前記第5の処理済み基板を含む前記第2の基板移送キャビティを真空にするステップと、
    をさらに備える、請求項3に記載の方法。
  5. 前記第1の未処理基板を前記真空環境から、前記チャンバ本体に形成されている加熱チャンバに移送するステップをさらに備える、請求項1に記載の方法。
  6. 前記加熱ステップがさらに、
    前記基板を真空条件下で加熱する工程を備える、請求項5に記載の方法。
  7. 前記加熱ステップがさらに、
    前記加熱チャンバを移送チャンバからシールする工程と、
    前記加熱チャンバ内の圧力を上昇させる工程と、
    を備える、請求項5に記載の方法。
  8. 前記加熱された未処理基板を前記加熱チャンバから前記真空環境に移送するステップをさらに備える、請求項5に記載の方法。
  9. 大気環境と真空環境との間で基板を移送する方法であって、
    第1の未処理基板を大気環境から、ロードロックチャンバ本体に形成されている第1の基板移送キャビティに配置されている第1の基板サポートに移送するステップであって、前記第1の基板移送キャビティは第1の処理済み基板が第2の基板サポートに位置決めされているステップと、
    前記第1の未処理基板と前記第1の処理済み基板を含む前記第1の基板移送キャビティを真空にするステップと、
    前記第1の未処理基板を前記第1の基板サポートから真空環境に移送するステップと、
    第2の処理済み基板を前記真空環境から前記第1の基板サポートに移送するステップと、
    を備え、
    前記第1の処理済み基板が相対的に冷却される場合に、前記第1の基板サポートを用いて前記ロードロックチャンバ本体の近傍に移動され、それによって、前記第1の処理済み基板および前記ロードロックチャンバ本体間の熱交換を促進する方法。
  10. 前記第1の処理済み基板が記ロードロックチャンバ本体の中へ移動される、請求項9に記載の方法。
  11. 前記第1の基板サポートと前記第2の基板サポートが前記第1の基板移送キャビティ内で垂直に整列される、請求項1に記載の方法。
  12. 前記第1の基板サポートと前記第2の基板サポートとの垂直配列を選択的に調整するステップであって、前記第1の基板サポートと前記第2の基板サポートが独立して移動可能である、請求項11に記載の方法。
  13. 前記第1の基板サポートと前記第2の基板サポートは、前記第1の基板移送キャビティ内で互いに相対的に垂直に移動可能である、請求項1に記載の方法。
JP2009513476A 2006-06-02 2007-06-01 マルチスロットロードロックチャンバおよび操作方法 Expired - Fee Related JP5072958B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/421,793 US7665951B2 (en) 2006-06-02 2006-06-02 Multiple slot load lock chamber and method of operation
US11/421,793 2006-06-02
PCT/US2007/070246 WO2007143567A2 (en) 2006-06-02 2007-06-01 Multiple slot load lock chamber and method of operation

Publications (3)

Publication Number Publication Date
JP2009540547A JP2009540547A (ja) 2009-11-19
JP2009540547A5 JP2009540547A5 (ja) 2010-07-22
JP5072958B2 true JP5072958B2 (ja) 2012-11-14

Family

ID=38790413

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009513476A Expired - Fee Related JP5072958B2 (ja) 2006-06-02 2007-06-01 マルチスロットロードロックチャンバおよび操作方法

Country Status (7)

Country Link
US (2) US7665951B2 (ja)
EP (1) EP2024264A4 (ja)
JP (1) JP5072958B2 (ja)
KR (2) KR100945331B1 (ja)
CN (2) CN102275739A (ja)
TW (1) TWI394699B (ja)
WO (1) WO2007143567A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170141747A (ko) * 2015-04-22 2017-12-26 어플라이드 머티어리얼스, 인코포레이티드 로드락 장치, 냉각 플레이트 조립체, 및 전자 디바이스 프로세싱 시스템들 및 방법들

Families Citing this family (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US8113757B2 (en) * 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7880598B2 (en) 2006-12-08 2011-02-01 International Business Machines Corporation Six face, multi-event, orientation sensor
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US10541157B2 (en) * 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US8500382B2 (en) * 2007-05-22 2013-08-06 Axcelis Technologies Inc. Airflow management for particle abatement in semiconductor manufacturing equipment
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP2009182235A (ja) * 2008-01-31 2009-08-13 Tokyo Electron Ltd ロードロック装置および基板冷却方法
JP5108557B2 (ja) * 2008-02-27 2012-12-26 東京エレクトロン株式会社 ロードロック装置および基板冷却方法
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8033771B1 (en) * 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
KR101111399B1 (ko) * 2009-02-09 2012-02-24 주식회사 싸이맥스 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버
JP5037551B2 (ja) * 2009-03-24 2012-09-26 東京エレクトロン株式会社 基板交換機構及び基板交換方法
JP5511536B2 (ja) * 2010-06-17 2014-06-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8834155B2 (en) * 2011-03-29 2014-09-16 Institute of Microelectronics, Chinese Academy of Sciences Wafer transfer apparatus and wafer transfer method
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US10121681B2 (en) * 2011-04-15 2018-11-06 Wuxi Huaying Microelectronics Technology Co., Ltd Semiconductor processing device
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9435626B2 (en) * 2011-08-12 2016-09-06 Corning Incorporated Kinematic fixture for transparent part metrology
WO2013102577A1 (en) * 2012-01-04 2013-07-11 Tel Solar Ag Heat transfer control in pecvd systems
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
CN104137248B (zh) * 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9337014B1 (en) * 2012-03-09 2016-05-10 Alta Devices, Inc. Processing system architecture with single load lock chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN105580124B (zh) * 2013-09-26 2018-05-18 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
KR102170150B1 (ko) * 2014-03-04 2020-10-26 주식회사 제우스 분리형 기판 열처리 장치
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10278501B2 (en) 2014-04-25 2019-05-07 Applied Materials, Inc. Load lock door assembly, load lock apparatus, electronic device processing systems, and methods
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
CN104269369A (zh) * 2014-08-29 2015-01-07 沈阳拓荆科技有限公司 一种通过真空装载腔为晶圆预热的装置及方法
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6294365B2 (ja) * 2016-01-29 2018-03-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
WO2017144782A1 (en) * 2016-02-26 2017-08-31 Beneq Oy Improved aerosol coating device and method
US11776825B2 (en) * 2016-03-08 2023-10-03 Evatec Ag Chamber for degassing substrates
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
WO2018061108A1 (ja) * 2016-09-28 2018-04-05 株式会社日立国際電気 基板処理装置、基板冷却ユニットおよび半導体装置の製造方法
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6947914B2 (ja) * 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
JP7296410B2 (ja) 2018-07-17 2023-06-22 エーエスエムエル ネザーランズ ビー.ブイ. 粒子ビーム検査装置
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202230583A (zh) * 2020-12-22 2022-08-01 日商東京威力科創股份有限公司 基板處理系統及微粒去除方法
CN113140483A (zh) * 2021-03-03 2021-07-20 上海璞芯科技有限公司 一种晶圆的传片方法和传片平台
CN113213204A (zh) * 2021-06-11 2021-08-06 丰县鑫牧网络科技有限公司 印刷机用存纸盒

Family Cites Families (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5187115A (en) * 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
CH626214GA3 (ja) * 1979-02-07 1981-11-13
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5374147A (en) 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) * 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4759681A (en) * 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4784377A (en) 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4846102A (en) * 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0333058Y2 (ja) 1987-06-26 1991-07-12
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
DE3855871T2 (de) * 1987-09-11 1997-10-16 Hitachi Ltd Vorrichtung zur Durchführung einer Wärmebehandlung an Halbleiterplättchen
US5020475A (en) * 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
FR2621930B1 (fr) * 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
DE58909880D1 (de) * 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
EP0858867A3 (en) 1989-10-20 1999-03-17 Applied Materials, Inc. Robot apparatus
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5227807A (en) * 1989-11-29 1993-07-13 Ael Defense Corp. Dual polarized ambidextrous multiple deformed aperture spiral antennas
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (ja) 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
KR0162102B1 (ko) * 1991-05-29 1999-02-01 이노우에 아키라 반도체 제조장치
US5131460A (en) * 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
KR100230697B1 (ko) 1992-02-18 1999-11-15 이노우에 쥰이치 감압 처리 장치
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH0616206A (ja) 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
EP0608620B1 (en) 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
DE69323716T2 (de) 1993-01-28 1999-08-19 Applied Materials Inc Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5421889A (en) * 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
EP0634787B1 (en) 1993-07-15 1997-05-02 Applied Materials, Inc. Subsrate tray and ceramic blade for semiconductor processing apparatus
TW276353B (ja) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3136345B2 (ja) 1994-08-25 2001-02-19 富士電子工業株式会社 高周波加熱装置
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) * 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
JPH08340036A (ja) * 1995-06-09 1996-12-24 Tokyo Electron Ltd 処理装置
TW309503B (ja) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) * 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100238998B1 (ko) * 1995-07-26 2000-01-15 우치가사키 기이치로 가열로
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
CH691376A5 (de) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
TW318258B (ja) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5793050A (en) 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
JP3549141B2 (ja) * 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) * 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JP3286240B2 (ja) * 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
US6717578B1 (en) * 1998-02-17 2004-04-06 Sun Microsystems, Inc. Graphics system with a variable-resolution sample buffer
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
KR100561703B1 (ko) * 1998-11-12 2006-03-17 동경 엘렉트론 주식회사 처리시스템
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
JP2000306978A (ja) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd 基板処理装置、基板搬送装置、および基板処理方法
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6309161B1 (en) * 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6410455B1 (en) 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
US6734950B2 (en) * 2000-06-13 2004-05-11 Canon Kabushiki Kaisha Load-lock chamber and exposure apparatus using the same
JP2004523880A (ja) * 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
US6609869B2 (en) 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
CN1309859C (zh) 2001-05-17 2007-04-11 东京电子株式会社 基于圆筒的等离子体处理系统
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP4244555B2 (ja) * 2002-02-25 2009-03-25 東京エレクトロン株式会社 被処理体の支持機構
JP4168642B2 (ja) * 2002-02-28 2008-10-22 東京エレクトロン株式会社 被処理体収納容器体及び処理システム
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
JP3970184B2 (ja) * 2003-01-10 2007-09-05 東京エレクトロン株式会社 処理装置
JP4040499B2 (ja) * 2003-03-06 2008-01-30 キヤノン株式会社 ロードロック室、処理システム及び処理方法
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
WO2005048313A2 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
JP2005277049A (ja) * 2004-03-24 2005-10-06 Tokyo Electron Ltd 熱処理システム及び熱処理方法
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170141747A (ko) * 2015-04-22 2017-12-26 어플라이드 머티어리얼스, 인코포레이티드 로드락 장치, 냉각 플레이트 조립체, 및 전자 디바이스 프로세싱 시스템들 및 방법들
KR102278413B1 (ko) 2015-04-22 2021-07-15 어플라이드 머티어리얼스, 인코포레이티드 로드락 장치, 냉각 플레이트 조립체, 및 전자 디바이스 프로세싱 시스템들 및 방법들

Also Published As

Publication number Publication date
TWI394699B (zh) 2013-05-01
US8061949B2 (en) 2011-11-22
EP2024264A4 (en) 2012-04-11
KR20080031851A (ko) 2008-04-11
KR101289024B1 (ko) 2013-07-23
JP2009540547A (ja) 2009-11-19
US7665951B2 (en) 2010-02-23
CN101472814A (zh) 2009-07-01
TW200817263A (en) 2008-04-16
US20070280816A1 (en) 2007-12-06
US20100139889A1 (en) 2010-06-10
KR100945331B1 (ko) 2010-03-08
WO2007143567A2 (en) 2007-12-13
KR20100017367A (ko) 2010-02-16
WO2007143567A3 (en) 2008-12-04
CN102275739A (zh) 2011-12-14
EP2024264A2 (en) 2009-02-18

Similar Documents

Publication Publication Date Title
JP5072958B2 (ja) マルチスロットロードロックチャンバおよび操作方法
JP5297376B2 (ja) チューブ形状のヒーターを備えたロードロックチャンバー
KR100848899B1 (ko) 분리된 챔버 바디
JP5745764B2 (ja) 分離されたスリットバルブドアシールコンパートメントを備えたロードロックチャンバ
US20070006936A1 (en) Load lock chamber with substrate temperature regulation
US20180025924A1 (en) Methods and apparatus for rapidly cooling a substrate
US20090317215A1 (en) Vacuum chamber for processing substrate and apparatus including the same
US7771563B2 (en) Systems and methods for achieving isothermal batch processing of substrates used for the production of micro-electro-mechanical-systems
KR20220020100A (ko) 기판 처리 장치 및 액 공급 유닛
JP2001284334A (ja) 基板処理方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100527

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120406

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120724

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120821

R150 Certificate of patent or registration of utility model

Ref document number: 5072958

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150831

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees