KR101111399B1 - 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버 - Google Patents

웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버 Download PDF

Info

Publication number
KR101111399B1
KR101111399B1 KR1020090010175A KR20090010175A KR101111399B1 KR 101111399 B1 KR101111399 B1 KR 101111399B1 KR 1020090010175 A KR1020090010175 A KR 1020090010175A KR 20090010175 A KR20090010175 A KR 20090010175A KR 101111399 B1 KR101111399 B1 KR 101111399B1
Authority
KR
South Korea
Prior art keywords
wafer
support pad
chamber
heat treatment
plate
Prior art date
Application number
KR1020090010175A
Other languages
English (en)
Other versions
KR20100090940A (ko
Inventor
최형섭
박세운
Original Assignee
주식회사 싸이맥스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 싸이맥스 filed Critical 주식회사 싸이맥스
Priority to KR1020090010175A priority Critical patent/KR101111399B1/ko
Publication of KR20100090940A publication Critical patent/KR20100090940A/ko
Application granted granted Critical
Publication of KR101111399B1 publication Critical patent/KR101111399B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 웨이퍼를 전달하는 과정 및 웨이퍼를 열처리시키는 과정이 하나의 모듈에서 수행되는 로드락 챔버에 관한 것으로, 웨이퍼 전달부에서 웨이퍼를 전달받아 프로세스 챔버로 전달시키고, 프로세싱된 웨이퍼를 전달받는 로드락 챔버에 있어서, 위치가 고정된 제1상부 웨이퍼 지지 패드 및 제1상부 웨이퍼 지지 패드와 제1웨이퍼 열처리부 사이에 구비되어 상하 왕복이동되는 제2상부 웨이퍼 지지 패드를 포함하는 상부모듈 및 위치가 고정된 제1하부 웨이퍼 지지 패드 및 제1하부 웨이퍼 지지 패드와 제2웨이퍼 열처리부 사이에 구비되어 상하 왕복이동되는 제2하부 웨이퍼 지지 패드를 포함하는 하부모듈을 포함한다.
본 발명에 따르면, 로드락 챔버 내에서 웨이퍼 지지 패드를 제1상부 웨이퍼 지지 패드, 제2상부 웨이퍼 지지 패드, 제1하부 웨이퍼 지지 패드 및 제2하부 웨이퍼 지지 패드로 구비하고, 제1상부 웨이퍼 지지 패드와 제1하부 웨이퍼 지지 패드는 고정된 상태에서 프로세싱되기 위한 웨이퍼가 안착되며, 제2상부 웨이퍼 지지 패드와 제2하부 웨이퍼 지지 패드는 프로세싱 후 안착되는 웨이퍼를 열처리부로 이동시켜 웨이퍼가 냉각되도록 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버를 제공할 수 있다.

Description

웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버{Loadlock chamber performing a wafer transmission and a heat treatment simultaneously}
본 발명은 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버에 관한 것으로, 상세하게는 웨이퍼를 프로세싱하기 위해 웨이퍼를 전달하는 과정 및 프로세싱된 웨이퍼를 열처리시키는 과정이 하나의 모듈에서 동시에 수행되는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버에 관한 것이다.
집적회로(ICs), 디램(DRAM) 등과 같은 반도체 제조에서, 크고 얇은 웨이퍼(일반적으로 실리콘)는 반도체 제조를 위해 하나의 프로세스 챔버로부터 다른 프로세스 챔버로 신속하게 이송되어야 한다. 웨이퍼의 이런 이송은, 절대 청정 상태 하에서 실행되며, 주로 대기압보다 낮은 압력하에서 실행된다.
최근에 웨이퍼를 이송하기 위한 다양한 기계적 설비들이 개발되어 왔으며, 통상 오염방지와 더불어 안정적인 운반을 도모할 수 있는 로봇이 사용된다. 특히 3차원적인 웨이퍼 이송을 위해서 회전 및 승강과 수축 신장동작을 적절히 연계할 수 있는 아암형 로봇이 주로 사용된다.
이러한 아암형 로봇은, 로드락 챔버로부터 웨이퍼를 공급받고 각각의 웨이퍼 를 선택된 프로세스 챔버 내로 이송시키는데, 이러한 공정을 전(前) 공정이라 한다.
전(前) 공정 후, 하나의 프로세스 챔버에서 프로세싱이 끝난 후, 아암형 로봇은 프로세싱된 웨이퍼를 다른 프로세스 챔버 내로 삽입하거나 로드락 챔버 및 각각의 카세트로 되돌려 보내는데, 이러한 공정을 후(後)공정이라 한다.
그런데, 종래에는 프로세스 챔버로부터 반출되는 웨이퍼를 냉각시키는 별도의 공간이 필요했으며, 웨이퍼를 열처리시키는 시간이 추가로 소요되어 전체적인 프로세싱 효율이 떨어지는 문제점이 있었다.
상술한 문제점을 해결하기 위한 본 발명의 목적은, 로드락 챔버 내에서 웨이퍼 지지 패드를 제1상부 웨이퍼 지지 패드, 제2상부 웨이퍼 지지 패드, 제1하부 웨이퍼 지지 패드 및 제2하부 웨이퍼 지지 패드로 구비하고, 제1상부 웨이퍼 지지 패드와 제1하부 웨이퍼 지지 패드는 고정된 상태에서 프로세싱되기 위한 웨이퍼가 안착되며, 제2상부 웨이퍼 지지 패드와 제2하부 웨이퍼 지지 패드는 프로세싱 후 안착되는 웨이퍼를 열처리부로 이동시켜 웨이퍼가 냉각되도록 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버를 제공하는 것이다.
상기 목적을 달성하기 위한 본 발명의 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버는, 웨이퍼 전달부에서 웨이퍼를 전달받아 프로세스 챔버로 전달시키고, 프로세싱된 웨이퍼를 전달받는 로드락 챔버에 있어서, 제1웨이퍼 열처리부(660)와, 상기 제1웨이퍼 열처리부 상부에 구비되는 제2상부 웨이퍼 지지 패드(640)와, 상기 제2상부 웨이퍼 지지 패드의 상부에 구비되는 제1상부 웨이퍼 지지 패드(650)와, 상기 제2상부 웨이퍼 지지 패드를 상하 이동시키는 제1구동부(680)를 포함하는 상부모듈(600); 및 제1하부 웨이퍼 지지 패드(750)와 상기 제1하부 웨이퍼 지지 패드 하부에 구비되는 제2하부 웨이퍼 지지 패드(740)와, 상기 제2하부 웨이퍼 지지 패드 하부에 구비되고 일측과 타측에 관통공이 형성되는 제2웨이퍼 열처리부(760)와, 상기 제2하부 웨이퍼 지지 패드를 상하 이동시키는 제2구동부(780)를 포함하는 하부모듈(700); 을 포함하고, 상기 제1상부 웨이퍼 지지 패드는 위치가 고정되도록 설치되고, 상기 제2상부 웨이퍼 지지 패드는 상기 제1상부 웨이퍼 지지 패드와 제1웨이퍼 열처리부 사이에서 상하 왕복이동이 가능하도록 설치되고, 상기 제1하부 웨이퍼 지지 패드는 위치가 고정되도록 설치되고, 상기 제2하부 웨이퍼 지지 패드는 상기 제1하부 웨이퍼 지지 패드와 제2웨이퍼 열처리부 사이에서 상하 왕복이동이 가능하도록 설치되고, 상기 제1구동부는, 제1실린더(681); 상기 제1실린더 하면에 결합되는 제1실린더 플레이트(682); 상기 제1실린더 플레이트의 중앙부를 관통하면서 제1실린더에 의해 상하로 이동되는 제1실린더 로드(689); 상기 제1실린더 플레이트의 하부에 상단이 각각 결합되고, 하단은 로드락 챔버(200)에 탈부착 가능하게 결합되는 제1챔버리드(610)에 각각 결합되는 다수개의 제1가이드 샤프트(685); 상기 제1실린더 로드와 연동되어 상하로 이동되고, 상기 제1챔버리드(610)를 관통하여 상기 제1챔버리드(610) 내측에 구비되는 연결판(620)에 결합되는 제1센터샤프트(687); 및 상기 연결판의 일측과 타측에 각각 결합되는 지지프레임(630); 을 포함하는 것을 특징으로 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버를 제공한다.
상기 상부 모듈은, 로드락 챔버(200)에 탈부착 가능하게 결합되는 제1챔버리드(610)를 포함하고, 상기 제1챔버리드(610)의 상부에 상기 제1구동부(680)가 설치되고, 상기 제1챔버리드 양측 하부에 제1브라켓(615)이 각각 결합되고, 상기 제1브라켓의 내측에 상기 제1상부 웨이퍼 지지 패드가 각각 고정되고, 상기 제1브라켓 하부에 상기 제1웨이퍼 열처리부가 고정된 것을 특징으로 한다.
삭제
상기 제1구동부는, 상기 제1실린더 플레이트 하부에 구비되고, 상기 제1가이드 샤프트가 각각 관통되도록 하여 상기 제1가이드 샤프트를 따라 왕복 이동되는 제1볼부쉬 플레이트(684); 및 상기 제1가이드 샤프트의 외주면에 설치되고, 상기 제1볼부쉬 플레이트에 결합되는 다수개의 제1볼부쉬(683)를 더 포함하고, 상기 제1실린더 로드와 제1볼부쉬 플레이트가 체결되고, 상기 제1볼부쉬 플레이트와 제1센터샤프트가 체결되는 것을 특징으로 한다.
삭제
상기 제2상부 웨이퍼 지지 패드는, 상기 지지프레임의 양 끝단에 내측 수평방향으로 돌출 형성된 것을 특징으로 한다.
상기 제1구동부는, 일측이 상기 제1실린더 로드에 체결되고 타측이 상기 제1볼부쉬 플레이트에 체결된 제1상부볼트(910), 상기 제1실린더 로드와 상기 제1상부볼트 사이에 체결된 제1상부너트(920), 상기 제1상부볼트와 제1볼부쉬 플레이트 사이에 체결된 제2상부너트(930) 및 제1볼부쉬 플레이트를 관통하여 상기 제1센터샤프트에 체결된 제2상부볼트(940)가 포함된 것을 특징으로 한다.
상기 하부모듈은, 상기 로드락 챔버에 탈부착 가능하게 결합되는 제2챔버리드(710)를 포함하고, 상기 제2챔버리드의 하부에 상기 제2구동부(780)가 설치되고, 상기 제2챔버리드 상부에 상기 제2웨이퍼 열처리부가 결합되고, 상기 제2웨이퍼 열처리부 양측 상부에 제2브라켓(715)이 각각 고정되고, 상기 제2브라켓 상부에 상기 제1웨이퍼 하부 패드가 고정된 것을 특징으로 한다.
삭제
상기 제2구동부는, 제2실린더(781); 상기 제2실린더 상면에 결합되는 제2실린더 플레이트(782); 상기 제2실린더 플레이트의 중앙부를 관통하면서 상기 제2실린더에 의해 상하로 이동되는 제2실린더 로드(789); 상기 제2실린더 플레이트의 상부에 하단이 각각 결합되고, 상단은 로드락 챔버(200)에 탈부착 가능하게 결합되는 제2챔버리드(710)에 각각 결합되는 다수개의 제2가이드 샤프트(785); 및 상기 제2실린더 로드와 연동되어 상하로 이동되고, 상기 제2챔버리드(710)를 관통하여 상기 제2챔버리드(710) 내측에 구비되는 지지판(720)에 결합되는 제2센터샤프트(787);를 포함하는 것을 특징으로 한다.
상기 제2구동부는, 상기 제2실린더 플레이트 상부에 구비되고, 상기 제2가이드 샤프트가 각각 관통되도록 하여 상기 제2가이드 샤프트를 따라 왕복 이동되는 제2볼부쉬 플레이트(784); 및 상기 제2가이드 샤프트의 외주면에 설치되고, 상기 제2볼부쉬 플레이트에 결합되는 다수개의 제2볼부쉬(783)를 포함하고, 상기 제2실린더 로드와 제2볼부쉬 플레이트가 체결되고, 상기 제2볼부쉬 플레이트(784)와 제2센터샤프트(787)가 체결되는 것을 특징으로 한다.
상기 제2하부 웨이퍼 지지 패드는, 핀 형상이고, 상기 지지판의 상부로 돌출되며, 관통공을 통하여 상하 이동되는 것을 특징으로 한다.
상기 제2구동부는, 일측이 상기 제2실린더 로드에 체결되고 타측이 상기 제2볼부쉬 플레이트에 체결된 제1하부볼트(960), 상기 제2실린더 로드와 제1하부볼트 사이에 체결된 제1하부너트(970), 상기 제1하부볼트와 제1볼부쉬 플레이트 사이에 체결된 제2하부너트(980) 및 상기 제2볼부쉬 플레이트를 관통하여 상기 제2센터샤프트에 체결된 제2하부볼트(990)가 포함된 것을 특징으로 한다.
상술한 바와 같이 본 발명에 따르면, 로드락 챔버 내에서 웨이퍼 지지 패드를 제1상부 웨이퍼 지지 패드, 제2상부 웨이퍼 지지 패드, 제1하부 웨이퍼 지지 패드 및 제2하부 웨이퍼 지지 패드로 구비하고, 제1상부 웨이퍼 지지 패드와 제1하부 웨이퍼 지지 패드는 고정된 상태에서 프로세싱되기 위한 웨이퍼가 안착되며, 제2상부 웨이퍼 지지 패드와 제2하부 웨이퍼 지지 패드는 프로세싱 후 안착되는 웨이퍼를 열처리부로 이동시켜 웨이퍼가 냉각되도록 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버를 제공할 수 있다.
이하, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 본 발명을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다.
도 1은 본 발명의 일실시예에 따른 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버를 내장한 반도체 제조 장치를 나타내는 평면도, 도 2는 본 발명의 일실시예에 따른 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버를 나타내는 사시도, 도 3은 본 발명의 일실시예에 따른 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버를 나타내는 정면도이다.
본 발명의 일실시예에 따른 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버는, 도 1 내지 도 3에 나타낸 바와 같이, 웨이퍼 전달부(100), 로드락 챔버(Loadlock Chamber; 200), 트랜스퍼 챔버(Transfer Chamber; 400) 및 프로세스 챔버(Process Chamber; 500)를 포함하여 구성된다.
웨이퍼 전달부(100)는 프로세싱하기 위한 웨이퍼(미도시)가 적재되어 구비되는 로드 포드(Load Pod; 110)가 다수로 일측에 구비되고, 로드 포드(110)에서 이송되어 온 웨이퍼를 정렬시키기 위한 얼라이너(Aligner; 150)가 타측에 구비된다. 그리고, 로드 포드(110)에 구비된 웨이퍼를 얼라이너(150)로 이송시키기 위한 제1웨이퍼 이송로봇(120)이 중앙부에 구비된다.
로드락 챔버(200)는 웨이퍼 전달부(100)의 얼라이너(150)에 구비된 웨이퍼가 내부로 삽입되면, 삽입된 웨이퍼를 프로세싱하기 위해 트랜스퍼 챔버(400)로 전달시키거나 프로세싱된 웨이퍼를 열처리시키기 위한 것이다.
로드락 챔버(200)는, 도 2와 도 3에 나타낸 바와 같이, 일측면 및 타측면에 웨이퍼가 삽입 및 배출되기 위한 웨이퍼 출입구(210)가 각각 형성되며, 상측 및 하측에는 로드락 챔버(200)로 삽입된 웨이퍼를 프로세싱하기 위해 트랜스퍼 챔버(400)로 전달시키거나 프로세싱된 웨이퍼를 열처리시키기 위한 상부모듈(600) 및 하부모듈(700)이 각각 결합된다.
본 발명에서는 4개의 챔버를 결합하여 로드락 챔버(200)를 구성함으로써, 일측면 및 타측면에 웨이퍼 출입구(230)가 각각 4개씩 형성되며, 상측에 상부모듈(600)이 2개씩 구비되고, 하측에 하부모듈(700)이 2개씩 구비된다.
도 4는 본 발명의 일실시예에 따른 상부모듈을 나타내는 사시도, 도 5는 본 발명의 일실시예에 따른 제1구동부를 나타내는 사시도, 도 6은 본 발명의 일실시예에 따른 제1구동부를 나타내는 정면도이다.
상부모듈(600)은 로드락 챔버(200)의 상부에 탈부착 가능하도록 결합되는데, 상부모듈(300)이 로드락 챔버(200)에 결합되면, 웨이퍼 출입구(210)와 상부모듈(600)의 내부가 같은 위치에 있게 된다. 따라서, 웨이퍼를 웨이퍼 출입구(210)를 통하여 로드락 챔버(200)에 삽입시키면, 상부모듈(600)의 내부로 삽입되는 것이다.
상부모듈(600)의 내부에는, 도 4에 나타낸 바와 같이, 상부모듈(600)로 삽입된 웨이퍼를 지지하는 제1상부 웨이퍼 지지 패드(650)가 내부 일측과 타측에 구비되고, 제1상부 웨이퍼 지지 패드(650) 하부에 상부모듈(600)로 삽입된 웨이퍼를 지지하는 제2상부 웨이퍼 지지 패드(640)가 구비되며, 제2상부 웨이퍼 지지 패드(640) 하측으로 웨이퍼를 열처리시키는 제1웨이퍼 열처리부(660)가 구비된다.
그래서, 웨이퍼 전달부(100)에 구비된 웨이퍼가 상부모듈(600) 내부로 전달되면, 제1상부 웨이퍼 지지 패드(650)에 안착되고, 프로세스 챔버(500)에서 프로세싱된 웨이퍼가 상부모듈(600) 내부로 전달되면, 제1상부 웨이퍼 지지 패드(650)와 제1웨이퍼 열처리부(660) 사이에 구비되는 제2상부 웨이퍼 지지 패드(640)에 안착되는 것이다.
본 발명에서 제1웨이퍼 열처리부(660)는 물을 이용하는 수랭식을 사용하였으며, 물이 흘러가는 수로를 지그재그 형태로 배치하여 웨이퍼가 전체적으로 일정하게 냉각될 수 있도록 하였다. 따라서, 상부모듈(600)에는 제1웨이퍼 열처리부(660)에 물을 공급하기 위한 급수구(미도시) 및 냉각에 사용된 물을 배수하기 위한 배수구(미도시)가 각각 구비될 수 있다.
상부모듈(600)의 상부에는, 상부모듈(600)을 로드락 챔버(200)에 고정시키기 위한 원판 형상의 제1챔버리드(610)가 탈부착 가능하게 결합되고, 제1챔버리드(610) 상부에 제1구동부(680)가 설치된다.
여기서, 제1챔버리드(610) 양측 하부에 제1브라켓(615)이 각각 결합되는데, 제1브라켓(615)의 내측에 제1상부 웨이퍼 지지 패드(650)가 각각 고정 결합되고, 제1브라켓(615) 하부에 제1웨이퍼 열처리부(660)가 고정 결합된다.
제1구동부(680)는 유압 또는 공압 실린더인 제1실린더(681)가 구비되며, 제1실린더(681) 하면에 정삼각형 형상의 제1실린더 플레이트(682)가 결합된다.
그리고, 제1실린더 플레이트(682)의 모서리 하부에 제1가이드 샤프트(685) 상단이 제1실린더 플레이트(682)를 관통되어 결합되고, 제1가이드 샤프트(685) 하단은 제1챔버리드(610)에 결합된다.
여기서, 제1실린더 플레이트(682)가 정삼각형 형상이라서 3개의 모서리가 형성되기 때문에 3개의 제1가이드 샤프트(685)가 결합된다.
제1실린더 플레이트(682) 하부에는 정삼각형 형상의 제1볼부쉬 플레이트(684)가 제1가이드 샤프트(685)에 결합되어 구비되는데, 제1볼부쉬 플레이트(684)의 모서리로 제1가이드 샤프트(685)가 관통된다.
그리고, 제1볼부쉬 플레이트(684)의 모서리 상면으로 제1볼부쉬(683)가 결합되는데, 제1볼부쉬(683)의 중앙부를 제1가이드 샤프트(685)가 관통되면서, 제1볼부쉬 플레이트(684) 상면에 결합된다.
여기서, 제1가이드 샤프트(685)가 3개, 제1볼부쉬 플레이트(684)의 모서리가 3개이므로, 3개의 제1볼부쉬(683)가 결합된다.
그래서, 제1볼부쉬(683)가 결합된 제1볼부쉬 플레이트(683)는 제1가이드 샤프트(685)를 따라 왕복 이동된다.
제1실린더(681)의 하부에는 제1실린더(681)에 의해 상하로 이동되는 제1실린더 로드(689)가 제1실린더 플레이트(682)의 중앙부를 관통하여 결합된다.
그리고, 제1실린더 로드(689)와 연동되어 상하로 이동되는 제1센터 샤프트(687)가 결합되는데, 제1센터 샤프트(687)의 상단은 제1볼부쉬 플레이트(683)의 하부에 결합되고, 하단은 직사각형 형상의 연결판(620)에 결합된다.
연결판(620)은 제1챔버리드(610)의 내측에 구비되는 것으로, 제1센터 샤프 트(687)는 제1챔버리드(610)를 관통하면서 구비된다.
이어서, 제1챔버리드(610)의 내측에 구비된 연결판(620)의 일측과 타측에 지지프레임(630)이 각각 결합되고, 지지프레임(630)의 양 끝단 하부에 내측 수평방향으로 제2상부 웨이퍼 지지 패드(640)가 돌출 형성된다.
한 쌍의 지지프레임(630)에는 모두 4개의 제2상부 웨이퍼 지지 패드(640)가 결합되며, 4개의 제2상부 웨이퍼 지지 패드(640)가 하나의 웨이퍼를 가이드 하는 것이다.
여기서, 제1실린더 로드(689)와 제1볼부쉬 플레이트(683)는 제1상부볼트(910)에 의해서 체결되는데, 제1상부볼트(910)의 일측은 제1실린더 로드(689)에 체결되고, 타측은 제1볼부쉬 플레이트(683)에 체결된다.
그리고, 제1실린더 로드(689)와 제1상부볼트(910) 사이에 제1상부너트(920)가 체결되고, 제1상부볼트(910)와 제1볼부쉬 플레이트(683)는 제2상부너트(930)가 체결되어 제1실린더 로드(689), 제1상부볼트(910) 및 제1볼부쉬 플레이트(683)가 견고히 체결되도록 한다.
또한, 제1볼부쉬 플레이트(683)를 관통하여, 제1센터샤프트(687)로 제2상부볼트(940)가 체결되어, 제1볼부쉬 플레이트(683)와 제1센터샤프트(687)가 견고히 체결되도록 한다.
그래서, 제1실린더(681), 제1실린더 플레이트(682) 및 제1가이드 샤프트(685)는 고정된 상태이며, 제1실린더(681)가 구동되면, 제1실린더 로드(689)가 구동력을 전달받아 상하로 이동되면서 제1볼부쉬(683)과 제1볼부쉬 플레이트(684) 가 제1가이드 샤프트(685)를 따라서 상하로 이동된다.
이어서, 제1볼부쉬 플레이트(683)에 체결된 제1센터샤프트(687)가 상하로 이동되며, 제1센터샤프트(687)에 연결된 연결판(620), 연결판(620)에 연결된 지지프레임(630)이 상하로 이동되고, 이어서, 지지프레임(630)에 연결된 제2상부 웨이퍼 지지 패드(640)가 최종적으로 상하로 이동된다.
도 7은 본 발명의 일실시예에 따른 하부모듈을 나타내는 사시도, 도 8은 본 발명의 일실시예에 따른 제2구동부를 나타내는 사시도, 도 9는 본 발명의 일실시예에 따른 제2구동부를 나타내는 정면도이다.
하부모듈(700)은 상부모듈(600)과 마찬가지로 로드락 챔버(200)의 하부에 탈부착 가능하도록 결합되는데, 하부모듈(700)이 로드락 챔버(200)에 결합되면, 웨이퍼 출입구(210)와 하부모듈(700)의 내부가 같은 위치에 있게 된다. 따라서, 웨이퍼를 웨이퍼 출입구(210)를 통하여 로드락 챔버(200)에 삽입시키면, 하부모듈(700)의 내부로 삽입되는 것이다.
하부모듈(700)의 내부에는, 도 8에 나타낸 바와 같이, 하부모듈(700)로 삽입된 웨이퍼를 지지하는 제1하부 웨이퍼 지지 패드(750)가 상측의 일측과 타측에 구비되고, 제1하부 웨이퍼 지지 패드(750) 하부에 하부모듈(700)로 삽입된 웨이퍼를 지지하는 제2하부 웨이퍼 지지 패드(740)가 구비되며, 제2하부 웨이퍼 지지 패드(740) 하측으로 웨이퍼를 열처리시키는 제2웨이퍼 열처리부(760)가 구비된다.
그래서, 웨이퍼 전달부(100)에 구비된 웨이퍼가 하부모듈(700) 내부로 전달 되면, 제1하부 웨이퍼 지지 패드(750)에 안착되고, 프로세스 챔버(500)에서 프로세싱된 웨이퍼가 하부모듈(700) 내부로 전달되면, 제1하부 웨이퍼 지지 패드(750)와 제2웨이퍼 열처리부(760) 사이에 구비되는 제2하부 웨이퍼 지지 패드(740)에 안착되는 것이다.
본 발명에서 제2웨이퍼 열처리부(760)는 제1웨이퍼 열처리부(660)와 마찬가지로 물을 이용하는 수랭식을 사용하였으며, 물이 흘러가는 수로를 지그재그 형태로 배치하여 웨이퍼가 전체적으로 일정하게 냉각될 수 있도록 하였다. 따라서, 하부모듈(700)에는 제2웨이퍼 열처리부(760)에 물을 공급하기 위한 급수구(미도시) 및 냉각에 사용된 물을 배수하기 위한 배수구(미도시)가 각각 구비될 수 있다.
하부모듈(700)의 하부에는, 하부모듈(700)을 로드락 챔버(200)에 고정시키기 위한 원판 형상의 제2챔버리드(710)가 탈부착 가능하게 결합되고, 제2챔버리드(710) 하부에 제2구동부(780)가 설치된다.
여기서, 제2챔버리드(710) 상부에 일측과 타측에 관통공이 형성되는 제2웨이퍼 열처리부(760)가 고정 결합되고, 제2웨이퍼 열처리부(760) 양측 상부에 제2브라켓(715)이 각각 고정 결합되고, 제2브라켓(715) 상부에 제1웨이퍼 하부 패드(750)가 고정 결합된다.
제2구동부(780)는 제1구동부(680)와 마찬가지로 유압 또는 공압 실린더인 제2실린더(781)가 구비되며, 제2실린더(781) 상면에 정삼각형 형상의 제2실린더 플레이트(782)가 결합된다.
그리고, 제2실린더 플레이트(782)의 모서리 상부에 제2가이드 샤프트(785) 하단이 제2실린더 플레이트(782)를 관통되어 결합되고, 제2가이드 샤프트(785) 상단은 제2챔버리드(710)에 결합된다.
제2실린더 플레이트(782)가 정삼각형 형상이라서 3개의 모서리가 형성되기 때문에 3개의 제2가이드 샤프트(785)가 결합된다.
제2실린더 플레이트(782) 상부에는 정삼각형 형상의 제2볼부쉬 플레이트(784)가 제2가이드 샤프트(785)에 결합되어 구비되는데, 제2볼부쉬 플레이트(784)의 모서리로 제2가이드 샤프트(785)가 관통된다.
그리고, 제2볼부쉬 플레이트(784)의 모서리 하면으로 제2볼부쉬(783)가 결합되는데, 제2볼부쉬(783)의 중앙부를 제2가이드 샤프트(785)가 관통되면서, 제2볼부쉬 플레이트(784) 하면에 결합된다.
여기서, 제2가이드 샤프트(785)가 3개, 제2볼부쉬 플레이트(784)의 모서리가 3개이므로, 3개의 제2볼부쉬(783)가 결합되며, 제2볼부쉬(783)가 결합된 제2볼부쉬 플레이트(783)는 제2가이드 샤프트(785)를 따라 왕복 이동된다.
제2실린더(781)의 상부에는 제2실린더(781)에 의해 상하로 이동되는 제2실린더 로드(789)가 제2실린더 플레이트(782)의 중앙부를 관통하여 결합된다.
그리고, 제2실린더 로드(789)와 연동되어 상하로 이동되는 제2센터 샤프트(787)가 결합되는데, 제2센터 샤프트(787)의 하단은 제2볼부쉬 플레이트(783)의 상부에 결합되고, 상단은 'X'자 형상의 지지판(720)에 결합된다.
지지판(720)은 제2챔버리드(710)의 내측에 구비되는 것으로, 제2센터 샤프트(787)는 제2챔버리드(710)를 관통하면서 구비된다.
이어서, 제2챔버리드(710)의 내측에 구비된 지지판(720)의 모서리 상면에 핀 형상의 제2하부 웨이퍼 지지 패드(740)가 각각 지지판(720)의 상부로 돌출되어 결합되며, 지지판(720)에는 4개의 모서리가 형성되기 때문에, 4개의 제2하부 웨이퍼 지지 패드(740)가 하나의 웨이퍼를 가이드 하는 것이다.
여기서, 제2실린더 로드(789)와 제2볼부쉬 플레이트(783)는 제1하부볼트(960)에 의해서 체결되는데, 제1하부볼트(960)의 일측은 제2실린더 로드(789)에 체결되고, 타측은 제2볼부쉬 플레이트(783)에 체결된다.
그리고, 제2실린더 로드(789)와 제1하부볼트(960) 사이에 제1하부너트(970)가 체결되고, 제1하부볼트(960)와 제2볼부쉬 플레이트(783)는 제2하부너트(980)가 체결되어 제2실린더 로드(789), 제1하부볼트(960) 및 제2볼부쉬 플레이트(783)가 견고히 체결되도록 한다.
또한, 제2볼부쉬 플레이트(783)를 관통하여, 제2센터샤프트(787)로 제2하부볼트(990)가 체결되어, 제2볼부쉬 플레이트(783)와 제2센터샤프트(787)가 견고히 체결되도록 한다.
그래서, 제2실린더(781), 제2실린더 플레이트(782) 및 제2가이드 샤프트(785)는 고정된 상태이며, 제2실린더(781)가 구동되면, 제2실린더 로드(789)가 구동력을 전달받아 상하로 이동되면서, 제2볼부쉬(783)와 제2볼부쉬 플레이트(784)가 제2가이드 샤프트(785)를 따라서 상하로 이동된다.
이어서, 제2볼부쉬 플레이트(784)에 체결된 제2센터샤프트(787)가 상하로 이동되며, 제2센터샤프트(787)에 연결된 지지판(720), 지지판(720)에 연결된 제2하부 웨이퍼 지지 패드(740)가 최종적으로 제2웨이퍼 열처리부(760)에 형성된 관통공을 통하여 상하 이동된다.
도 10은 본 발명의 일실시예에 따른 제1구동부의 구동 상태를 나타내는 정면도, 도 11은 본 발명의 일실시예에 따른 제2구동부의 구동 상태를 나타내는 정면도이다.
상기와 같이 로드락 챔버(200)가 구성되고, 도 10a에 나타낸 바와 같이, 제2상부 웨이퍼 지지 패드(640)가 위 방향으로 이동되고, 도 11a에 나타낸 바와 같이, 제2하부 웨이퍼 지지 패드(740)가 위 방향으로 이동된 상태에서, 웨이퍼 전달부(100)의 얼라이너(150)에 웨이퍼가 구비되면, 제1웨이퍼 이송로봇(120)을 이용하여 웨이퍼를 로드락 챔버(200) 내부로 삽입시킨다. 여기서, 로드락 챔버(200)는 4개의 챔버가 구비되므로 작업 속도가 향상될 수 있다.
로드락 챔버(200)에 웨이퍼가 삽입되면, 진공상태를 만들기 위해서 별도의 진공장치를 통한 펌핑을 한다.
로드락 챔버(200)에 삽입된 웨이퍼는 상부모듈(600)에 구비된 제1상부 웨이퍼 지지 패드(650)에 안착된다. 물론, 웨이퍼가 하부모듈(700)로 삽입되면 하부모듈(700)에 구비된 제1하부 웨이퍼 지지 패드(750)에 안착되는 것이다.
제1상부 웨이퍼 지지 패드(650) 또는 제1하부 웨이퍼 지지 패드(750)에 웨이퍼가 안착되면, 로드락 챔버(200)의 타측에 형성된 웨이퍼 출입구(210)를 통하여 웨이퍼가 배출되어 트랜스퍼 챔버(400)로 이송된다.
트랜스퍼 챔버(400)는 중앙부에 웨이퍼를 이송시키기 위하여 다수의 아암(455)이 구비된 제2웨이퍼 이송로봇(450)이 구비된다. 아울러, 로드락 챔버(200)를 통하여 배출되는 웨이퍼의 개수가 복수 개가 될 수 있으므로 웨이퍼를 이송하는 제2웨이퍼 이송로봇(450)의 아암(455) 개수도 복수 개로 구비되는 것이 바람직하다.
따라서, 본 발명에서는 제2웨이퍼 이송로봇(450)의 아암(455) 개수는 적어도 4개가 구비될 수 있다.
그리고, 로드락 챔버(200)에서의 웨이퍼 출입구(210)는 아래, 위 각각 2개씩 2층 구조로 형성되므로, 제2웨이퍼 이송로봇(450)은 종축 방향으로 높이 조절이 가능하도록 하는 것이 바람직하다.
그래서, 웨이퍼 출입구(220)가 2층 구조이므로, 1층에 해당하는 웨이퍼 출입구를 통하여 웨이퍼 삽입 및 배출을 할 때는 제2웨이퍼 이송로봇(450)의 높이를 낮추며, 2층에 해당하는 웨이퍼 출입구를 통하여 웨이퍼 삽입 및 배출을 할 때는 제2웨이퍼 이송로봇(450)의 높이를 높이는 것이다.
참고로, 프로세싱이 많을 때는 4개의 아암(455) 중에서 2개의 아암(455)은 1층에 해당하는 웨이퍼 출입구(210)를 통하여 웨이퍼 삽입 및 배출을 하도록 하며, 나머지 2개의 아암(455)은 2층에 해당하는 웨이퍼 출입구(210)를 통하여 웨이퍼 삽입 및 배출을 하도록 할 수도 있다.
그래서, 트랜스퍼 챔버(400)에서는 제2웨이퍼 이송로봇(450)을 이용하여 로드락 챔버(200)에서 배출되는 웨이퍼를 프로세싱하기 위한 프로세스 챔버(500)로 이송시킨다.
프로세스 챔버(500)에서는 다양한 프로세싱이 진행되기 때문에 프로세스 챔버(500)도 복수로 구비된다. 그리고, 웨이퍼에 대한 프로세싱 처리를 하기 위해서 프로세스 챔버(500)에서 웨이퍼를 가열하게 된다.
이어서, 프로세스 챔버(500)에서 프로세싱을 끝낸 웨이퍼는 반송이 되는데, 트랜스퍼 챔버(400)에 구비된 제2웨이퍼 이송로봇(450)을 통하여 로드락 챔버(200)로 반송된다. 이때의 웨이퍼는 프로세싱 처리를 하면서 가열된 상태이다.
그래서, 웨이퍼를 로드락 챔버(200)의 웨이퍼 출입구(210)를 통하여 로드락 챔버(200)로 다시 삽입시킬 때는 상부모듈(600)에서는 제2상부 웨이퍼 지지 패드(640), 하부모듈(700)에서는 제2하부 웨이퍼 지지 패드(740)에 안착되도록 한다.
제2상부 웨이퍼 지지 패드(640)에 프로세싱된 웨이퍼가 안착되면, 제1구동부(680)가 가동되어, 도 10b에 나타낸 바와 같이, 제2상부 웨이퍼 지지 패드(640)는 제1웨이퍼 열처리부(660)가 구비된 아래 방향으로 이동된다.
이때, 제2상부 웨이퍼 지지 패드(640)가 아래 방향으로 이동될 때, 제1볼부쉬(683)가 제1가이드 샤프트(685)를 따라 이동되면서 제2상부 웨이퍼 지지 패드(640)의 흔들림을 최소화시키므로, 제2상부 웨이퍼 지지 패드(640)에서 웨이퍼가 이탈되는 것을 방지한다.
그래서, 가열된 웨이퍼는 제1웨이퍼 열처리부(660)에 의해서 냉각이 되고, 냉각이 끝나면, 제1구동부(680)가 가동되어, 제2상부 웨이퍼 지지 패드(640)가 위 방향으로 이동된다.
여기서, 제2상부 웨이퍼 지지 패드(640)가 위 방향으로 이동되어야만, 제1이송로봇(120) 및 제2이송로봇(450)의 아암이 웨이퍼 출입구(210)로 삽입되어 웨이퍼를 집을 수가 있다.
마찬가지로 제2하부 웨이퍼 지지 패드(740)에 프로세싱된 웨이퍼가 안착되면, 제2구동부(780)가 가동되어, 도 11b에 나타낸 바와 같이, 제2하부 웨이퍼 지지 패드(740)는 제2웨이퍼 열처리부(760)가 구비된 아래 방향으로 이동된다.
이때, 제2하부 웨이퍼 지지 패드(740)가 아래 방향으로 이동될 때, 제2볼부쉬(783)가 제2가이드 샤프트(785)를 따라 이동되면서 제2하부 웨이퍼 지지 패드(740)의 흔들림을 최소화시키므로, 제2하부 웨이퍼 지지 패드(740)에서 웨이퍼가 이탈되는 것을 방지한다.
그래서, 가열된 웨이퍼는 제2웨이퍼 열처리부(700)에 의해서 냉각이 되고, 냉각이 끝나면 제2구동부(780)가 가동되어, 제2하부 웨이퍼 지지 패드(740)가 위 방향으로 이동된다. 여기서, 제2하부 웨이퍼 지지 패드(740)가 위 방향으로 이동되어야만, 제1이송로봇(120) 및 제2이송로봇(450)의 아암이 웨이퍼 출입구(210)로 삽입되어 웨이퍼를 집을 수가 있다.
아울러, 웨이퍼 냉각은 급수구를 통하여 물이 급수되도록 하여 가열된 웨이퍼를 냉각시키고, 냉각에 사용된 물은 배수구를 통하여 배출되도록 하는 것이다.
이렇게 하여 웨이퍼가 냉각되면, 로드락 챔버(200)는 별도의 펌핑 작업을 통하여 로드락 챔버(200)를 진공 상태에서 해제하고, 로드락 챔버(200)에 형성된 웨이퍼 출입구(210)를 통하여 냉각된 웨이퍼를 웨이퍼 전달부(100)로 다시 반송되도 록 한다.
이상의 설명에서는 본 발명의 바람직한 실시예를 제시하여 설명하였으나, 본 발명이 반드시 이에 한정되는 것은 아니며, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경할 수 있음을 쉽게 알 수 있을 것이다.
도 1은 본 발명의 일실시예에 따른 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버를 내장한 반도체 제조 장치를 나타내는 평면도,
도 2는 본 발명의 일실시예에 따른 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버를 나타내는 사시도,
도 3은 본 발명의 일실시예에 따른 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버를 나타내는 정면도,
도 4는 본 발명의 일실시예에 따른 상부모듈을 나타내는 사시도,
도 5는 본 발명의 일실시예에 따른 제1구동부를 나타내는 사시도,
도 6은 본 발명의 일실시예에 따른 제1구동부를 나타내는 정면도,
도 7은 본 발명의 일실시예에 따른 하부모듈을 나타내는 사시도,
도 8은 본 발명의 일실시예에 따른 제2구동부를 나타내는 사시도,
도 9는 본 발명의 일실시예에 따른 제2구동부를 나타내는 정면도,
도 10은 본 발명의 일실시예에 따른 제1구동부의 구동 상태를 나타내는 정면도,
도 11은 본 발명의 일실시예에 따른 제2구동부의 구동 상태를 나타내는 정면도이다.

Claims (13)

  1. 웨이퍼 전달부에서 웨이퍼를 전달받아 프로세스 챔버로 전달시키고, 프로세싱된 웨이퍼를 전달받는 로드락 챔버에 있어서,
    제1웨이퍼 열처리부(660)와, 상기 제1웨이퍼 열처리부 상부에 구비되는 제2상부 웨이퍼 지지 패드(640)와, 상기 제2상부 웨이퍼 지지 패드의 상부에 구비되는 제1상부 웨이퍼 지지 패드(650)와, 상기 제2상부 웨이퍼 지지 패드를 상하 이동시키는 제1구동부(680)를 포함하는 상부모듈(600); 및
    제1하부 웨이퍼 지지 패드(750)와 상기 제1하부 웨이퍼 지지 패드 하부에 구비되는 제2하부 웨이퍼 지지 패드(740)와, 상기 제2하부 웨이퍼 지지 패드 하부에 구비되고 일측과 타측에 관통공이 형성되는 제2웨이퍼 열처리부(760)와, 상기 제2하부 웨이퍼 지지 패드를 상하 이동시키는 제2구동부(780)를 포함하는 하부모듈(700); 을 포함하고,
    상기 제1상부 웨이퍼 지지 패드는 위치가 고정되도록 설치되고,
    상기 제2상부 웨이퍼 지지 패드는 상기 제1상부 웨이퍼 지지 패드와 제1웨이퍼 열처리부 사이에서 상하 왕복이동이 가능하도록 설치되고,
    상기 제1하부 웨이퍼 지지 패드는 위치가 고정되도록 설치되고,
    상기 제2하부 웨이퍼 지지 패드는 상기 제1하부 웨이퍼 지지 패드와 제2웨이퍼 열처리부 사이에서 상하 왕복이동이 가능하도록 설치되고,
    상기 제1구동부는,
    제1실린더(681);
    상기 제1실린더 하면에 결합되는 제1실린더 플레이트(682);
    상기 제1실린더 플레이트의 중앙부를 관통하면서 제1실린더에 의해 상하로 이동되는 제1실린더 로드(689);
    상기 제1실린더 플레이트의 하부에 상단이 각각 결합되고, 하단은 로드락 챔버(200)에 탈부착 가능하게 결합되는 제1챔버리드(610)에 각각 결합되는 다수개의 제1가이드 샤프트(685);
    상기 제1실린더 로드와 연동되어 상하로 이동되고, 상기 제1챔버리드(610)를 관통하여 상기 제1챔버리드(610) 내측에 구비되는 연결판(620)에 결합되는 제1센터샤프트(687); 및
    상기 연결판의 일측과 타측에 각각 결합되는 지지프레임(630); 을 포함하는 것을 특징으로 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버.
  2. 삭제
  3. 제1항에 있어서, 상기 상부 모듈은,
    로드락 챔버(200)에 탈부착 가능하게 결합되는 제1챔버리드(610)를 포함하고,
    상기 제1챔버리드(610)의 상부에 상기 제1구동부(680)가 설치되고, 상기 제1챔버리드 양측 하부에 제1브라켓(615)이 각각 결합되고, 상기 제1브라켓의 내측에 상기 제1상부 웨이퍼 지지 패드가 각각 고정되고, 상기 제1브라켓 하부에 상기 제1웨이퍼 열처리부가 고정된 것을 특징으로 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버.
  4. 삭제
  5. 제1항에 있어서, 상기 제1구동부는,
    상기 제1실린더 플레이트 하부에 구비되고, 상기 제1가이드 샤프트가 각각 관통되도록 하여 상기 제1가이드 샤프트를 따라 왕복 이동되는 제1볼부쉬 플레이트(684); 및
    상기 제1가이드 샤프트의 외주면에 설치되고, 상기 제1볼부쉬 플레이트에 결합되는 다수개의 제1볼부쉬(683)를 더 포함하고,
    상기 제1실린더 로드와 제1볼부쉬 플레이트가 체결되고, 상기 제1볼부쉬 플레이트와 제1센터샤프트가 체결되는 것을 특징으로 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버.
  6. 제1항에 있어서, 상기 제2상부 웨이퍼 지지 패드는,
    상기 지지프레임의 양 끝단에 내측 수평방향으로 돌출 형성된 것을 특징으로 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버.
  7. 제5항에 있어서, 상기 제1구동부는,
    일측이 상기 제1실린더 로드에 체결되고 타측이 상기 제1볼부쉬 플레이트에 체결된 제1상부볼트(910), 상기 제1실린더 로드와 상기 제1상부볼트 사이에 체결된 제1상부너트(920), 상기 제1상부볼트와 제1볼부쉬 플레이트 사이에 체결된 제2상부너트(930) 및 제1볼부쉬 플레이트를 관통하여 상기 제1센터샤프트에 체결된 제2상부볼트(940)가 포함된 것을 특징으로 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버.
  8. 삭제
  9. 제1항에 있어서, 상기 하부모듈은,
    상기 로드락 챔버에 탈부착 가능하게 결합되는 제2챔버리드(710)를 포함하고,
    상기 제2챔버리드의 하부에 상기 제2구동부(780)가 설치되고, 상기 제2챔버리드 상부에 상기 제2웨이퍼 열처리부가 결합되고, 상기 제2웨이퍼 열처리부 양측 상부에 제2브라켓(715)이 각각 고정되고, 상기 제2브라켓 상부에 상기 제1웨이퍼 하부 패드가 고정된 것을 특징으로 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버.
  10. 제1항에 있어서, 상기 제2구동부는,
    제2실린더(781);
    상기 제2실린더 상면에 결합되는 제2실린더 플레이트(782);
    상기 제2실린더 플레이트의 중앙부를 관통하면서 상기 제2실린더에 의해 상하로 이동되는 제2실린더 로드(789);
    상기 제2실린더 플레이트의 상부에 하단이 각각 결합되고, 상단은 로드락 챔버(200)에 탈부착 가능하게 결합되는 제2챔버리드(710)에 각각 결합되는 다수개의 제2가이드 샤프트(785); 및
    상기 제2실린더 로드와 연동되어 상하로 이동되고, 상기 제2챔버리드(710)를 관통하여 상기 제2챔버리드(710) 내측에 구비되는 지지판(720)에 결합되는 제2센터샤프트(787); 를 포함하는 것을 특징으로 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버.
  11. 제10항에 있어서, 상기 제2구동부는,
    상기 제2실린더 플레이트 상부에 구비되고, 상기 제2가이드 샤프트가 각각 관통되도록 하여 상기 제2가이드 샤프트를 따라 왕복 이동되는 제2볼부쉬 플레이트(784); 및
    상기 제2가이드 샤프트의 외주면에 설치되고, 상기 제2볼부쉬 플레이트에 결합되는 다수개의 제2볼부쉬(783)를 더 포함하고,
    상기 제2실린더 로드와 제2볼부쉬 플레이트가 체결되고, 상기 제2볼부쉬 플레이트(784)와 제2센터샤프트(787)가 체결되는 것을 특징으로 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버.
  12. 제10항에 있어서, 상기 제2하부 웨이퍼 지지 패드는,
    핀 형상이고, 상기 지지판의 상부로 돌출되며, 관통공을 통하여 상하 이동되는 것을 특징으로 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버.
  13. 제11항에 있어서, 상기 제2구동부는,
    일측이 상기 제2실린더 로드에 체결되고 타측이 상기 제2볼부쉬 플레이트에 체결된 제1하부볼트(960), 상기 제2실린더 로드와 제1하부볼트 사이에 체결된 제1하부너트(970), 상기 제1하부볼트와 제1볼부쉬 플레이트 사이에 체결된 제2하부너트(980) 및 상기 제2볼부쉬 플레이트를 관통하여 상기 제2센터샤프트에 체결된 제2하부볼트(990)가 포함된 것을 특징으로 하는 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버.
KR1020090010175A 2009-02-09 2009-02-09 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버 KR101111399B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090010175A KR101111399B1 (ko) 2009-02-09 2009-02-09 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090010175A KR101111399B1 (ko) 2009-02-09 2009-02-09 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버

Publications (2)

Publication Number Publication Date
KR20100090940A KR20100090940A (ko) 2010-08-18
KR101111399B1 true KR101111399B1 (ko) 2012-02-24

Family

ID=42756317

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090010175A KR101111399B1 (ko) 2009-02-09 2009-02-09 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버

Country Status (1)

Country Link
KR (1) KR101111399B1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101725894B1 (ko) * 2016-01-26 2017-04-11 주식회사 더셀머트리얼즈 로드락 챔버
CN108470704B (zh) * 2017-02-23 2021-01-29 北京北方华创微电子装备有限公司 传片腔室及半导体加工设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040079446A (ko) * 2002-02-22 2004-09-14 어플라이드 머티어리얼스, 인코포레이티드 기판 지지체
JP2005277049A (ja) 2004-03-24 2005-10-06 Tokyo Electron Ltd 熱処理システム及び熱処理方法
KR20080031851A (ko) * 2006-06-02 2008-04-11 어플라이드 머티어리얼스, 인코포레이티드 다수 슬롯 로드 록 챔버 및 그 운전 방법
KR100875914B1 (ko) 2008-05-08 2008-12-26 주식회사 싸이맥스 하나의 챔버에 히터와 쿨러를 내장한 반도체 제조 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040079446A (ko) * 2002-02-22 2004-09-14 어플라이드 머티어리얼스, 인코포레이티드 기판 지지체
JP2005277049A (ja) 2004-03-24 2005-10-06 Tokyo Electron Ltd 熱処理システム及び熱処理方法
KR20080031851A (ko) * 2006-06-02 2008-04-11 어플라이드 머티어리얼스, 인코포레이티드 다수 슬롯 로드 록 챔버 및 그 운전 방법
KR100875914B1 (ko) 2008-05-08 2008-12-26 주식회사 싸이맥스 하나의 챔버에 히터와 쿨러를 내장한 반도체 제조 장치

Also Published As

Publication number Publication date
KR20100090940A (ko) 2010-08-18

Similar Documents

Publication Publication Date Title
TWI455234B (zh) 基板處理裝置
TWI564988B (zh) 平行且單一的基板處理系統
CN109909870B (zh) 制造半导体器件的方法
US7775222B2 (en) Single substrate cleaning apparatus and method for cleaning backside of substrate
KR101848890B1 (ko) 기판 열처리 장치
KR101111399B1 (ko) 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버
US9330898B2 (en) Separation system, separation method, program and computer storage medium
KR100921519B1 (ko) 기판 이송 장치 및 이를 구비하는 기판 처리 설비, 그리고상기 장치의 기판 이송 방법
KR102616246B1 (ko) 처리액을 공급 및 회수하는 기판 처리 장치
US20190103292A1 (en) Apparatus and method for treating substrate
KR100875914B1 (ko) 하나의 챔버에 히터와 쿨러를 내장한 반도체 제조 장치
CN210805713U (zh) 加热装置
KR101329960B1 (ko) 복수 챔버 웨이퍼 공급 및 회수 장치
KR101966804B1 (ko) 기판 처리 장치
KR101074083B1 (ko) 로드락 챔버 및 이를 포함하는 기판 처리 설비, 그리고 상기 설비의 기판 처리 방법
KR101600394B1 (ko) 반도체 부품 적재용 매거진 이송장치
KR101955597B1 (ko) 세정액 제조 장치 및 방법
KR102666739B1 (ko) 반송 장치 및 기판 처리 장치
US9666469B2 (en) Lifting device, substrate processing apparatus having lifting device, and unit transferring method
JP2012248657A (ja) ダイボンダ及びボンディング方法
KR101909191B1 (ko) 기판 지지 유닛 및 이를 가지는 기판 처리 장치
KR20070038854A (ko) 웨이퍼 디미지방지를 위한 웨이퍼 쿨링장치
KR102030062B1 (ko) 기판처리장치
CN118016577A (zh) 基板传送单元及包括该其的基板处理装置
KR20130094886A (ko) 다중 기판 처리장치 및 이를 이용한 다중 기판 처리방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150127

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160121

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180126

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191231

Year of fee payment: 9