KR20080031851A - 다수 슬롯 로드 록 챔버 및 그 운전 방법 - Google Patents

다수 슬롯 로드 록 챔버 및 그 운전 방법 Download PDF

Info

Publication number
KR20080031851A
KR20080031851A KR1020077023938A KR20077023938A KR20080031851A KR 20080031851 A KR20080031851 A KR 20080031851A KR 1020077023938 A KR1020077023938 A KR 1020077023938A KR 20077023938 A KR20077023938 A KR 20077023938A KR 20080031851 A KR20080031851 A KR 20080031851A
Authority
KR
South Korea
Prior art keywords
substrate
transfer
transferring
cavity
load lock
Prior art date
Application number
KR1020077023938A
Other languages
English (en)
Other versions
KR100945331B1 (ko
Inventor
시니치 쿠리타
수하일 앤워
재-철 이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080031851A publication Critical patent/KR20080031851A/ko
Application granted granted Critical
Publication of KR100945331B1 publication Critical patent/KR100945331B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 실시예는 로드 록 챔버, 로드 록 챔버를 구비하는 프로세싱 시스템, 및 대기 분위기와 진공 분위기 사이에서 기판을 이송하는 방법을 포함한다. 일 실시예에서, 상기 방법은 두 벤팅 사이클 동안에 챔버 본체내에 형성된 이송 공동내에서 처리된 기판을 유지하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 이송 공동으로부터 챔버 본체에 형성된 가열 공동으로 기판을 이송하는 단계 및 가열 공동내의 기판을 가열하는 단계를 포함한다. 다른 실시예에서, 로드 록 챔버는 이송 공동내에 배치된 기판 지지부를 구비하는 챔버 본체를 포함한다. 기판 지지부는 제 1 높이와 제 2 높이 사이에서 이동가능하다. 다수의 홈들이 이송 공동의 천장이나 바닥 중 하나 이상에 형성되며, 기판 지지부가 제 2 높이에 있을 때 그 기판 지지부의 적어도 일부를 수용한다.

Description

다수 슬롯 로드 록 챔버 및 그 운전 방법{MULTIPLE SLOT LOAD LOCK CHAMBER AND METHOD OF OPERATION}
본 발명은 전체적으로 진공 프로세싱 시스템을 위한 로드 록 챔버, 및 그 운전 방법에 관한 것이다.
박막 필름 트랜지스터 및 광전지 소자(photovoltaic device)는 빨리 발전하는 기술 분야에 속한다. 평판 기술에 의해 형성된 박막 필름 트랜지스터(TFT)는 컴퓨터, 텔레비전 모니터, 휴대전화 디스플레이, 개인 휴대용 정보 단말기(PDAs), 및 점점 증가하는 다른 장치들과 같은 능동 매트릭스 디스플레이에 일반적으로 사용된다. 일반적으로, 평판은 두 개의 유리 판과 그 사이에 샌드위치된 액정 물질 층을 포함한다. 하나 이상의 유리 판에는 전원에 결합된 하나의 전도성 필름이 도포된다. 전원으로부터 전도성 필름으로 공급되는 전력은 액정의 방향을 변화시켜, 패턴 디스플레이를 생성한다.
광전지 소자(PV) 또는 태양전지는 태양광을 직류(DC) 전력으로 변환시키는 소자이다. 통상적으로, PV 또는 태양전지는 패널(panel)내에 형성된 하나 이상의 p-n 접합부를 가진다. 각 접합부는 일 측면이 p-타입 영역이고 다른 측면이 n-타입 영역인 반도체 물질내의 두 개의 상이한 영역을 포함한다. PV 전지의 p-n 접합 부가 태양광(광자로부터의 에너지로 구성됨)에 노출될 때, PV 효과를 통해 태양광이 전기로 직접 변환된다. 일반적으로, 고품질 실리콘계 물질이 고효율(즉, 단위 면적당 높은 전력 출력) 접합부 소자 생성에 바람직하다. 비정질 실리콘(a-Si) 필름이 PV 전지에서 실리콘계 패널 물질로서 널리 이용되는데, 이는 종래의 저온 플라즈마 화학기상증착(PECVD) 프로세스에서 저렴하게 제조될 수 있기 때문이다.
상승하는 에너지 비용을 상쇄시키기 위한 보다 효율적인 PV 소자에 대한 요구 및 평판 패널 기술의 시장에서의 수용(acceptance)을 고려할 때, 대형 패널, 높은 생산성 및 저렴한 제조 비용에 대한 요구로 인해 설비 제조 업자들이 평판 패널 디스플레이 및 PV 소자 제조업자들을 위해 보다 큰 크기의 기판을 수용하는 새로운 시스템을 개발하여야 하였다. 일반적으로, 현재의 기판 프로세싱 설비는 약 2 평방 미터 보다 약간 큰 기판을 수용하도록 구성된다. 그보다 큰 기판 크기를 수용하도록 구성된 프로세싱 설비가 곧 실현될 것이다.
그러한 대형 기판을 제조하기 위한 설비는 제조업자들의 상당한 투자를 의미한다. 종래 시스템은 크고 고가인 하드웨어를 필요로 한다. 이러한 투자를 상쇄시키기 위해, 높은 기판 생산량이 특히 바람직하다.
로드 록 챔버내에서의 기판의 가열 및/또는 냉각은 높은 시스템 생산량을 달성하는데 있어서 중요한 측면이다. 미래의 프로세싱 시스템이 보다 큰 크기의 기판을 프로세싱할 것으로 예상되기 때문에, 대형 기판의 균일하고 신속한 가열 및 냉각이 큰 관심이 된다. 그와 같이, 균일한 온도 조정 및 높은 열전달률을 촉진하는 개선사항이 크게 요구된다.
그에 따라, 대형 기판의 신속하고도 균일한 가열 및 냉각을 촉진하는 개선된 장치 및 방법이 요구되고 있다.
본 발명의 실시예는 로드 록 챔버, 로드 록 챔버를 구비하는 프로세싱 시스템, 그리고 대기 분위기와 진공 분위기 사이에서 기판을 이송하는 방법을 포함한다. 일 실시예에서, 대기 분위기와 진공 분위기 사이에서 기판을 이송하는 방법은 로드 록 챔버 본체내에 형성된 기판 이송 공동(cavity)내에서 두 벤팅 사이클(venting cycles)동안 프로세싱된 기판을 유지하는 단계를 포함한다. 다른 실시예에서, 기판 이송 방법은 이송 공동으로부터 로드 록 챔버 본체내에 형성된 가열 공동으로 기판을 이송하는 단계, 및 상기 가열 공동내에서 기판을 가열하는 단계를 포함한다.
다른 실시예에서, 기판 이송 공동내에 배치된 기판 지지부를 구비하는 챔버 본체를 포함하는 로드 록 챔버가 제공된다. 기판 지지부는 제 1 높이와 제 2 높이 사이에서 이동될 수 있다. 다수의 홈들이 기판 이송 공동의 천장 또는 바닥 중 하나 이상에 형성되며, 상기 다수의 홈들은 기판 지지부가 제 2 높이에 위치되었을 때 기판 지지부의 적어도 일부를 수용하도록 구성된다.
또 다른 실시예에서, 기판 프로세싱 시스템이 제공되며, 상기 기판 프로세싱 시스템은 기판 이송 로봇이 내부에 배치된 기판 이송 챔버, 상기 이송 챔버에 결합된 하나 이상의 진공 프로세싱 챔버, 및 로드 록 챔버를 포함한다. 로드 록 챔버는 이송 챔버에 결합된 본체를 구비한다. 로드 록 챔버의 본체는 제 1 및 제 2 의 냉각된 이송 공동들 및 가열 공동을 포함한다. 각각의 냉각된 이송 공동은 다수의 기판 저장 슬롯(slot)을 구비한다.
본 발명의 전술한 특징들을 보다 용이하고 구체적으로 이해할 수 있도록, 첨부 도면에 도시된 실시예들을 참조하여 앞서서 약술된 본 발명을 보다 구체적으로 설명한다. 그러나, 첨부된 도면들은 본 발명의 통상적인 실시예만을 도시한 것이며, 그에 따라 본 발명의 범위를 제한하는 것이 아님을 이해하여야 하며, 본 발명이 다른 균등한 실시예도 포함하는 것임을 이해하여야 할 것이다.
도 1은 본 발명의 로드 록 챔버의 일 실시예를 포함하는 예시적인 클러스터 툴(cluster tool)의 평면도이다.
도 2는 도 1의 선 2-2를 따라 취한 로드 록 챔버의 단면도이다.
도 3은 도 1의 로드 록 챔버의 부분 단면도이다.
도 4a는 도 1의 로드 록 챔버의 또 다른 부분 단면도이다.
도 4b는 로드 록 챔버 내부의 다른 실시예의 부분적인 사시도이다.
도 4c는 로드 록 챔버 내부의 또 다른 실시예의 부분적인 사시도이다.
도 5는 도 1의 로드 록 챔버의 또 다른 부분적인 단면도이다.
도 6은 대기 분위기와 진공 분위기 사이에서 기판을 이송하는 방법의 일 실시예의 흐름도이다.
도 7은 대기 분위기와 진공 분위기 사이에서 기판을 이송하는 방법의 다른 실시예의 흐름도이다.
도 8은 다수 챔버 로드 록 챔버의 다른 실시예의 단면도이다.
도 9는 대기 분위기와 진공 분위기 사이에서 기판을 이송하는 방법의 또 다른 실시예의 흐름도이다.
도 10은 도 9의 방법이 실시되는 도 8의 로드 록 챔버의 하나의 공동의 진공 상태를 도시한 그래프이다.
이해를 돕기 위해, 도면들을 통해서 공통되는 동일한 구성요소에 대해서는 가능한 한 동일한 참조부호를 부여하였다. 다른 언급이 없더라도, 일 실시예의 구성요소가 다른 실시예에서 유리하게 이용될 수 있다는 것을 이해할 것이다.
대형 기판의 효과적인 가열 및 냉각에 적합한 로드 록 챔버가 제공된다. 이송 챔버에서와 같은 진공 분위기와 팩토리 인터페이스(factory interface)에서와 같은 대기 분위기 사이에서 기판을 이송하기 위해 로드 록 챔버를 이용하는 방법이 또한 제공된다. 비록, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.가 공급하는 구성의 로드 록 챔버를 참조하여 로드 록 챔버 및 기판 이송 방법 모두의 특정 실시예를 설명하였지만, 본 발명의 특징들 및 방법들이 다른 제조업자가 공급하는 것을 포함한 기타 로드 록 시스템에서도 이용될 수 있다는 것을 이해할 것이다.
도 1은 본 발명의 로드 록 챔버(104)의 일 실시예를 포함하는 예시적인 클러스터 툴(100)의 평면도이다. 클러스터 툴(100)은 로드 록 챔버(104)에 의해 이송 챔버(106)에 결합된 팩토리 인터페이스(102)를 포함한다. 일반적으로, 팩토리 인 터페이스(102)는 다수의 기판 저장 카세트(114) 및 대기하의(atmospheric) 로봇(112)(이하, '대기 로봇'이라 함)을 포함한다. 대기 로봇(112)은 카세트(114)와 로드 록 챔버(104) 사이의 기판(116) 이송을 돕는다. 다수의 기판 프로세싱 챔버(108)가 이송 챔버(106)에 결합된다. 진공 로봇(110)이 이송 챔버(106)내에 배치되어 로드 록 챔버(104)와 프로세싱 챔버(108) 사이의 기판(116) 이송을 돕는다.
일반적으로, 로드 록 챔버(104)는 다수의 환경적으로-격리될 수 있는(environmentally-isolatable) 다수의 공동을 포함하며, 각 공동에는 하나 이상의 기판 저장 슬롯이 형성된다. 로드 록 챔버(104)는 팩토리 인터페이스(102)의 대기 또는 공기 분위기와 이송 챔버(106)내에서 유지되는 진공 분위기 사이에서 기판(116)을 이송하도록 작동된다.
도 2는 본 발명의 로드 록 챔버(104)의 일 실시예를 도시한다. 로드 록 챔버(104)는 스테인리스 스틸, 알루미늄, 또는 기타 적절한 물질과 같은 강성(rigid) 물질로 제조된 본체 조립체(body assembly; 202)를 포함한다. 본체 조립체(202)는 부품들을 누설이 없는 구조물로 조립하는 방식으로 제조될 수 있다. 본 발명에 유익하게 채용될 수 있는 하나의 적합한 본체 조립체가 2006년 1월 13일자로 출원된 미국 특허출원 제 11/332,781 호에 개시되어 있으며, 그 특허출원은 본 명세서에 전체가 참조된다. 그 대신에, 본체 조립체(202)가 물질의 단일 블록으로 제조되거나 및/또는 기타 구성을 가질 수도 있을 것이다.
일 실시예에서, 본체 조립체(202)는 상부판(204) 및 하부판(206)을 포함하며, 상기 판들 사이에는 다수의 링-형상 본체(248)가 샌드위치된다. 내부판(198) 이 본체(248)들 사이에 배치된다. 판(204, 206, 298)들은 각 본체(248) 내부에 형성된 내부 체적부(220)를 둘러싼다. 도 2에 도시된 실시예에서, 상부 및 하부의 내부 체적부(220)가 기판 이송 공동(208, 210)으로 구성되는 한편, 중간 본체(248)에 의해 한정되는 내부 체적부(220)는 가열 공동(212)으로 구성된다.
상부판(204) 및 하부판(206)은, 상부판(204) 및 하부판(206) 중 하나 이상과 본체(248) 사이의 상대적인 이동을 허용하는 방식으로, 다수의 체결부재에 의해 본체(248)에 밀봉 결합된다. 예를 들어, 상부판(204) 및 하부판(206) 중 하나 이상이 용접부 없이 본체(248)에 결합된다. 상부판(204) 및 하부판(206)으로부터 측벽으로 인가되는 힘이 큰 문제가 되지 않는 실시예에서는, 상부판(204) 및 하부판(206) 그리고 본체(248)가 용접에 의해 결합될 수도 있을 것이다.
또한, 도 3에 도시된 본체 조립체(202)의 부분 단면도를 참조하면, 하나 이상의 이격부재(316)가 상부판(204)의 하부 표면(302)과 본체(248)의 상부 표면(304) 사이에 제공된다. 이격부재(316)가 상부판(204)과 챔버 본체(248)를 분리함으로써, 그 사이에 갭(306)이 형성된다. 일 실시예에서, 이격부재(316)는 챔버 본체(248)의 상부 표면(304)의 평평한 영역 보다 상당히 작은 평평한 영역을 가지는 부재이다. 예를 들어, 다수의 이격부재(316)가 챔버 본체(248)의 일 측부를 따라 상부 표면(304)상에 배치될 수 있다.
가스킷 또는 O-링(386)이 적절히 압축되어 판들과 본체 사이의 진공 밀봉을 유지할 수 있도록, 그리고 진공 또는 기타 응력 조건하에서 상부판(204)이 챔버 본체(248)와 접촉하는 것을 방지할 수 있도록, 이격부재(316)의 두께가 선택된다. 유사하게, 하나 이상의 이격부재(316)가 하부판(206)과 챔버 본체(248) 사이에 제공되어 그 사이에 갭(306)을 유지한다.
도 3에 도시된 실시예에서, 제 1 이격부재(312) 및 제 2 이격부재(314)가 상부판(204)과 챔버 본체(248) 사이에 배치된다. 이격부재(312, 314)들은, 그 자체의 이격부재들 사이(즉, 이격부재(312)대 이격부재(314))의 마찰 계수가 이격부재와 챔버 본체(248) 및/또는 상부판(204) 사이의 마찰 계수 보다 작은 물질로 제조된다. 그에 따라, 챔버 본체(248) 및 상부판(204)이 진공, 열 또는 기타의 힘에 의해 서로에 대해 상대적으로 이동될 때, 상부판(204) 및 제 1 이격부재(312)가 제 2 이격부재(314)를 가로질러 측방향으로 자유롭게 병진운동할 수 있게 하는 한편, 상부판(204)과 본체(248)가 접촉되는 것을 방지한다.
일 실시예에서, 이격부재(312, 314)들은 디스크이다. 이러한 디스크들은 용이한 조립을 위해 본체 조립체(202) 고정에 이용되는 볼트(282) 주위에 배치된 와셔(washer)일 수도 있다. 슬리이딩(sliding) 부품들(예를 들어, 이격부재(312, 314)이 본체(248)의 상부판(204)에 대해 감소된 접촉 면적을 가지기 때문에, 이동을 시작하는데 필요한 힘이 감소된다. 또한, 이격부재(312, 314)의 접촉 표면이 가스킷(286)의 외부에 있기 때문에, 바람직하게도, 이격부재(312, 314)들의 슬라이딩 중에 생성되는 입자들이 로드 록 챔버(104)의 내부 체적부(220)내로 유입되는 것이 방지된다. 이격부재(316)는 판들과 본체 사이에서 연장하여 그 사이에 갭을 유지하는 리브(rid) 또는 기타 구조물 형태를 가질 수 있을 것이다. 또한, 이격부재가 판이나 본체에 통합될 수도 있을 것이다. 이격부재(316)가 판과 본체 사이에 서 연장하여 그 사이에 갭을 유지하는 리브 또는 기타 구조물 형태를 가질 수 있을 것이다. 또한, 이격부재가 판이나 본체에 통합(즉, 일체형 구조)될 수도 있을 것이다.
도 3에 도시된 실시예에서, 리세스(308)가 본체(248)의 상부 표면(304)에 형성되어 제 2 이격부재(314)의 위치를 결정한다. 선택적으로, 리세스(도시 안 됨)가 상부판(204)내에 형성되어 제 1 이격부재(312)를 위치결정할 수도 있을 것이다. 이격부재(314)가 상부 표면(304)을 지나서 연장하여 제 1 이격부재(312)가 본체(248)에 대해 상대적으로 측방향으로 자유롭게 슬라이드되는 것을 보장하도록, 리세스(도시 안 됨)(308)의 깊이가 선택된다.
로드 록 챔버(104)의 상부판(204)상에 가해지는 힘의 영향을 추가적으로 최소화하기 위해, 하나 이상의 슬롯(318)이 형성된다. 슬롯(312)은, 상부판(204)의 엣지(edge)에 미치는 운동(motion)의 영향을 최소화하면서, 상부판(204)의 중앙 영역이 이동, 편향, 및/또는 팽창될 수 있게 허용한다. 로드 록 챔버(104)의 내부 체적부(220)로의 누설을 방지하기 위해, 밀봉 조립체(320)가 슬롯(318)내에 배치된다. 도 3에 도시된 실시예에서, 밀봉 조립체(320)는 클램프 블록(324)에 의해 상부판(204)에 클램핑된 가스킷 또는 벨로우즈(322)를 포함한다. 유사하게, 전술한 바와 같이, 하부판(206)은 밀봉 조립체(320)에 의해 밀봉된 하나 이상의 슬롯(330)을 포함한다.
도 2를 다시 참조하면, 두 개의 기판 접근 포트(216)가 본체(248)의 대향 측벽들을 통해 형성되어 기판 이송 공동(208, 210)의 내부 체적부(220) 내외로 기판 이 유입 및 배출될 수 있게 한다. 포트(216)들 중 하나 만이 도 2에 도시되어 있다. 가열 공동(212)은, 이송 공동(212)의 내부 체적부(220)가 진공 로봇(110)(도 1 참조)에 의해 접근될 수 있도록 이송 챔버(106)에 결합된 본체(248)의 측부상에 형성된 하나 이상의 기판 접근 포트(216)를 포함한다. 기판 접근 포트(216)는 소위 당업계에 공지된 슬릿 밸브 도어에 의해 선택적으로 밀봉된다. 본 발명에 따라 유리하게 채용될 수 있는 하나의 슬릿 밸브 도어가 Tanase 등에 의해 2004년 6월 14일자로 출원된 "CURVED SLIT VALVE DOOR"라는 명칭의 미국 특허출원 제 10/867,100 호에 개시되어 있으며, 그 특허출원 전체가 본 명세서에 참조된다.
가열 공동(212)은 제 2 기판 접근 포트(도 2에 도시 안 됨)를 선택적으로 구비할 수 있다. 제 2 기판 접근 포트는 슬릿 밸브 도어에 의해 선택적으로 밀봉될 수 있고, 또는 그 대신에 블랭크 판(blank plate)에 의해 밀봉될 수 있는데, 이는 기판 접근 포트가 공동 유지보수를 위해 주로 사용되기 때문이다.
일 실시예에서, 하나 이상의 판(204, 206, 298)이 온도 조정 판으로서 구성될 수 있다. 하나 이상의 통로(224)가 판(204, 206, 298)내에 형성되고 유체 공급원(228)에 연결될 수 있다. 유체 공급원(228)은 판(204, 206, 298)내의 온도를 조정(즉, 가열 및/또는 냉각)하기 위해서 그리고 최종적으로 기판(116)의 온도를 조정하기 위해서 통로(142)를 통해서 순환되는 열전달 유체를 제공한다. 판(204, 206, 298)을 냉각함으로써, 공동(208, 210)내에 배치된 종래의 별도의 냉각 판이 없이도, 프로세싱으로부터 회수되는 고온 기판이 효과적으로 냉각될 수 있다.
일반적으로, 가열 공동(212)은 기판(116)을 선택적으로 가열하기 위해 내부 체적부(220)내에 배치된 하나 이상의 히터(266)를 포함한다. 도 2에 도시된 실시예에서, 다수의 히터(226)가 가열 공동(212)내에 배치된 기판(116)과 마주하는 내부 판(298)의 표면들 중 하나 이상에 결합된다. 히터(266)는 램프, 저항식 가열 부재, 또는 기타의 적절한 가열 장치일 수 있다. 기판(116)의 아래쪽 및 위쪽의 히터(266) 위치는 기판의 신속한 복사 가열을 돕는다. 히터(266)는 전원(268)에 독립적으로 결합된다. 이러한 구성에 의해, 각 히터(266)가 독립적으로 제어될 수 있고, 그에 따라, 예를 들어, 기판의 하나의 영역을 제 2 영역 보다 빨리 가열하거나 및/또는 균일하게 가열함으로써, 기판(116)의 온도 프로파일(profile)을 원하는 대로 조절할 수 있게 된다. 도 2에 도시된 실시예에서, 기판(116)의 중심부가 기판의 주변부와 상이한 속도로 가열될 수 있도록 히터(266)가 정렬된다.
도 2 및 도 4a를 참조하면, 다수의 기판 지지 구조물(218)이 이송 공동(208, 210)의 내부 체적부(220)내에 배치된다. 일반적으로, 기판 지지 구조물(218)는 하나의 기판을 각각 지지하도록 구성된다. 냉각된 판(또는 히터(266))에 대한 기판의 접근도가 선택적으로 조절될 수 있도록 지지 구조물(218)의 높이가 선택적으로 제어된다. 또한, 포트(216)를 통한 기판의 교환을 돕도록 지지 구조물(218)의 높이가 제어될 수 있다. 일 실시예에서, 각 공동내의 각 지지부(218)의 높이가 독립적으로 제어될 수 있도록, 각 기판 지지부(218)가 하나 이상의 액츄에이터(actuator; 294)에 결합된다. 그 대신에, 다른 기판 지지 구조물도 이용될 수 있을 것이다.
일 실시예에서, 기판 지지 구조물(218)은 액츄에이터(294)에 결합된 하나의 판 또는 다수의 바아(bar; 296)를 포함한다. 액츄에이터(294)에 대한 바아의 결합을 용이하게 하기 위해, 바아(296)는 지지된 기판의 하부를 넘어서 연장되도록 구성된다.
다수의 핀(226)이 바아(296)로부터 연장하여 기판(116)을 지지한다. 기판(116)의 바닥 표면과 핀(226) 사이의 운동 마찰(dynamic friction)을 감소시키기 위해서 그리고 기판 긁힘을 방지하기 위해서, 기판(116)을 지지하는 핀(226)의 단부가 둥글게 처리되거나 및/또는 볼을 포함할 수 있다. 도 2에 도시된 실시예에서, 볼이 각 핀(226)의 말단부에 배치된다. 볼에 의해 제공되는 감소된 마찰은, 핀(226)상에서 지지되는 동안 기판의 긁힘 없이, 기판이 용이하게 팽창 및 수축할 수 있게 허용한다. 다른 적절한 기판 지지부가 2003년 3월 5일자로 출원된 미국 특허 제 6,528,767 호, 2001년 10월 27일자로 출원된 미국 특허출원 제 09/982,406 호, 및 2003년 2월 27일자로 출원된 미국 특허출원 제 60/376,857 호에 개시되어 있으며, 상기 특허 및 특허출원은 전체가 본 명세서에 참조된다. 일반적으로, 핀(226)은 로봇식 엔드 이펙터(robotic end effector)를 이용한 기판 교환을 용이하게 하도록 정렬된다. 핀(226)은 기판을 내부에서 지지하기 위한 가열 공동(212)의 바닥을 형성하는 내부 판(298)에 추가적으로 결합된다.
기판과 챔버 본체(248) 사이의 열 전달을 촉진하기 위해, 기판 지지부(218)가 지지된 기판을 이송 공동(208, 210)의 바닥(또는 천장)에 가깝게 이동시킨다. 기판과 이송 공동 바닥/천장 사이의 거리는 기판의 온도를 기초로 하여 조절될 수 있다. 예를 들어, 프로세싱으로부터 회수되는 고온 기판은 240 ℃를 초과하는 온 도를 가질 수 있다. 응축(condensation) 및/또는 열 응력이 형성되는 것을 방지하기 위해, 고온 기판을 열 공동 바닥/천장으로부터 먼 거리에서 유지할 수 있다. 고온 기판이 예를 들어 약 140 ℃까지 충분히 냉각되면, 온도가 낮은 기판이 이송 공동 바닥/천장에 보다 가깝게 이동하여 열전달 효율을 높이며, 그에 따라 저온 기판의 온도가 보다 빠른 속도로 얻어질 수 있게 되며, 이는 기판의 생산량을 또한 높인다.
기판과 이송 공동(208, 210)의 바닥/천장 사이의 열 전달을 보다 촉진하기 위해, 기판 지지부(218)가 이송 공동의 바닥 및/또는 천장과 상호결합(interfit)되도록 구성될 수 있다. 이는, 기판과 챔버 본체 조립체(202) 사이의 거리를 최소화시킬 수 있게 하고, 일부 실시예에서는 기판을 챔버 본체 조립체(202)와 접촉시켜 통로(224)를 통해 이동되는 열전달 유체와의 열 교환의 모든 이점을 취할 수 있게 한다.
도 5는 기판 지지부(218)와 상호결합되도록 구성된 내부 판(298)의 일 실시예의 단면도를 도시한다. 판(298)은 기판 지지부(218)의 바아(296)가 내부에서 이동할 수 있도록 구성된 슬롯(502)(도 5에는 하나가 도시되어 있다)을 포함한다. 일 실시예에서, 바아(296)가 슬롯(502)의 바닥으로 이동함에 따라 판(298)에 의해 기판이 핀(226)으로부터 상승될 수 있도록, 슬롯(502)의 깊이가 선택된다. 그 대신에, 기판이 통로(224)를 통해 순환되는 유체에 의해 효과적으로 냉각될 수 있도록, 핀(226)상에서 지지되는 기판(116)이 판에 근접하여 유지되게끔 슬롯(502), 또는 바아(296)의 운동이 구성될 수 있다. 제 2 이송 공동(210)은 경계를 형성하 는(bounding) 내부 판(298)의 하부에 형성된 슬롯(502)으로 유사하게 구성된다.
도 4b는 로드 록 챔버의 내부의 다른 실시예의 일부를 도시한 도면이다. 도 4b에 도시된 실시예에서, 하부 기판 지지부(444)의 높이를 제어하는 액츄에이터(404)가 상부 기판 지지부(442)에 형성된 피쳐(feature; 440)를 통과하며, 그에 따라 액츄에이터(402, 406)가 정렬될 수 있다. 따라서, 기판 지지부(442, 444)는 로드 록 챔버의 내부 체적부내에서 동일한 돌출 표면적(예를 들어, 풋 프린트; footprint)를 가지도록 구성될 수 있으며, 그에 따라 로드 록 챔버 본체의 벽들이 기판 지지부(442, 444)에 인접하여 배치될 수 있으며, 이는 로드 록 챔버의 내부 체적을 감소시켜 바람직하게도 펌핑 및 벤팅 시간을 줄일 수 있게 한다. 도 4b에 도시된 실시예에서, 피쳐(440)는 상부 기판 지지부(442)를 통해 형성된 홀이다. 그 대신에, 피쳐(440)가 상부 기판 지지부(442)와 하부 기판 지지부(444) 사이의 노치(notch), 홈, 슬롯, 절개부 또는 기타 기하학적 형상의 차등부(disparity)일 수 있으며, 그러한 피쳐는 하부 기판 지지부(444)의 높이를 제어하는 액츄에이터(440)가 상기 기판 지지부(442)에 의한 방해 없이 하부 지지 판(444)에 결합될 수 있게 한다. 또한, 액츄에이터(402, 404) 쌍이 동심적(同心的)으로 정렬될 수 있으며, 이때, 도 4c에 도시된 바와 같이, 하부 액츄에이터의 액츄에이션 로드(464)가 상부 기판 지지부(442)의 피쳐(440) 및 상부 액츄에이터(402)의 로드(462)를 통해 망원경식으로 신축된다(telescoping).
다시 도 2를 참조하면, 압력 제어 시스템(250)이 로드 록 챔버(104)에 결합되어 본체 조립체(202)의 내부 체적부(220)내의 압력을 제어한다. 일반적으로, 압 력 제어 시스템(250)은 가스 공급원(252) 및 배기 시스템(254)을 포함한다. 가스 공급원(252)은 챔버 본체 조립체(202)를 통해 형성된 하나 이상의 유입구 포트(260)에 결합된다. 가스 공급원(252)은 챔버 본체 조립체(202)의 내부 체적부(220)의 압력을 상승 및/또는 조정하는데 이용되는 벤팅 가스를 제공한다. 예를 들어, 가스 공급원(252)은 이송 공동(208, 210)의 내부 체적부(220)내로 벤팅 가스를 유동시켜 진공 분위기로부터 주변 분위기로 기판(116)을 이송하는 것을 도울 수 있다. 일 실시예에서, 벤팅 가스는 질소, 헬륨, 공기 또는 기타 적절한 가스 중 하나 이상을 포함한다. 선택적으로, 일 실시예에서, 가열 공동(212)이 작업 진공 압력에서 항상 유지됨에 따라, 가열 공동(212)이 유입구 포트를 포함하지 않을 수도 있다.
유입구 제어 밸브(256)가 가열 공동(212)과 유입구 포트(260) 사이에 배치되어 본체 조립체(202)의 내부 체적부(220)내로의 벤팅 가스 유동을 선택적으로 제어한다. 유입구 제어 밸브(256)는 진공 조건하에서 실질적으로 누설-방지 밀봉을 제공할 수 있다. 일 실시예에서, 가열 공동(212)은 벤팅 가스의 유량, 온도 및/또는 흡도와 같은 벤팅 가스의 특성을 제어하도록 구성될 수 있다.
도 2에 도시된 실시예에서, 유입구 포트(260)는 벤트 통로(238)에 의해 하나 이상의 확산부(240)에 결합된다. 내부 체적부(220)로 유동하는 가스가 기판(116)의 상부를 향하도록, 확산부(240)가 상부판(204)(또는 기타 판)의 내측면에 형성될 수 있다. 바람직하게도, 이러한 구성은 기판(116)의 프로세싱 후에 로드 록 챔버(104)를 벤팅하는 동안에 기판(116)을 냉각시키는 것을 돕는다.
일 실시예에서, 확산부(240)는 판(204, 298)의 바닥 표면에 규정된 리세스(232) 내에 형성된다. 캡(244)이 리세스(232)를 덮어 판내에 플리넘(plenum; 242)을 형성한다. 연결 홀(236)이 플리넘(242)을 벤트 통로(238)로 유체적으로 연결한다. 다수의 개구부(276)가 캡(244)을 통해 형성되어, 벤팅 가스가 화살표(234)로 표시된 바와 같이 가열 공동(252)으로부터 플리넘(242)을 통해 내부 체적부(220)로 유동할 수 있게 허용한다. 비록, 확산부(240)는 벤팅 가스를 로드 록 챔버(104)내로 지향시키도록 주로 의도된 것이지만, 챔버(104)의 내부 체적부(220)를 배기하는데에도 그 확산부(240)가 이용될 수 있을 것이다.
일반적으로, 배기 시스템(254)은 챔버 본체 조립체(202)를 통해 형성된 하나 이상의 배기 포트(262)에 결합된다. 배기 시스템(254)은 로드 록 챔버(104)의 내부 체적부(220)로부터 가스들을 제거하도록 구성된다. 배기 시스템(254)은 하나 이상의 진공 펌프(도시 안 됨)를 포함할 수 있고 공장 배기 시스템(도시 안 됨)에 최종적으로 결합될 수 있다. 예를 들어, 배기 시스템(254)이 내부 체적부(220)로부터 가스를 펌핑 배출하여, 대기 분위기로부터 진공 분위기로 기판을 이송하는 것을 용이하게 할 수 있다.
배기 제어 밸브(258)가 배기 시스템(254)과 배기 포트(262) 사이에 배치되어 본체 조립체(202)의 내부 체적부(220)를 빠져나가는 가스들의 유동을 선택적으로 제어할 수 있다. 통상적으로, 배기 제어 밸브(258)는 유입구 제어 밸브(256)와 유사하고 진공 조건하에서 실질적인 누설-방지 밀봉을 제공할 수 있다.
제어부(280)가 로드 록 챔버(104)에 결합되어 그 로드 록 챔버의 작업을 제 어할 수 있다. 제어부(280)는 중앙처리유닛(CPU; 282), 지원 회로(286) 및 메모리(284)를 포함한다. CPU(282)는 여러 챔버들 및 하위프로세서(processors)를 제어하기 위해 산업적 셋팅에 시용될 수 있는 형태의 컴퓨터 프로세서 중 어떠한 것도 가능하다. 지원 회로(286)가 CPU(282)에 연결되어 통상적인 방식으로 프로세서를 지원한다. 이러한 회로는 캐시(cache), 전원, 클록 회로, 입/출력 회로, 하위시스템, 등을 포함한다. 메모리(284)가 CPU(282)에 결합된다. 메모리(284) 또는 컴퓨터-판독가능한 매체는 RAM, ROM, 플로피 디스크, 하드 디스크, 또는 다른 원격(remote) 또는 로컬(local) 디지털 저장 형태와 같은 일반적인 메모리 중 하나가 될 수 있을 것이다.
예를 들어 후술하는 기판 이송 방법들 중 하나인 하나의 방법이, 통상적으로 소프트웨어 루틴으로서 메모리(284)내에 저장된다. 소프트웨어 루틴은 또한 CPU(282)에 의해 제어되는 하드웨어로부터 원격지에 위치된 제 2 CPU(도시 안 됨)에 의해 실행 및/또는 저장될 수 있다.
비록, 본 발명의 방법이 소프트웨어 루틴으로서 실행되도록 설명되었지만, 본 명세서에 기재된 방법 단계들 중 일부는 소프트웨어 제어부에 의해서뿐만 아니라 하드웨어 방식으로도 실시될 수 있을 것이다. 그와 같이, 본 발명은 컴퓨터 시스템에서 실행되는 소프트웨어로서 실시될 수 있고, 주문형 반도체(Application Specific Integrated Circuit) 또는 기타 다른 타입의 하드웨어 실행으로서 실시될 수 있으며, 또는 소프트웨어와 하드웨어의 조합으로서 실행될 수 있을 것이다.
도 6은 대기 분위기와 진공 분위기 사이에서 기판을 이송하기 위한 방 법(600)의 일 실시예를 도시한 흐름도이다. 상기 방법(600)은 메모리(284)내에 저장될 수 있고, 제어부(280)에 의해 실행될 수 있으며, 본 명세서에서 설명된 로드 록 챔버(104)를 이용하여 실행될 수도 있을 것이다. 또한, 상기 방법(600)은 다른 적절한 로드 록 챔버에서 실행될 수도 있을 것이다.
상기 방법(600)은 제 1 의 미처리(unprocessed) 기판을 대기 분위기(예를 들어, 팩토리 인터페이스(102))로부터 로드 록 챔버 본체 조립체(202)내에 형성된 제 1 이송 공동(208)에 배치된 제 1 기판 지지부(218)로 이송함으로써 단계(602)에서 시작된다. 제 1 이송 공동(208)은 제 1 의 처리된(프로세싱된) 기판을 제 2 기판 지지부(218)상에서 추가적으로 포함한다. 단계(604)에서, 제 1 기판 이송 공동은 인접하는 진공 분위기(예를 들어, 이송 챔버(106))와 실질적으로 동일한 압력까지 배기된다. 배기 단계(604) 중에, 제 1 의 처리된 기판이 냉각될 수 있다. 일 실시예에서, 기판을 제 1 기판 이송 공동의 바닥에 매우 근접하는 및/또는 접촉하는 위치로 이동시킴으로써 제 1 의 처리된 기판이 냉각될 수 있다. 제 1 기판 공동의 바닥이 그 내부에 형성된 통로(224)내에서 순환되는 냉각 유체를 가짐에 따라, 제 1 의 처리 기판이 효과적으로 그리고 신속하게 냉각된다.
단계(606)에서, 제 1 의 미처리 기판이 제 1 기판 지지부로부터 진공 분위기로 이송된다. 단계(608)에서, 제 2 의 처리된 기판이 진공 분위기로부터 상기 제 1 의 처리 기판 위쪽에 배치된 제 1 기판 지지부로 이송된다.
상기 방법은 단계(610)로 계속되고, 그 단계에서는 제 1 이송 공동을 벤팅하고 제 2 기판 지지부로부터 대기 분위기(예를 들어, 팩토리 인터페이스(102))로 제 1 의 처리된 기판을 이송한다. 단계(612)에서, 단계(602) 내지 단계(610)이 반복되어 추가적인 기판을 대기 분위기와 진공 분위기 사이에서 이동시킨다. 특히, 상기 방법(600)은 로드 록 챔버내에서 유지되는 이송 챔버로부터 둘 이상의 벤팅 사이클을 통해 팩토리 인터페이스로 복귀되는 고온 기판을 필요로 한다. 이는 미처리된 기판을 이송 챔버로 신속하게 공급하는 것을 용이하게 하면서, 동시에, 열적 응력, 응축 또는 기타 결함의 과도한 생성을 방지하면서 균일한 냉각을 보장하기 위해 처리 기판에 대한 로드 록 챔버내의 시간을 연장시킨다.
또한, 처리된 기판에서의 냉각 및/또는 응축 중에 열적 구배(gradients) 생성을 최소화하기 위해서, 기판의 온도가 높은 제 1 이송 사이클 중에, 처리된 기판을 기판 이송 공동의 바닥(또는 천장)에 대한 제 1 위치에서 유지하고, 이어서 기판 온도가 상당히 낮아진 제 2 이송 사이클 중에, 처리된 기판을 이송 공동의 바닥(또는 천장)에 근접한 제 2 높이로 이동시킨다. 예를 들어, 공동 바닥 및/또는 천장으로부터 비교적 이격되어 있으면서, 제 1 이송 사이클 중에, 기판은 약 250℃로부터 약 140℃로 냉각될 수 있다. 일단 온도가 낮아지면, 로드 록 챔버 본체의 저온 바닥(또는 천장)에 비교적 근접한 또는 접촉된 위치로 기판을 이동시킴으로써 제 2 이송 사이클 중에 기판을 140℃ 미만의 온도로 냉각시킬 수 있다.
도 7은 대기 분위기와 진공 분위기 사이에서 기판을 이송하기 위한 방법(700)의 다른 실시예를 도시한 흐름도이다. 일 실시예에서, 상기 방법(700)은 미처리된 기판을 대기 분위기로부터 로드 록 챔버 본체 조립체(202)의 제 1 이송 공동(208)에 배치된 제 1 기판 지지부(218)로 이송함으로써 단계(702)에서 시작된 다. 단계(702)에서, 제 1 기판 이송 공동이 배기되고, 이때 제 1 의 미처리 기판이 그 내부에 배치되어 있다. 단계(706)에서, 제 1 의 미처리 기판이 제 1 기판 지지부로부터 진공 분위기로 이송된다. 단계(708)에서, 미처리된 기판이 로드 록 챔버 본체 조립체(202)의 가열 공동(212)내에 배치된 제 2 의 기판 지지부로 이송된다. 단계(708)에서, 미처리된 기판이 가열된 공동(202)으로 이송되기에 앞서서 하나 이상의 프로세싱으로 선택적으로 처리될 수 있을 것이다. 단계(710)에서, 제 1 의 미처리 기판이 가열된 공동(202)내에서 가열된다. 그 방법은 단계(712)로 계속되고, 그 단계에서는 가열된 공동(202)내에 배치된 제 2 기판 지지부로부터 진공 분위기로 가열된 제 1 의 미처리 기판을 이송하고 그 기판을 처리한다.
단계(710)에서, 램프 및/또는 저항식 가열판과 같은 복사식 히터를 이용하여 기판이 가열될 수 있다. 가열 공동(212)이 진공 상태로 유지되는 동안에 가열이 일어날 수 있다. 그 대신에, 가열 공동(212)이 진공 분위기로부터 격리되고 제 1 의 미처리 기판으로의 열 잔달을 보다 촉진하기 위해 질소 및/또는 헬륨과 같은 열전달 매체로 충진될 수 있다.
도 8은 로드 록 챔버(800)의 다른 실시예를 도시한다. 로드 록 챔버(800)는 상부 이송 공동(806) 및 하부 이송 공동(808)이 매주에 형성된 본체(802)를 포함한다. 챔버 본체(802)의 구성은 전술한 챔버 본체 조립체(202)와 유사할 수 있다.
일반적으로, 상부 이송 공동(806)에는 4 개의 기판 이송 슬롯(810, 812, 820, 822)이 형성된다. 각 기판 이송 슬롯은 하나의 기판(116)을 내부에 지지하기 위한 다수의 핀(226)을 포함하는 기판 지지부(818)에 의해 형성된다. 격리 판(isolation plate; 830)이 제 2 기판 이송 슬롯(812)과 제 3 기판 이송 슬롯(820) 사이에 배치되어 상부 이송 공동(806)을 냉각 영역 및 가열 영역으로 분할한다. 일반적으로, 가열 영역은 제 1 및 제 2 기판 이송 슬롯(810, 812)을 포함하는 한편, 냉각 영역은 제 3 및 제 4 기판 이송 슬롯(820, 822)을 내부에 포함한다.
격리판(830)은 열전달 유체 공급원(834)에 결합된 채널(832)을 포함한다. 유체 공급원(834)은 격리판(830)을 통해 열전달 유체를 순환시켜 그 격리판(830)을 미리규정된 온도로 유지한다. 또한, 채널(832)을 통해 유동하는 열전달 유체로 인해, 열전달 판(830)은 상부 이송 공동(806)내에서 격리판(830)의 양 측부에 형성된 가열 및 냉각 영역 사이의 열적 혼합(thermal crosstalk)을 실질적으로 최소화시킬 수 있게 된다.
상부 이송 공동(806)의 가열 영역내에 지지된 기판은 하나 이상의 히터(866)에 의해 가열된다. 히터(866)는 상부 이송 공동(806)의 천장 또는 바닥 중 하나 이상에 배치된다. 히터(866)는 저항식 가열 요소 또는 램프일 수 있다. 히터(866)에 의해 제공되는 열 에너지가 전술한 바와 같이 제어될 수 있도록, 히터(866)가 공급원(868)에 연결된다.
상부 이송 공동(806)의 냉각 영역내에서 지지되는 기판은 상부 이송 공동(806)과 하부 이송 공동(808)을 분리하는 열적으로 조정되는 내부 벽(828) 및/또는 격리판(830)에 의해 냉각된다. 그 벽(828)은 공급원(126)에 의해 제공되는 열전달 유체가 통과하여 순환하는 하나 이상의 통로(124)를 일반적으로 포함한다. 냉각 영역이 격리판(130)의 위쪽에 형성될 수 있을 것이며, 또한 가열 영역이 격리 판(130)의 아래쪽에 형성될 수 있을 것이다. 열전달 공동(808)이 유사하게 구성된다.
전술한 바와 같이 상부 이송 공동(806) 및 하부 이송 공동(808)내의 압력을 제어하기 위해 압력 조정 시스템(250)이 제공된다. 각 공동(806, 804)은 팩토리 인터페이스(102)와 마주하는 하나의 기판 접근 포트(816) 및 이송 챔버(106)와 마주하는 하나의 제 2 기판 접근 포트(816)를 포함한다. 따라서, 이송 공동(806, 808)내에 형성된 각각의 기판 저장 슬롯(810, 812, 820, 822)은 단일 포트(816)를 통해 로봇식으로 접근될 수 있다. 각 기판 접근 포트는 액츄에이터(804)에 의해 선택적으로 개방되고 폐쇄되는 단일 밸브 도어(814)에 의해 선택적으로 밀봉된다. 슬릿 밸브 도어(814)는 전술한 바와 같이 구성될 수 있다.
도 9는 대기 분위기와 진공 분위기 사이에서 기판을 이송하기 위한 방법(900)의 또 다른 실시예의 흐름도이다. 그 방법(900)은 로드 록 챔버(800)를 참조하여 설명되었지만, 다른 로드 록 챔버에서도 실시될 수 있을 것이다.
상기 방법(900)의 첫번째 종행(column; 902)은 방법(900)의 각 단계 사이의 연속적인 시간을 나타낸다. 그 시간은 임의적인 것이며 단지 각 단계에 필요한 상대적인 시간을 나타내는 것임을 주지하여야 한다. 각 단계에서 요구되는 시간은 기판의 크기, 배기되고 벤팅되는 체적, 그리고 챔버의 열전달 효율에 따라 달라진다. 종행(904)은 로드 록 챔버의 이송 공동의 압력 상태를 나타낸다. 방법(900)에서, 로드 록 챔버(800)의 프로세싱의 상부 이송 공동을 통한 유동이 설명된다. 유사한 프로세스가 하부 이송 공동에서도 실시될 것이다. 또한, 방법(900)의 실시 예들이 다른 로드 록 챔버에서 실시될 수도 있을 것이다.
종행(906)은 상부 이송 공동의 슬롯(1) 및 (2)에 배치된 기판에 대해 각각의 시간 단계에서 취해진 작용을 나타낸다. 종행(908)은 상부 이송 공동의 슬롯(3) 및 (4)에 배치된 기판에 대해서 취해진 작용을 나타낸다.
도 10은 대기 분위기와 진공 분위기 사이에서 기판을 이송하기 위한 방법(900)의 여러 단계들 중에서 도 8의 로드 록 챔버(800)의 상부 이송 공동의 진공 상태를 나타낸 그래프이다. 수직 축선(1006)은 압력을 나타내고 수평 축선(1008)은 시간을 나타낸다. 트레이스(trace; 1002)는 슬롯 (1) 및 (2)내의 압력을 나타내는 한편, 트레이스(1004)는 슬롯(3) 및 (4)내의 압력을 나타낸다.
상기 방법은 공동이 대기압 상태인 제로(zero) 시간에서 시작된다. 대기분위기 로봇(112)에 의해, 두 개의 저온 기판이 슬롯(1) 및 (2)으로부터 제거되고 팩토리 인터페이스(102)로부터의 두 개의 새로운 기판으로 대체된다. 두 개의 처리된 기판(즉, 하나 이상의 프로세싱 챔버(108)에서의 프로세싱으로부터 복귀되는 기판)은 슬롯(3) 및 (4)내에서 유지되어 냉각된다. 시간 0:30에서, 상부 이송 공동이 진공으로 펌핑된다. 슬롯(1) 및 (2)내에 배치된 2개의 새로운 기판이 가열되는 동안 슬롯(3) 및 (4)내에 배치된 기판은 계속적으로 냉각된다. 시간 1:30에서, 상부 이송 공동은 진공 상태가 되고, 슬릿 밸브 도어가 이송 챔버로 개방된다. 진공분위기의 로봇(110)에 의해, 슬롯(1) 및 (2)내에 배치된 가열된 기판이 처리 기판과 교환된다. 슬롯(3) 및 (4)내에 배치된 2개의 처리된 기판은 계속적으로 냉각된다. 따라서, 이러한 시간에서, 슬롯(1) 내지 (4)는 처리된 기판을 내부에 가진다.
시간 2:00에서, 상부 이송 공동은 이송 챔버로부터 밀봉되고 대기분위기로 벤팅된다. 슬롯(1) 및 (2)내에 배치된 2개의 처리 기판이 냉각되는 동안, 슬롯(3) 및 (4)내의 2개의 기판은 계속 냉각된다. 시간 6:00에서, 상부 이송 공동은 대기압이 되고, 슬릿 밸브 도어가 개방됨으로써, 상부 이송 공동이 대기압하의 로봇(112)에 의해 접근될 수 있게 된다. 슬롯(1) 및 (2)내에 배치된 2개의 기판이 계속 냉각되는 동안, 슬롯(3) 및 (4)내에 배치된 2개의 냉각된 기판이 대기압하의 로봇에 의해 제거되고 카세트(114)로부터의 2개의 새로운 기판과 교체된다.
시간 6:30에서, 상부 이송 공동이 진공까지 펌핑된다. 슬롯(1) 및 (2)내에 배치된 2개의 기판이 계속 냉각되는 동안, 슬롯(3) 및 (4)내에 배치된 2개의 새로운 기판은 가열된다. 시간 7:30에서, 상부 이송 공동은 진공상태가 되고, 이송 챔버로부터 상부 이송 공동을 분리하는 로드 록 챔버를 분리하는 슬릿 밸브 도어가 개방된다. 슬롯(1) 및 (2)내에 배치된 2개의 기판이 계속 냉각되는 동안, 슬롯(3) 및 (4)내에 배치된 가열된 기판이 진공 로봇에 의해 처리 기판과 교환된다. 그에 따라, 그 시점에서 슬롯(1) 내지 (4)는 처리된 기판을 내부에 가진다.
시간 8:00에서, 상부 이송 공동이 대기중으로 벤팅된다. 슬롯(1) 및 (2)내에 배치된 2개의 기판이 계속 냉각되는 동안, 슬롯(3) 및 (4)내에 배치된 2개의 기판이 냉각되기 시작한다. 시간 12:00에서, 상부 이송 공동이 대기압이 되며, 팩토리 인터페이스로부터 상부 이송 공동을 분리하는 슬릿 밸브 도어가 개방되어 프로세스를 다시 시작할 수 있게 한다.
그에 따라, 진공 분위기와 대기 분위기 사이에서 기판을 이송하기 위한 방법 및 로드 록 챔버가 제공된다. 이중 사이클 냉각은, 기판이 열적 응력을 방지할 수 있는 속도로 냉각될 수 있게 한다. 바람직하게도, 분리된 챔버내에서 기판을 가열하고 냉각하는 것은 열적 오염원을 최소화하고 격리함으로써 온도 균일도를 개선한다. 또한, 벤팅 사이클이 감압 펌핑 사이클에 비해 상당히 길기 때문에, 가열 및 냉각 작업 및 시간이 새롭게 분리되는데, 이는 이들이 독립된 챔버내에서 실시되기 때문이며, 이는 프로세스에 탄력성(flexibility)을 부가하고 또 목표로 하는 가열 및 냉각 프로세스를 최적화한다.
이상의 설명이 본 발명의 바람직한 실시예에 관한 것이지만, 본 발명의 추가적인 다른 실시예들도 본 발명의 범위내에서 이해될 수 있을 것이다. 본 발명의 범위는 이하의 특허청구범위에 의해 정해진다.

Claims (28)

  1. 대기 분위기와 진공 분위기 사이에서 기판을 이송하기 위한 방법으로서:
    제 1 미처리 기판을 대기 분위기로부터 로드 록 챔버 본체내에 형성된 제 1 기판 이송 공동내에 배치된 제 1 기판 지지부로 이송하는 단계로서, 상기 제 1 이송 공동은 제 2 기판 지지부상에 위치된 제 1 처리 기판을 포함하는, 제 1 미처리 기판 이송 단계;
    상기 제 1 이송 공동을 배기하는 단계;
    제 1 미처리 기판을 제 1 기판 지지부로부터 진공 분위기로 이송하는 단계; 및
    제 2 처리 기판을 진공 분위기로부터 상기 제 1 처리 기판 위쪽의 제 1 기판 지지부로 이송하는 단계를 포함하는
    기판 이송 방법.
  2. 제 1 항에 있어서,
    상기 제 1 기판 이송 공동을 벤팅하는 단계; 및
    상기 제 1 처리 기판을 제 2 기판 지지부로부터 대기 분위기로 이송하는 단계를 더 포함하는
    기판 이송 방법.
  3. 제 2 항에 있어서,
    제 2 미처리 기판을 대기 분위기로부터 제 2 기판 지지부로 이송하는 단계;
    상기 제 2 미처리 기판 및 제 2 처리 기판을 포함하는 제 1 기판 이송 공동을 배기하는 단계;
    제 2 미처리 기판을 제 2 기판 지지부로부터 진공 분위기로 이송하는 단계;
    제 3 처리 기판을 진공 분위기로부터 제 2 기판 지지부로 이송하는 단계;
    제 3 처리 기판 및 제 2 처리 기판을 포함하는 제 1 기판 이송 공동을 벤팅하는 단계;
    상기 제 2 처리 기판을 제 1 기판 지지부로부터 대기 분위기로 이송하는 단계;
    제 3 미처리 기판을 대기 분위기로부터 제 1 기판 지지부로 이송하는 단계; 및
    제 3 미처리 기판 및 제 3 처리 기판을 포함하는 제 1 기판 이송 공동을 배기하는 단계를 더 포함하는
    기판 이송 방법.
  4. 제 1 항에 있어서,
    제 2 미처리 기판을 대기 분위기로부터 상기 로드 록 챔버 본체내에 형성된 제 2 기판 이송 공동내에 배치된 제 3 기판 지지부내로 이송하는 단계로서, 상기 제 2 이송 공동은 제 4 기판 지지부상에 위치된 제 3 처리 기판을 구비하는, 제 2 미처리 기판 이송 단계;
    상기 제 2 이송 공동을 배기하는 단계;
    제 2 미처리 기판을 제 3 기판 지지부로부터 진공 분위기로 이송하는 단계; 및
    제 4 처리 기판을 진공 분위기로부터 상기 제 3 처리 기판 위쪽의 제 3 기판 지지부로 이송하는 단계를 더 포함하는
    기판 이송 방법.
  5. 제 4 항에 있어서,
    상기 제 2 기판 이송 공동을 벤팅하는 단계; 및
    상기 제 3 처리 기판을 제 4 기판 지지부로부터 대기 분위기로 이송하는 단계를 더 포함하는
    기판 이송 방법.
  6. 제 5 항에 있어서,
    제 3 미처리 기판을 대기 분위기로부터 제 4 기판 지지부로 이송하는 단계;
    상기 제 3 미처리 기판 및 제 4 처리 기판을 포함하는 제 2 기판 이송 공동을 배기하는 단계;
    제 3 미처리 기판을 제 4 기판 지지부로부터 진공 분위기로 이송하는 단계;
    제 5 처리 기판을 진공 분위기로부터 제 4 기판 지지부로 이송하는 단계;
    제 4 처리 기판 및 제 5 처리 기판을 포함하는 제 2 기판 이송 공동을 벤팅하는 단계;
    상기 제 4 처리 기판을 제 3 기판 지지부로부터 대기 분위기로 이송하는 단계;
    제 3 미처리 기판을 대기 분위기로부터 제 3 기판 지지부로 이송하는 단계; 및
    제 3 미처리 기판 및 제 5 처리 기판을 포함하는 제 2 기판 이송 공동을 배기하는 단계를 더 포함하는
    기판 이송 방법.
  7. 제 1 항에 있어서,
    상기 제 1 처리 기판을 냉각하는 단계를 더 포함하는
    기판 이송 방법.
  8. 제 7 항에 있어서,
    상기 제 1 처리 기판을 상기 제 1 이송 공동의 바닥 또는 천장 중 하나 이상에 근접하게 이동시키는 단계를 더 포함하는
    기판 이송 방법.
  9. 제 7 항에 있어서,
    상기 기판을 상기 로드 록 챔버 본체와 접촉되게 위치시키는 단계를 더 포함하는
    기판 이송 방법.
  10. 제 1 항에 있어서,
    상기 제 1 미처리 기판을 상기 진공 분위기로부터 상기 챔버 본체내에 형성된 가열 챔버내로 이송하는 단계를 더 포함하는
    기판 이송 방법.
  11. 제 10 항에 있어서,
    상기 기판을 진공 조건하에서 가열하는 단계를 더 포함하는
    기판 이송 방법.
  12. 제 10 항에 있어서,
    상기 가열 챔버를 이송 챔버로부터 밀봉하는 단계; 및
    상기 가열 챔버내의 압력을 높이는 단계를 더 포함하는
    기판 이송 방법.
  13. 제 10 항에 있어서,
    상기 가열되고 미처리된 기판을 가열 챔버로부터 진공 분위기로 이송하는 단계를 더 포함하는
    기판 이송 방법.
  14. 대기 분위기와 진공 분위기 사이에서 기판을 이송하기 위한 방법으로서:
    미처리 기판을 대기 분위기로부터 로드 록 챔버 본체내에 형성된 제 1 기판 이송 공동내에 배치된 제 1 기판 지지부로 이송하는 단계;
    상기 제 1 미처리 기판이 내부에 배치된 상기 제 1 기판 이송 공동을 배기하 는 단계;
    상기 제 1 미처리 기판을 제 1 기판 지지부로부터 진공 분위기로 이송하는 단계;
    상기 미처리 기판을 상기 로드 록 챔버 본체의 가열된 공동내에 배치된 제 2 기판 지지부로 이송하는 단계; 및
    상기 제 2 기판 지지부상의 제 1 미처리 기판을 가열하는 단계를 포함하는
    기판 이송 방법.
  15. 제 14 항에 있어서,
    상기 가열된 제 1 미처리 기판을 제 2 기판 지지부로부터 진공 분위기로 이송하는 단계; 및
    상기 제 1 미처리 기판을 처리하는 단계를 더 포함하는
    기판 이송 방법.
  16. 제 14 항에 있어서,
    상기 기판을 가열하는 단계가:
    상기 가열 챔버를 이송 챔버로부터 밀봉하는 단계; 및
    상기 가열 챔버내의 압력을 높이는 단계를 더 포함하는
    기판 이송 방법.
  17. 제 14 항에 있어서,
    상기 기판을 가열하는 단계가 진공 상태하에서 상기 기판을 가열하는 단계를 더 포함하는
    기판 이송 방법.
  18. 제 14 항에 있어서,
    제 1 처리 기판을 진공 분위기로부터 상기 로드 록 챔버 본체내에 배치된 제 3 기판 지지부로 이송하는 단계를 더 포함하는
    기판 이송 방법.
  19. 제 18 항에 있어서,
    상기 제 3 기판 지지부상의 제 1 처리 기판을 상기 제 3 기판 지지부의 제 1 높이에서 제 1 기간 동안 냉각하는 단계; 및
    상기 제 3 기판 지지부상의 제 1 처리 기판을 상기 제 3 기판 지지부의 제 2 높이에서 제 2 기간 동안 냉각하는 단계를 더 포함하는
    기판 이송 방법.
  20. 로드 록 챔버로서:
    제 1 기판 이송 공동이 내부에 형성된 챔버 본체;
    상기 제 1 기판 이송 공동내에 배치되고, 제 1 높이와 제 2 높이 사이에서 이동될 수 있는 기판 지지부; 및
    상기 제 1 기판 이송 공동의 천장 또는 바닥 중 하나 이상에 형성되며, 상기 기판 지지부가 상기 제 2 높이에 위치되었을 때 상기 기판 지지부의 적어도 일부를 수용하도록 구성되는 다수의 홈을 포함하는
    로드 록 챔버.
  21. 제 20 항에 있어서,
    상기 홈들이 상기 제 1 기판 이송 챔버의 천장에 형성되는
    로드 록 챔버.
  22. 제 21 항에 있어서,
    상기 제 1 기판 이송 공동의 아래쪽에서 상기 챔버 본체내에 형성되며, 제 1 높이와 제 2 높이 사이에서 이동될 수 있는 기판 지지부를 내부에 구비하는 제 2 기판 이송 공동; 및
    상기 제 2 기판 이송 공동의 천장에 형성되고 상기 기판 지지부가 제 2 높이에 있을 때 기판 지지부의 적어도 일부를 수용하도록 구성되는 다수의 홈을 더 포함하는
    로드 록 챔버.
  23. 제 22 항에 있어서,
    상기 챔버 본체내에 형성된 가열 공동을 더 포함하는
    로드 록 챔버.
  24. 제 23 항에 있어서,
    상기 가열 챔버가 상기 제 1 기판 이송 공동과 제 2 기판 이송 공동 사이에 배치되는
    로드 록 챔버.
  25. 제 23 항에 있어서,
    상기 가열 챔버가 상기 제 1 기판 이송 공동과 제 2 기판 이송 공동 사이에 배치되지 않는
    로드 록 챔버.
  26. 제 20 항에 있어서,
    상기 챔버 본체내에 형성된 가열 공동을 더 포함하는
    로드 록 챔버.
  27. 제 26 항에 있어서,
    상기 가열 공동과 상기 제 1 이송 공동 사이에서 상기 본체내에 배치되어 열전달 유체를 순환시키도록 구성된 다수의 통로를 더 포함하는
    로드 록 챔버.
  28. 기판 처리 시스템으로서:
    기판 이송 챔버;
    상기 이송 챔버에 결합된 하나 이상의 진공 처리 챔버;
    상기 이송 챔버에 결합된 본체를 구비하는 로드 록 챔버;
    상기 이송 챔버내에 배치되고, 하나 이상의 진공 처리 챔버와 로드 록 챔버 사이에서 기판을 이송하도록 구성된 로봇을 포함하며,
    상기 로드 록 챔버의 본체는:
    다수의 기판 저장 슬롯을 구비하는 제 1 의 냉각된 이송 공동;
    다수의 기판 저장 슬롯을 구비하는 제 2 의 냉각된 이송 공동; 및
    가열 공동을 더 포함하는
    기판 처리 시스템.
KR1020077023938A 2006-06-02 2007-06-01 다수 슬롯 로드 록 챔버 및 그 운전 방법 KR100945331B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/421,793 US7665951B2 (en) 2006-06-02 2006-06-02 Multiple slot load lock chamber and method of operation
US11/421,793 2006-06-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020097024579A Division KR101289024B1 (ko) 2006-06-02 2007-06-01 다수 슬롯 로드 록 챔버 및 그 운전 방법

Publications (2)

Publication Number Publication Date
KR20080031851A true KR20080031851A (ko) 2008-04-11
KR100945331B1 KR100945331B1 (ko) 2010-03-08

Family

ID=38790413

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020077023938A KR100945331B1 (ko) 2006-06-02 2007-06-01 다수 슬롯 로드 록 챔버 및 그 운전 방법
KR1020097024579A KR101289024B1 (ko) 2006-06-02 2007-06-01 다수 슬롯 로드 록 챔버 및 그 운전 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020097024579A KR101289024B1 (ko) 2006-06-02 2007-06-01 다수 슬롯 로드 록 챔버 및 그 운전 방법

Country Status (7)

Country Link
US (2) US7665951B2 (ko)
EP (1) EP2024264A4 (ko)
JP (1) JP5072958B2 (ko)
KR (2) KR100945331B1 (ko)
CN (2) CN102275739A (ko)
TW (1) TWI394699B (ko)
WO (1) WO2007143567A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101111399B1 (ko) * 2009-02-09 2012-02-24 주식회사 싸이맥스 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버
KR20150103964A (ko) * 2014-03-04 2015-09-14 주식회사 제우스 분리형 기판 열처리 장치

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US8113757B2 (en) * 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7880598B2 (en) 2006-12-08 2011-02-01 International Business Machines Corporation Six face, multi-event, orientation sensor
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US10541157B2 (en) * 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US8500382B2 (en) * 2007-05-22 2013-08-06 Axcelis Technologies Inc. Airflow management for particle abatement in semiconductor manufacturing equipment
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP2009182235A (ja) * 2008-01-31 2009-08-13 Tokyo Electron Ltd ロードロック装置および基板冷却方法
JP5108557B2 (ja) * 2008-02-27 2012-12-26 東京エレクトロン株式会社 ロードロック装置および基板冷却方法
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8033771B1 (en) * 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP5037551B2 (ja) * 2009-03-24 2012-09-26 東京エレクトロン株式会社 基板交換機構及び基板交換方法
JP5511536B2 (ja) * 2010-06-17 2014-06-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8834155B2 (en) * 2011-03-29 2014-09-16 Institute of Microelectronics, Chinese Academy of Sciences Wafer transfer apparatus and wafer transfer method
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US10121681B2 (en) * 2011-04-15 2018-11-06 Wuxi Huaying Microelectronics Technology Co., Ltd Semiconductor processing device
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9435626B2 (en) * 2011-08-12 2016-09-06 Corning Incorporated Kinematic fixture for transparent part metrology
WO2013102577A1 (en) * 2012-01-04 2013-07-11 Tel Solar Ag Heat transfer control in pecvd systems
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
CN104137248B (zh) * 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9337014B1 (en) * 2012-03-09 2016-05-10 Alta Devices, Inc. Processing system architecture with single load lock chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN105580124B (zh) * 2013-09-26 2018-05-18 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10278501B2 (en) 2014-04-25 2019-05-07 Applied Materials, Inc. Load lock door assembly, load lock apparatus, electronic device processing systems, and methods
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
CN104269369A (zh) * 2014-08-29 2015-01-07 沈阳拓荆科技有限公司 一种通过真空装载腔为晶圆预热的装置及方法
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6294365B2 (ja) * 2016-01-29 2018-03-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
WO2017144782A1 (en) * 2016-02-26 2017-08-31 Beneq Oy Improved aerosol coating device and method
US11776825B2 (en) * 2016-03-08 2023-10-03 Evatec Ag Chamber for degassing substrates
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
WO2018061108A1 (ja) * 2016-09-28 2018-04-05 株式会社日立国際電気 基板処理装置、基板冷却ユニットおよび半導体装置の製造方法
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6947914B2 (ja) * 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
JP7296410B2 (ja) 2018-07-17 2023-06-22 エーエスエムエル ネザーランズ ビー.ブイ. 粒子ビーム検査装置
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202230583A (zh) * 2020-12-22 2022-08-01 日商東京威力科創股份有限公司 基板處理系統及微粒去除方法
CN113140483A (zh) * 2021-03-03 2021-07-20 上海璞芯科技有限公司 一种晶圆的传片方法和传片平台
CN113213204A (zh) * 2021-06-11 2021-08-06 丰县鑫牧网络科技有限公司 印刷机用存纸盒

Family Cites Families (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5187115A (en) * 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
CH626214GA3 (ko) * 1979-02-07 1981-11-13
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5374147A (en) 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) * 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4759681A (en) * 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4784377A (en) 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4846102A (en) * 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0333058Y2 (ko) 1987-06-26 1991-07-12
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
DE3855871T2 (de) * 1987-09-11 1997-10-16 Hitachi Ltd Vorrichtung zur Durchführung einer Wärmebehandlung an Halbleiterplättchen
US5020475A (en) * 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
FR2621930B1 (fr) * 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
DE58909880D1 (de) * 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
EP0858867A3 (en) 1989-10-20 1999-03-17 Applied Materials, Inc. Robot apparatus
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5227807A (en) * 1989-11-29 1993-07-13 Ael Defense Corp. Dual polarized ambidextrous multiple deformed aperture spiral antennas
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (ja) 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
KR0162102B1 (ko) * 1991-05-29 1999-02-01 이노우에 아키라 반도체 제조장치
US5131460A (en) * 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
KR100230697B1 (ko) 1992-02-18 1999-11-15 이노우에 쥰이치 감압 처리 장치
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH0616206A (ja) 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
EP0608620B1 (en) 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
DE69323716T2 (de) 1993-01-28 1999-08-19 Applied Materials Inc Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5421889A (en) * 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
EP0634787B1 (en) 1993-07-15 1997-05-02 Applied Materials, Inc. Subsrate tray and ceramic blade for semiconductor processing apparatus
TW276353B (ko) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3136345B2 (ja) 1994-08-25 2001-02-19 富士電子工業株式会社 高周波加熱装置
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) * 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
JPH08340036A (ja) * 1995-06-09 1996-12-24 Tokyo Electron Ltd 処理装置
TW309503B (ko) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) * 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100238998B1 (ko) * 1995-07-26 2000-01-15 우치가사키 기이치로 가열로
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
CH691376A5 (de) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
TW318258B (ko) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5793050A (en) 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
JP3549141B2 (ja) * 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) * 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JP3286240B2 (ja) * 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
US6717578B1 (en) * 1998-02-17 2004-04-06 Sun Microsystems, Inc. Graphics system with a variable-resolution sample buffer
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
KR100561703B1 (ko) * 1998-11-12 2006-03-17 동경 엘렉트론 주식회사 처리시스템
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
JP2000306978A (ja) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd 基板処理装置、基板搬送装置、および基板処理方法
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6309161B1 (en) * 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6410455B1 (en) 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
US6734950B2 (en) * 2000-06-13 2004-05-11 Canon Kabushiki Kaisha Load-lock chamber and exposure apparatus using the same
JP2004523880A (ja) * 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
US6609869B2 (en) 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
CN1309859C (zh) 2001-05-17 2007-04-11 东京电子株式会社 基于圆筒的等离子体处理系统
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP4244555B2 (ja) * 2002-02-25 2009-03-25 東京エレクトロン株式会社 被処理体の支持機構
JP4168642B2 (ja) * 2002-02-28 2008-10-22 東京エレクトロン株式会社 被処理体収納容器体及び処理システム
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
JP3970184B2 (ja) * 2003-01-10 2007-09-05 東京エレクトロン株式会社 処理装置
JP4040499B2 (ja) * 2003-03-06 2008-01-30 キヤノン株式会社 ロードロック室、処理システム及び処理方法
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
WO2005048313A2 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
JP2005277049A (ja) * 2004-03-24 2005-10-06 Tokyo Electron Ltd 熱処理システム及び熱処理方法
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101111399B1 (ko) * 2009-02-09 2012-02-24 주식회사 싸이맥스 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버
KR20150103964A (ko) * 2014-03-04 2015-09-14 주식회사 제우스 분리형 기판 열처리 장치

Also Published As

Publication number Publication date
TWI394699B (zh) 2013-05-01
JP5072958B2 (ja) 2012-11-14
US8061949B2 (en) 2011-11-22
EP2024264A4 (en) 2012-04-11
KR101289024B1 (ko) 2013-07-23
JP2009540547A (ja) 2009-11-19
US7665951B2 (en) 2010-02-23
CN101472814A (zh) 2009-07-01
TW200817263A (en) 2008-04-16
US20070280816A1 (en) 2007-12-06
US20100139889A1 (en) 2010-06-10
KR100945331B1 (ko) 2010-03-08
WO2007143567A2 (en) 2007-12-13
KR20100017367A (ko) 2010-02-16
WO2007143567A3 (en) 2008-12-04
CN102275739A (zh) 2011-12-14
EP2024264A2 (en) 2009-02-18

Similar Documents

Publication Publication Date Title
KR100945331B1 (ko) 다수 슬롯 로드 록 챔버 및 그 운전 방법
JP5297376B2 (ja) チューブ形状のヒーターを備えたロードロックチャンバー
KR101323224B1 (ko) 분리식 슬릿 밸브 도어 밀봉 격실을 가지는 로드 락 챔버
KR100848899B1 (ko) 분리된 챔버 바디
US8562742B2 (en) Apparatus for radial delivery of gas to a chamber and methods of use thereof
KR20100033391A (ko) 기판 처리 장치 및 기판 처리 방법
EP1859077B1 (en) A system and a method for the production of micro-electro-mechanical systems
US20080223400A1 (en) Substrate processing apparatus, substrate processing method and storage medium
TW202403999A (zh) 對稱半導體處理腔室

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180212

Year of fee payment: 9