KR100945331B1 - 다수 슬롯 로드 록 챔버 및 그 운전 방법 - Google Patents
다수 슬롯 로드 록 챔버 및 그 운전 방법 Download PDFInfo
- Publication number
- KR100945331B1 KR100945331B1 KR1020077023938A KR20077023938A KR100945331B1 KR 100945331 B1 KR100945331 B1 KR 100945331B1 KR 1020077023938 A KR1020077023938 A KR 1020077023938A KR 20077023938 A KR20077023938 A KR 20077023938A KR 100945331 B1 KR100945331 B1 KR 100945331B1
- Authority
- KR
- South Korea
- Prior art keywords
- substrate
- transfer
- transferring
- atmosphere
- unprocessed
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/56—Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
- C23C14/564—Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
- C23C14/566—Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67178—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67751—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/139—Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Robotics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Drying Of Semiconductors (AREA)
Abstract
Description
Claims (28)
- 대기 분위기와 진공 분위기 사이에서 기판을 이송하기 위한 방법으로서:제 1 미처리 기판을 대기 분위기로부터 로드 록 챔버 본체내에 형성된 제 1 기판 이송 공동내에 배치된 제 1 기판 지지부로 이송하는 단계로서, 상기 제 1 이송 공동은 제 2 기판 지지부상에 위치된 제 1 처리 기판을 포함하는, 제 1 미처리 기판 이송 단계;상기 제 1 미처리 기판 및 상기 제 1 처리 기판을 포함하는 상기 제 1 이송 공동을 배기하는 단계;제 1 미처리 기판을 제 1 기판 지지부로부터 진공 분위기로 이송하는 단계; 및제 2 처리 기판을 진공 분위기로부터 제 1 기판 지지부로 이송하는 단계를 포함하는기판 이송 방법.
- 제 1 항에 있어서,상기 제 1 기판 이송 공동을 벤팅하는 단계; 및상기 제 1 처리 기판을 제 2 기판 지지부로부터 대기 분위기로 이송하는 단계를 더 포함하는기판 이송 방법.
- 제 2 항에 있어서,제 2 미처리 기판을 대기 분위기로부터 제 2 기판 지지부로 이송하는 단계;상기 제 2 미처리 기판 및 제 2 처리 기판을 포함하는 제 1 기판 이송 공동을 배기하는 단계;제 2 미처리 기판을 제 2 기판 지지부로부터 진공 분위기로 이송하는 단계;제 3 처리 기판을 진공 분위기로부터 제 2 기판 지지부로 이송하는 단계;제 3 처리 기판 및 제 2 처리 기판을 포함하는 제 1 기판 이송 공동을 벤팅하는 단계;상기 제 2 처리 기판을 제 1 기판 지지부로부터 대기 분위기로 이송하는 단계;제 3 미처리 기판을 대기 분위기로부터 제 1 기판 지지부로 이송하는 단계; 및제 3 미처리 기판 및 제 3 처리 기판을 포함하는 제 1 기판 이송 공동을 배기하는 단계를 더 포함하는기판 이송 방법.
- 제 1 항에 있어서,제 2 미처리 기판을 대기 분위기로부터 상기 로드 록 챔버 본체내에 형성된 제 2 기판 이송 공동내에 배치된 제 3 기판 지지부로 이송하는 단계로서, 상기 제 2 이송 공동은 제 4 기판 지지부상에 위치된 제 3 처리 기판을 구비하는, 제 2 미처리 기판 이송 단계;상기 제 2 이송 공동을 배기하는 단계;제 2 미처리 기판을 제 3 기판 지지부로부터 진공 분위기로 이송하는 단계; 및제 4 처리 기판을 진공 분위기로부터 상기 제 3 처리 기판 위쪽의 제 3 기판 지지부로 이송하는 단계를 더 포함하는기판 이송 방법.
- 제 4 항에 있어서,상기 제 2 기판 이송 공동을 벤팅하는 단계; 및상기 제 3 처리 기판을 제 4 기판 지지부로부터 대기 분위기로 이송하는 단계를 더 포함하는기판 이송 방법.
- 제 5 항에 있어서,제 3 미처리 기판을 대기 분위기로부터 제 4 기판 지지부로 이송하는 단계;상기 제 3 미처리 기판 및 제 4 처리 기판을 포함하는 제 2 기판 이송 공동을 배기하는 단계;제 3 미처리 기판을 제 4 기판 지지부로부터 진공 분위기로 이송하는 단계;제 5 처리 기판을 진공 분위기로부터 제 4 기판 지지부로 이송하는 단계;제 4 처리 기판 및 제 5 처리 기판을 포함하는 제 2 기판 이송 공동을 벤팅하는 단계;상기 제 4 처리 기판을 제 3 기판 지지부로부터 대기 분위기로 이송하는 단계;제 3 미처리 기판을 대기 분위기로부터 제 3 기판 지지부로 이송하는 단계; 및제 3 미처리 기판 및 제 5 처리 기판을 포함하는 제 2 기판 이송 공동을 배기하는 단계를 더 포함하는기판 이송 방법.
- 제 1 항에 있어서,상기 제 1 처리 기판을 냉각하는 단계를 더 포함하는기판 이송 방법.
- 제 7 항에 있어서,상기 제 1 처리 기판을 상기 제 1 이송 공동의 바닥 또는 천장 중 하나 이상에 근접하게 이동시키는 단계를 더 포함하는기판 이송 방법.
- 제 8 항에 있어서,상기 제 1 처리 기판을 상기 로드 록 챔버 본체와 접촉되게 위치시키는 단계를 더 포함하는기판 이송 방법.
- 제 1 항에 있어서,상기 제 1 미처리 기판을 상기 진공 분위기로부터 상기 챔버 본체내에 형성된 가열 챔버내로 이송하는 단계를 더 포함하는기판 이송 방법.
- 제 10 항에 있어서,상기 기판을 진공 조건하에서 가열하는 단계를 더 포함하는기판 이송 방법.
- 제 10 항에 있어서,상기 가열 챔버를 이송 챔버로부터 밀봉하는 단계; 및상기 가열 챔버내의 압력을 높이는 단계를 더 포함하는기판 이송 방법.
- 제 10 항에 있어서,상기 가열되고 미처리된 기판을 가열 챔버로부터 진공 분위기로 이송하는 단계를 더 포함하는기판 이송 방법.
- 대기 분위기와 진공 분위기 사이에서 기판을 이송하기 위한 방법으로서:미처리 기판을 대기 분위기로부터 로드 록 챔버 본체내에 형성된 제 1 기판 이송 공동내에 배치된 제 1 기판 지지부로 이송하는 단계;상기 미처리 기판이 내부에 배치된 상기 제 1 기판 이송 공동을 배기하는 단계;상기 미처리 기판을 제 1 기판 지지부로부터 이송 챔버 내의 진공 분위기로 이송하는 단계;상기 미처리 기판을 상기 로드 록 챔버 본체의 가열된 공동내에 배치된 제 2 기판 지지부로 이송하는 단계; 및상기 제 2 기판 지지부상의 미처리 기판을 가열하는 단계를 포함하는기판 이송 방법.
- 제 14 항에 있어서,상기 가열된 미처리 기판을 제 2 기판 지지부로부터 진공 분위기로 이송하는 단계; 및상기 미처리 기판을 처리하는 단계를 더 포함하는기판 이송 방법.
- 제 14 항에 있어서,상기 기판을 가열하는 단계가:상기 가열 챔버를 이송 챔버로부터 밀봉하는 단계; 및상기 가열 챔버내의 압력을 높이는 단계를 더 포함하는기판 이송 방법.
- 제 14 항에 있어서,상기 기판을 가열하는 단계가 진공 상태하에서 상기 기판을 가열하는 단계를 더 포함하는기판 이송 방법.
- 제 14 항에 있어서,처리 기판을 진공 분위기로부터 상기 로드 록 챔버 본체내에 배치된 제 3 기판 지지부로 이송하는 단계를 더 포함하는기판 이송 방법.
- 제 18 항에 있어서,상기 제 3 기판 지지부상의 상기 처리 기판을 상기 제 3 기판 지지부의 제 1 높이에서 제 1 기간 동안 냉각하는 단계; 및상기 제 3 기판 지지부상의 상기 처리 기판을 상기 제 3 기판 지지부의 제 2 높이에서 제 2 기간 동안 냉각하는 단계를 더 포함하는기판 이송 방법.
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/421,793 | 2006-06-02 | ||
US11/421,793 US7665951B2 (en) | 2006-06-02 | 2006-06-02 | Multiple slot load lock chamber and method of operation |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020097024579A Division KR101289024B1 (ko) | 2006-06-02 | 2007-06-01 | 다수 슬롯 로드 록 챔버 및 그 운전 방법 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20080031851A KR20080031851A (ko) | 2008-04-11 |
KR100945331B1 true KR100945331B1 (ko) | 2010-03-08 |
Family
ID=38790413
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020077023938A KR100945331B1 (ko) | 2006-06-02 | 2007-06-01 | 다수 슬롯 로드 록 챔버 및 그 운전 방법 |
KR1020097024579A KR101289024B1 (ko) | 2006-06-02 | 2007-06-01 | 다수 슬롯 로드 록 챔버 및 그 운전 방법 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020097024579A KR101289024B1 (ko) | 2006-06-02 | 2007-06-01 | 다수 슬롯 로드 록 챔버 및 그 운전 방법 |
Country Status (7)
Country | Link |
---|---|
US (2) | US7665951B2 (ko) |
EP (1) | EP2024264A4 (ko) |
JP (1) | JP5072958B2 (ko) |
KR (2) | KR100945331B1 (ko) |
CN (2) | CN102275739A (ko) |
TW (1) | TWI394699B (ko) |
WO (1) | WO2007143567A2 (ko) |
Families Citing this family (140)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8403613B2 (en) * | 2003-11-10 | 2013-03-26 | Brooks Automation, Inc. | Bypass thermal adjuster for vacuum semiconductor processing |
US20070269297A1 (en) * | 2003-11-10 | 2007-11-22 | Meulen Peter V D | Semiconductor wafer handling and transport |
US10086511B2 (en) | 2003-11-10 | 2018-10-02 | Brooks Automation, Inc. | Semiconductor manufacturing systems |
JP4860167B2 (ja) * | 2005-03-30 | 2012-01-25 | 東京エレクトロン株式会社 | ロードロック装置,処理システム及び処理方法 |
US8137465B1 (en) | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US8282768B1 (en) | 2005-04-26 | 2012-10-09 | Novellus Systems, Inc. | Purging of porogen from UV cure chamber |
US20100270004A1 (en) * | 2005-05-12 | 2010-10-28 | Landess James D | Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates |
US8113757B2 (en) * | 2006-08-01 | 2012-02-14 | Tokyo Electron Limited | Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber |
US7822324B2 (en) * | 2006-08-14 | 2010-10-26 | Applied Materials, Inc. | Load lock chamber with heater in tube |
US7960297B1 (en) | 2006-12-07 | 2011-06-14 | Novellus Systems, Inc. | Load lock design for rapid wafer heating |
US7880598B2 (en) * | 2006-12-08 | 2011-02-01 | International Business Machines Corporation | Six face, multi-event, orientation sensor |
US20080251019A1 (en) * | 2007-04-12 | 2008-10-16 | Sriram Krishnaswami | System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates |
US10541157B2 (en) * | 2007-05-18 | 2020-01-21 | Brooks Automation, Inc. | Load lock fast pump vent |
US8500382B2 (en) * | 2007-05-22 | 2013-08-06 | Axcelis Technologies Inc. | Airflow management for particle abatement in semiconductor manufacturing equipment |
US8052419B1 (en) | 2007-11-08 | 2011-11-08 | Novellus Systems, Inc. | Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation |
US8033769B2 (en) | 2007-11-30 | 2011-10-11 | Novellus Systems, Inc. | Loadlock designs and methods for using same |
JP2009182235A (ja) * | 2008-01-31 | 2009-08-13 | Tokyo Electron Ltd | ロードロック装置および基板冷却方法 |
JP5108557B2 (ja) * | 2008-02-27 | 2012-12-26 | 東京エレクトロン株式会社 | ロードロック装置および基板冷却方法 |
US8288288B1 (en) | 2008-06-16 | 2012-10-16 | Novellus Systems, Inc. | Transferring heat in loadlocks |
US8070408B2 (en) * | 2008-08-27 | 2011-12-06 | Applied Materials, Inc. | Load lock chamber for large area substrate processing system |
US8033771B1 (en) * | 2008-12-11 | 2011-10-11 | Novellus Systems, Inc. | Minimum contact area wafer clamping with gas flow for rapid wafer cooling |
KR101111399B1 (ko) * | 2009-02-09 | 2012-02-24 | 주식회사 싸이맥스 | 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버 |
JP5037551B2 (ja) * | 2009-03-24 | 2012-09-26 | 東京エレクトロン株式会社 | 基板交換機構及び基板交換方法 |
JP5511536B2 (ja) * | 2010-06-17 | 2014-06-04 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8834155B2 (en) * | 2011-03-29 | 2014-09-16 | Institute of Microelectronics, Chinese Academy of Sciences | Wafer transfer apparatus and wafer transfer method |
US8371567B2 (en) | 2011-04-13 | 2013-02-12 | Novellus Systems, Inc. | Pedestal covers |
EP2693461B1 (en) * | 2011-04-15 | 2015-11-25 | Wuxi Huaying Microelectronics Technology Co., Ltd. | Semiconductor processing device |
US20120285621A1 (en) * | 2011-05-10 | 2012-11-15 | Applied Materials, Inc. | Semiconductor chamber apparatus for dielectric processing |
US9435626B2 (en) * | 2011-08-12 | 2016-09-06 | Corning Incorporated | Kinematic fixture for transparent part metrology |
WO2013102577A1 (en) * | 2012-01-04 | 2013-07-11 | Tel Solar Ag | Heat transfer control in pecvd systems |
CN104040710B (zh) | 2012-01-06 | 2017-11-28 | 诺发系统公司 | 用于均匀传热的自适应传热方法和系统 |
CN106847737B (zh) * | 2012-02-29 | 2020-11-13 | 应用材料公司 | 配置中的除污及剥除处理腔室 |
US9337014B1 (en) * | 2012-03-09 | 2016-05-10 | Alta Devices, Inc. | Processing system architecture with single load lock chamber |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US20140271097A1 (en) * | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
TWI624897B (zh) | 2013-03-15 | 2018-05-21 | 應用材料股份有限公司 | 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法 |
KR102714118B1 (ko) * | 2013-09-26 | 2024-10-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들 |
KR102170150B1 (ko) * | 2014-03-04 | 2020-10-26 | 주식회사 제우스 | 분리형 기판 열처리 장치 |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US10278501B2 (en) | 2014-04-25 | 2019-05-07 | Applied Materials, Inc. | Load lock door assembly, load lock apparatus, electronic device processing systems, and methods |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US10892180B2 (en) * | 2014-06-02 | 2021-01-12 | Applied Materials, Inc. | Lift pin assembly |
CN104269369A (zh) * | 2014-08-29 | 2015-01-07 | 沈阳拓荆科技有限公司 | 一种通过真空装载腔为晶圆预热的装置及方法 |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US20160314997A1 (en) * | 2015-04-22 | 2016-10-27 | Applied Materials, Inc. | Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
JP6294365B2 (ja) * | 2016-01-29 | 2018-03-14 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体 |
WO2017144782A1 (en) * | 2016-02-26 | 2017-08-31 | Beneq Oy | Improved aerosol coating device and method |
CN108780766B (zh) * | 2016-03-08 | 2022-03-04 | 瑞士艾发科技 | 用于衬底脱气的室 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10347547B2 (en) | 2016-08-09 | 2019-07-09 | Lam Research Corporation | Suppressing interfacial reactions by varying the wafer temperature throughout deposition |
US10559483B2 (en) * | 2016-08-10 | 2020-02-11 | Lam Research Corporation | Platform architecture to improve system productivity |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
WO2018061108A1 (ja) * | 2016-09-28 | 2018-04-05 | 株式会社日立国際電気 | 基板処理装置、基板冷却ユニットおよび半導体装置の製造方法 |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) * | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
JP6947914B2 (ja) * | 2017-08-18 | 2021-10-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧高温下のアニールチャンバ |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10720348B2 (en) * | 2018-05-18 | 2020-07-21 | Applied Materials, Inc. | Dual load lock chamber |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
CN112424922A (zh) * | 2018-07-17 | 2021-02-26 | Asml荷兰有限公司 | 粒子束检查装置 |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US12080571B2 (en) * | 2020-07-08 | 2024-09-03 | Applied Materials, Inc. | Substrate processing module and method of moving a workpiece |
TW202230583A (zh) * | 2020-12-22 | 2022-08-01 | 日商東京威力科創股份有限公司 | 基板處理系統及微粒去除方法 |
CN113140483A (zh) * | 2021-03-03 | 2021-07-20 | 上海璞芯科技有限公司 | 一种晶圆的传片方法和传片平台 |
CN113213204A (zh) * | 2021-06-11 | 2021-08-06 | 丰县鑫牧网络科技有限公司 | 印刷机用存纸盒 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20000035354A (ko) * | 1998-11-12 | 2000-06-26 | 히가시 데쓰로 | 처리시스템 |
KR20050037964A (ko) * | 2003-10-20 | 2005-04-25 | 어플라이드 머티어리얼스, 인코포레이티드 | 대면적 기판 처리 시스템용 로드락 챔버 |
Family Cites Families (174)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3976330A (en) * | 1975-10-01 | 1976-08-24 | International Business Machines Corporation | Transport system for semiconductor wafer multiprocessing station system |
US4047624A (en) | 1975-10-21 | 1977-09-13 | Airco, Inc. | Workpiece handling system for vacuum processing |
US5187115A (en) * | 1977-12-05 | 1993-02-16 | Plasma Physics Corp. | Method of forming semiconducting materials and barriers using a dual enclosure apparatus |
US4178113A (en) | 1977-12-05 | 1979-12-11 | Macronetics, Inc. | Buffer storage apparatus for semiconductor wafer processing |
CH626214GA3 (ko) * | 1979-02-07 | 1981-11-13 | ||
US4680061A (en) * | 1979-12-21 | 1987-07-14 | Varian Associates, Inc. | Method of thermal treatment of a wafer in an evacuated environment |
US4512391A (en) * | 1982-01-29 | 1985-04-23 | Varian Associates, Inc. | Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet |
US5374147A (en) | 1982-07-29 | 1994-12-20 | Tokyo Electron Limited | Transfer device for transferring a substrate |
US5259881A (en) | 1991-05-17 | 1993-11-09 | Materials Research Corporation | Wafer processing cluster tool batch preheating and degassing apparatus |
US4801241A (en) * | 1984-03-09 | 1989-01-31 | Tegal Corporation | Modular article processing machine and method of article handling therein |
US4693777A (en) | 1984-11-30 | 1987-09-15 | Kabushiki Kaisha Toshiba | Apparatus for producing semiconductor devices |
US4759681A (en) * | 1985-01-22 | 1988-07-26 | Nissin Electric Co. Ltd. | End station for an ion implantation apparatus |
US5224809A (en) * | 1985-01-22 | 1993-07-06 | Applied Materials, Inc. | Semiconductor processing system with robotic autoloader and load lock |
US4687542A (en) * | 1985-10-24 | 1987-08-18 | Texas Instruments Incorporated | Vacuum processing system |
US4966519A (en) | 1985-10-24 | 1990-10-30 | Texas Instruments Incorporated | Integrated circuit processing system |
US5044871A (en) | 1985-10-24 | 1991-09-03 | Texas Instruments Incorporated | Integrated circuit processing system |
US4709655A (en) | 1985-12-03 | 1987-12-01 | Varian Associates, Inc. | Chemical vapor deposition apparatus |
US4836733A (en) * | 1986-04-28 | 1989-06-06 | Varian Associates, Inc. | Wafer transfer system |
US4770590A (en) | 1986-05-16 | 1988-09-13 | Silicon Valley Group, Inc. | Method and apparatus for transferring wafers between cassettes and a boat |
US4775281A (en) | 1986-12-02 | 1988-10-04 | Teradyne, Inc. | Apparatus and method for loading and unloading wafers |
US5292393A (en) * | 1986-12-19 | 1994-03-08 | Applied Materials, Inc. | Multichamber integrated process system |
US4951601A (en) * | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US5882165A (en) * | 1986-12-19 | 1999-03-16 | Applied Materials, Inc. | Multiple chamber integrated process system |
US4784377A (en) | 1986-12-23 | 1988-11-15 | Northern Telecom Limited | Apparatus for locating and supporting ceramic substrates |
US4785962A (en) | 1987-04-20 | 1988-11-22 | Applied Materials, Inc. | Vacuum chamber slit valve |
US4913929A (en) * | 1987-04-21 | 1990-04-03 | The Board Of Trustees Of The Leland Stanford Junior University | Thermal/microwave remote plasma multiprocessing reactor and method of use |
JPS63291419A (ja) | 1987-05-24 | 1988-11-29 | Tatsumo Kk | 加熱処理装置 |
US4846102A (en) * | 1987-06-24 | 1989-07-11 | Epsilon Technology, Inc. | Reaction chambers for CVD systems |
US4828224A (en) * | 1987-10-15 | 1989-05-09 | Epsilon Technology, Inc. | Chemical vapor deposition system |
JPH0333058Y2 (ko) | 1987-06-26 | 1991-07-12 | ||
JPS6411320A (en) * | 1987-07-06 | 1989-01-13 | Toshiba Corp | Photo-cvd device |
US4816098A (en) * | 1987-07-16 | 1989-03-28 | Texas Instruments Incorporated | Apparatus for transferring workpieces |
US4911103A (en) * | 1987-07-17 | 1990-03-27 | Texas Instruments Incorporated | Processing apparatus and method |
EP0306967B1 (en) * | 1987-09-11 | 1997-04-16 | Hitachi, Ltd. | Apparatus for performing heat treatment on semiconductor wafers |
US5020475A (en) * | 1987-10-15 | 1991-06-04 | Epsilon Technology, Inc. | Substrate handling and transporting apparatus |
FR2621930B1 (fr) * | 1987-10-15 | 1990-02-02 | Solems Sa | Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique |
US5202716A (en) * | 1988-02-12 | 1993-04-13 | Tokyo Electron Limited | Resist process system |
US5259883A (en) | 1988-02-16 | 1993-11-09 | Kabushiki Kaisha Toshiba | Method of thermally processing semiconductor wafers and an apparatus therefor |
US4857689A (en) * | 1988-03-23 | 1989-08-15 | High Temperature Engineering Corporation | Rapid thermal furnace for semiconductor processing |
DE58909880D1 (de) * | 1988-05-24 | 2001-12-20 | Unaxis Balzers Ag | Vakuumanlage |
US5024570A (en) | 1988-09-14 | 1991-06-18 | Fujitsu Limited | Continuous semiconductor substrate processing system |
US5536128A (en) * | 1988-10-21 | 1996-07-16 | Hitachi, Ltd. | Method and apparatus for carrying a variety of products |
US4952299A (en) * | 1988-10-31 | 1990-08-28 | Eaton Corporation | Wafer handling apparatus |
US4923584A (en) * | 1988-10-31 | 1990-05-08 | Eaton Corporation | Sealing apparatus for a vacuum processing system |
US5186718A (en) * | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
JPH0793348B2 (ja) | 1989-05-19 | 1995-10-09 | アプライド マテリアルズ インコーポレーテッド | 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置 |
US5254170A (en) | 1989-08-07 | 1993-10-19 | Asm Vt, Inc. | Enhanced vertical thermal reactor system |
US5227708A (en) | 1989-10-20 | 1993-07-13 | Applied Materials, Inc. | Two-axis magnetically coupled robot |
EP0858867A3 (en) | 1989-10-20 | 1999-03-17 | Applied Materials, Inc. | Robot apparatus |
US5447409A (en) | 1989-10-20 | 1995-09-05 | Applied Materials, Inc. | Robot assembly |
US5227807A (en) * | 1989-11-29 | 1993-07-13 | Ael Defense Corp. | Dual polarized ambidextrous multiple deformed aperture spiral antennas |
US5060354A (en) | 1990-07-02 | 1991-10-29 | George Chizinsky | Heated plate rapid thermal processor |
US5252807A (en) | 1990-07-02 | 1993-10-12 | George Chizinsky | Heated plate rapid thermal processor |
JP2644912B2 (ja) * | 1990-08-29 | 1997-08-25 | 株式会社日立製作所 | 真空処理装置及びその運転方法 |
US5261935A (en) | 1990-09-26 | 1993-11-16 | Tokyo Electron Sagami Limited | Clean air apparatus |
JP2595132B2 (ja) | 1990-11-26 | 1997-03-26 | 株式会社日立製作所 | 真空処理装置 |
US5685684A (en) | 1990-11-26 | 1997-11-11 | Hitachi, Ltd. | Vacuum processing system |
US5199483A (en) * | 1991-05-15 | 1993-04-06 | Applied Materials, Inc. | Method and apparatus for cooling wafers |
KR0162102B1 (ko) * | 1991-05-29 | 1999-02-01 | 이노우에 아키라 | 반도체 제조장치 |
US5131460A (en) * | 1991-10-24 | 1992-07-21 | Applied Materials, Inc. | Reducing particulates during semiconductor fabrication |
JP2598353B2 (ja) * | 1991-12-04 | 1997-04-09 | アネルバ株式会社 | 基板処理装置、基板搬送装置及び基板交換方法 |
US5376212A (en) | 1992-02-18 | 1994-12-27 | Tokyo Electron Yamanashi Limited | Reduced-pressure processing apparatus |
US5404894A (en) * | 1992-05-20 | 1995-04-11 | Tokyo Electron Kabushiki Kaisha | Conveyor apparatus |
JPH0616206A (ja) | 1992-07-03 | 1994-01-25 | Shinko Electric Co Ltd | クリーンルーム内搬送システム |
US5697749A (en) | 1992-07-17 | 1997-12-16 | Tokyo Electron Kabushiki Kaisha | Wafer processing apparatus |
US5516732A (en) * | 1992-12-04 | 1996-05-14 | Sony Corporation | Wafer processing machine vacuum front end method and apparatus |
US5433812A (en) | 1993-01-19 | 1995-07-18 | International Business Machines Corporation | Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination |
US5352294A (en) * | 1993-01-28 | 1994-10-04 | White John M | Alignment of a shadow frame and large flat substrates on a support |
DE69304038T2 (de) * | 1993-01-28 | 1996-12-19 | Applied Materials Inc | Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz |
US5607009A (en) | 1993-01-28 | 1997-03-04 | Applied Materials, Inc. | Method of heating and cooling large area substrates and apparatus therefor |
DE69323716T2 (de) | 1993-01-28 | 1999-08-19 | Applied Materials | Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer |
JP3258748B2 (ja) | 1993-02-08 | 2002-02-18 | 東京エレクトロン株式会社 | 熱処理装置 |
US5474410A (en) | 1993-03-14 | 1995-12-12 | Tel-Varian Limited | Multi-chamber system provided with carrier units |
US5800686A (en) | 1993-04-05 | 1998-09-01 | Applied Materials, Inc. | Chemical vapor deposition chamber with substrate edge protection |
KR100221983B1 (ko) | 1993-04-13 | 1999-09-15 | 히가시 데쓰로 | 처리장치 |
KR100267617B1 (ko) * | 1993-04-23 | 2000-10-16 | 히가시 데쓰로 | 진공처리장치 및 진공처리방법 |
US5421889A (en) * | 1993-06-29 | 1995-06-06 | Tokyo Electron Limited | Method and apparatus for inverting samples in a process |
EP0634787B1 (en) * | 1993-07-15 | 1997-05-02 | Applied Materials, Inc. | Subsrate tray and ceramic blade for semiconductor processing apparatus |
TW276353B (ko) | 1993-07-15 | 1996-05-21 | Hitachi Seisakusyo Kk | |
US5616208A (en) * | 1993-09-17 | 1997-04-01 | Tokyo Electron Limited | Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus |
US5588827A (en) | 1993-12-17 | 1996-12-31 | Brooks Automation Inc. | Passive gas substrate thermal conditioning apparatus and method |
US5738767A (en) * | 1994-01-11 | 1998-04-14 | Intevac, Inc. | Substrate handling and processing system for flat panel displays |
US5934856A (en) | 1994-05-23 | 1999-08-10 | Tokyo Electron Limited | Multi-chamber treatment system |
JP3136345B2 (ja) | 1994-08-25 | 2001-02-19 | 富士電子工業株式会社 | 高周波加熱装置 |
US5586585A (en) | 1995-02-27 | 1996-12-24 | Asyst Technologies, Inc. | Direct loadlock interface |
JP3270852B2 (ja) * | 1995-04-20 | 2002-04-02 | 東京エレクトロン株式会社 | 圧力調整装置及びこれを用いた部屋の連通方法 |
JPH08340036A (ja) * | 1995-06-09 | 1996-12-24 | Tokyo Electron Ltd | 処理装置 |
JP3288200B2 (ja) * | 1995-06-09 | 2002-06-04 | 東京エレクトロン株式会社 | 真空処理装置 |
TW309503B (ko) | 1995-06-27 | 1997-07-01 | Tokyo Electron Co Ltd | |
US5615988A (en) * | 1995-07-07 | 1997-04-01 | Pri Automation, Inc. | Wafer transfer system having rotational capability |
JPH0936198A (ja) | 1995-07-19 | 1997-02-07 | Hitachi Ltd | 真空処理装置およびそれを用いた半導体製造ライン |
KR100238998B1 (ko) * | 1995-07-26 | 2000-01-15 | 우치가사키 기이치로 | 가열로 |
KR100310249B1 (ko) | 1995-08-05 | 2001-12-17 | 엔도 마코토 | 기판처리장치 |
CH691376A5 (de) | 1995-10-17 | 2001-07-13 | Unaxis Balzers Ag | Vakuumanlage zur Oberflächenbearbeitung von Werkstücken. |
JP2713276B2 (ja) * | 1995-12-07 | 1998-02-16 | 日本電気株式会社 | 半導体装置の製造装置およびこれを用いた半導体装置の製造方法 |
TW318258B (ko) | 1995-12-12 | 1997-10-21 | Tokyo Electron Co Ltd | |
US5793050A (en) | 1996-02-16 | 1998-08-11 | Eaton Corporation | Ion implantation system for implanting workpieces |
US5751003A (en) | 1996-02-16 | 1998-05-12 | Eaton Corporation | Loadlock assembly for an ion implantation system |
US6176667B1 (en) * | 1996-04-30 | 2001-01-23 | Applied Materials, Inc. | Multideck wafer processing system |
US6224680B1 (en) * | 1996-07-09 | 2001-05-01 | Gamma Precision Technology, Inc. | Wafer transfer system |
US5944940A (en) | 1996-07-09 | 1999-08-31 | Gamma Precision Technology, Inc. | Wafer transfer system and method of using the same |
US5954472A (en) | 1996-07-15 | 1999-09-21 | Brooks Automation, Inc. | Batch loader arm |
US5891251A (en) * | 1996-08-07 | 1999-04-06 | Macleish; Joseph H. | CVD reactor having heated process chamber within isolation chamber |
JP3202929B2 (ja) | 1996-09-13 | 2001-08-27 | 東京エレクトロン株式会社 | 処理システム |
US5997235A (en) | 1996-09-20 | 1999-12-07 | Brooks Automation, Inc. | Swap out plate and assembly |
US6048154A (en) * | 1996-10-02 | 2000-04-11 | Applied Materials, Inc. | High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock |
US5855681A (en) * | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US5961269A (en) | 1996-11-18 | 1999-10-05 | Applied Materials, Inc. | Three chamber load lock apparatus |
US5902088A (en) * | 1996-11-18 | 1999-05-11 | Applied Materials, Inc. | Single loadlock chamber with wafer cooling function |
US5909994A (en) * | 1996-11-18 | 1999-06-08 | Applied Materials, Inc. | Vertical dual loadlock chamber |
US6082950A (en) * | 1996-11-18 | 2000-07-04 | Applied Materials, Inc. | Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding |
US5833426A (en) | 1996-12-11 | 1998-11-10 | Applied Materials, Inc. | Magnetically coupled wafer extraction platform |
KR100234539B1 (ko) * | 1996-12-24 | 1999-12-15 | 윤종용 | 반도체장치 제조용 식각 장치 |
US5795355A (en) | 1996-12-24 | 1998-08-18 | Applied Materials, Inc. | Integrated micro-environment container loader apparatus having a semipermeable barrier |
JP3549141B2 (ja) * | 1997-04-21 | 2004-08-04 | 大日本スクリーン製造株式会社 | 基板処理装置および基板保持装置 |
US6059507A (en) * | 1997-04-21 | 2000-05-09 | Brooks Automation, Inc. | Substrate processing apparatus with small batch load lock |
US5944857A (en) | 1997-05-08 | 1999-08-31 | Tokyo Electron Limited | Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor |
US5951770A (en) | 1997-06-04 | 1999-09-14 | Applied Materials, Inc. | Carousel wafer transfer system |
KR100271758B1 (ko) * | 1997-06-25 | 2001-01-15 | 윤종용 | 반도체장치 제조설비 및 이의 구동방법 |
US6034000A (en) | 1997-07-28 | 2000-03-07 | Applied Materials, Inc. | Multiple loadlock system |
JPH1154496A (ja) * | 1997-08-07 | 1999-02-26 | Tokyo Electron Ltd | 熱処理装置及びガス処理装置 |
JP4048387B2 (ja) * | 1997-09-10 | 2008-02-20 | 東京エレクトロン株式会社 | ロードロック機構及び処理装置 |
US6235634B1 (en) * | 1997-10-08 | 2001-05-22 | Applied Komatsu Technology, Inc. | Modular substrate processing system |
US6688375B1 (en) * | 1997-10-14 | 2004-02-10 | Applied Materials, Inc. | Vacuum processing system having improved substrate heating and cooling |
EP2099061A3 (en) * | 1997-11-28 | 2013-06-12 | Mattson Technology, Inc. | Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing |
US6270582B1 (en) | 1997-12-15 | 2001-08-07 | Applied Materials, Inc | Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system |
US6042623A (en) * | 1998-01-12 | 2000-03-28 | Tokyo Electron Limited | Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor |
JP3966594B2 (ja) * | 1998-01-26 | 2007-08-29 | 東京エレクトロン株式会社 | 予備真空室およびそれを用いた真空処理装置 |
JP3286240B2 (ja) | 1998-02-09 | 2002-05-27 | 日本エー・エス・エム株式会社 | 半導体処理用ロードロック装置及び方法 |
US6717578B1 (en) * | 1998-02-17 | 2004-04-06 | Sun Microsystems, Inc. | Graphics system with a variable-resolution sample buffer |
KR100265287B1 (ko) * | 1998-04-21 | 2000-10-02 | 윤종용 | 반도체소자 제조용 식각설비의 멀티챔버 시스템 |
US6215897B1 (en) * | 1998-05-20 | 2001-04-10 | Applied Komatsu Technology, Inc. | Automated substrate processing system |
US6517303B1 (en) * | 1998-05-20 | 2003-02-11 | Applied Komatsu Technology, Inc. | Substrate transfer shuttle |
US6213704B1 (en) * | 1998-05-20 | 2001-04-10 | Applied Komatsu Technology, Inc. | Method and apparatus for substrate transfer and processing |
US6086362A (en) * | 1998-05-20 | 2000-07-11 | Applied Komatsu Technology, Inc. | Multi-function chamber for a substrate processing system |
US6176668B1 (en) * | 1998-05-20 | 2001-01-23 | Applied Komatsu Technology, Inc. | In-situ substrate transfer shuttle |
US6079693A (en) * | 1998-05-20 | 2000-06-27 | Applied Komatsu Technology, Inc. | Isolation valves |
US6206176B1 (en) * | 1998-05-20 | 2001-03-27 | Applied Komatsu Technology, Inc. | Substrate transfer shuttle having a magnetic drive |
US6431807B1 (en) | 1998-07-10 | 2002-08-13 | Novellus Systems, Inc. | Wafer processing architecture including single-wafer load lock with cooling unit |
US6016611A (en) * | 1998-07-13 | 2000-01-25 | Applied Komatsu Technology, Inc. | Gas flow control in a substrate processing system |
JP2000174091A (ja) * | 1998-12-01 | 2000-06-23 | Fujitsu Ltd | 搬送装置及び製造装置 |
JP2000195925A (ja) * | 1998-12-28 | 2000-07-14 | Anelva Corp | 基板処理装置 |
US6106634A (en) | 1999-02-11 | 2000-08-22 | Applied Materials, Inc. | Methods and apparatus for reducing particle contamination during wafer transport |
JP2000306978A (ja) * | 1999-02-15 | 2000-11-02 | Kokusai Electric Co Ltd | 基板処理装置、基板搬送装置、および基板処理方法 |
US6145673A (en) | 1999-03-31 | 2000-11-14 | Applied Materials, Inc. | Wafer transfer cassette |
US6610150B1 (en) | 1999-04-02 | 2003-08-26 | Asml Us, Inc. | Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system |
US6486444B1 (en) * | 1999-06-03 | 2002-11-26 | Applied Materials, Inc. | Load-lock with external staging area |
US6318945B1 (en) | 1999-07-28 | 2001-11-20 | Brooks Automation, Inc. | Substrate processing apparatus with vertically stacked load lock and substrate transport robot |
US6309161B1 (en) * | 1999-11-04 | 2001-10-30 | Brooks Automation, Inc. | Load lock with vertically movable support |
US6410455B1 (en) | 1999-11-30 | 2002-06-25 | Wafermasters, Inc. | Wafer processing system |
US6558509B2 (en) * | 1999-11-30 | 2003-05-06 | Applied Materials, Inc. | Dual wafer load lock |
US6568899B1 (en) * | 1999-11-30 | 2003-05-27 | Wafermasters, Inc. | Wafer processing system including a robot |
US6949143B1 (en) * | 1999-12-15 | 2005-09-27 | Applied Materials, Inc. | Dual substrate loadlock process equipment |
JP2001319885A (ja) * | 2000-03-02 | 2001-11-16 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体製造方法 |
US6734950B2 (en) * | 2000-06-13 | 2004-05-11 | Canon Kabushiki Kaisha | Load-lock chamber and exposure apparatus using the same |
TW512421B (en) * | 2000-09-15 | 2002-12-01 | Applied Materials Inc | Double dual slot load lock for process equipment |
US6609869B2 (en) | 2001-01-04 | 2003-08-26 | Asm America | Transfer chamber with integral loadlock and staging station |
US20020137346A1 (en) | 2001-03-12 | 2002-09-26 | Applied Materials. Inc. | Workpiece distribution and processing in a high throughput stacked frame |
US20020159864A1 (en) | 2001-04-30 | 2002-10-31 | Applied Materials, Inc. | Triple chamber load lock |
WO2002093605A2 (en) | 2001-05-17 | 2002-11-21 | Tokyo Electron Limited | Cylinder-based plasma processing system |
US6918731B2 (en) * | 2001-07-02 | 2005-07-19 | Brooks Automation, Incorporated | Fast swap dual substrate transport for load lock |
US6719517B2 (en) * | 2001-12-04 | 2004-04-13 | Brooks Automation | Substrate processing apparatus with independently configurable integral load locks |
US6729824B2 (en) * | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
JP4244555B2 (ja) * | 2002-02-25 | 2009-03-25 | 東京エレクトロン株式会社 | 被処理体の支持機構 |
JP4168642B2 (ja) * | 2002-02-28 | 2008-10-22 | 東京エレクトロン株式会社 | 被処理体収納容器体及び処理システム |
JP3970184B2 (ja) * | 2003-01-10 | 2007-09-05 | 東京エレクトロン株式会社 | 処理装置 |
US20040141832A1 (en) * | 2003-01-10 | 2004-07-22 | Jang Geun-Ha | Cluster device having dual structure |
JP4040499B2 (ja) * | 2003-03-06 | 2008-01-30 | キヤノン株式会社 | ロードロック室、処理システム及び処理方法 |
SG115631A1 (en) * | 2003-03-11 | 2005-10-28 | Asml Netherlands Bv | Lithographic projection assembly, load lock and method for transferring objects |
US7458763B2 (en) * | 2003-11-10 | 2008-12-02 | Blueshift Technologies, Inc. | Mid-entry load lock for semiconductor handling system |
KR20070008533A (ko) * | 2003-11-10 | 2007-01-17 | 블루쉬프트 테크놀로지스, 인코포레이티드. | 진공-사용 반도체 핸들링 시스템에서 작업 편을 핸들링하기위한 방법 및 시스템 |
JP2005277049A (ja) * | 2004-03-24 | 2005-10-06 | Tokyo Electron Ltd | 熱処理システム及び熱処理方法 |
US20060177288A1 (en) * | 2005-02-09 | 2006-08-10 | Parker N W | Multiple loadlocks and processing chamber |
JP4619854B2 (ja) * | 2005-04-18 | 2011-01-26 | 東京エレクトロン株式会社 | ロードロック装置及び処理方法 |
-
2006
- 2006-06-02 US US11/421,793 patent/US7665951B2/en not_active Expired - Fee Related
-
2007
- 2007-06-01 CN CN2011101181814A patent/CN102275739A/zh active Pending
- 2007-06-01 JP JP2009513476A patent/JP5072958B2/ja not_active Expired - Fee Related
- 2007-06-01 CN CNA2007800004299A patent/CN101472814A/zh active Pending
- 2007-06-01 KR KR1020077023938A patent/KR100945331B1/ko active IP Right Grant
- 2007-06-01 KR KR1020097024579A patent/KR101289024B1/ko active IP Right Grant
- 2007-06-01 WO PCT/US2007/070246 patent/WO2007143567A2/en active Application Filing
- 2007-06-01 TW TW096119814A patent/TWI394699B/zh not_active IP Right Cessation
- 2007-06-01 EP EP07798025A patent/EP2024264A4/en not_active Withdrawn
-
2010
- 2010-02-22 US US12/709,713 patent/US8061949B2/en not_active Expired - Fee Related
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20000035354A (ko) * | 1998-11-12 | 2000-06-26 | 히가시 데쓰로 | 처리시스템 |
KR20050037964A (ko) * | 2003-10-20 | 2005-04-25 | 어플라이드 머티어리얼스, 인코포레이티드 | 대면적 기판 처리 시스템용 로드락 챔버 |
Also Published As
Publication number | Publication date |
---|---|
WO2007143567A3 (en) | 2008-12-04 |
JP5072958B2 (ja) | 2012-11-14 |
TWI394699B (zh) | 2013-05-01 |
EP2024264A4 (en) | 2012-04-11 |
US8061949B2 (en) | 2011-11-22 |
US20100139889A1 (en) | 2010-06-10 |
CN102275739A (zh) | 2011-12-14 |
US20070280816A1 (en) | 2007-12-06 |
TW200817263A (en) | 2008-04-16 |
JP2009540547A (ja) | 2009-11-19 |
WO2007143567A2 (en) | 2007-12-13 |
KR20080031851A (ko) | 2008-04-11 |
CN101472814A (zh) | 2009-07-01 |
KR101289024B1 (ko) | 2013-07-23 |
KR20100017367A (ko) | 2010-02-16 |
EP2024264A2 (en) | 2009-02-18 |
US7665951B2 (en) | 2010-02-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100945331B1 (ko) | 다수 슬롯 로드 록 챔버 및 그 운전 방법 | |
JP5297376B2 (ja) | チューブ形状のヒーターを備えたロードロックチャンバー | |
KR101323224B1 (ko) | 분리식 슬릿 밸브 도어 밀봉 격실을 가지는 로드 락 챔버 | |
US8562742B2 (en) | Apparatus for radial delivery of gas to a chamber and methods of use thereof | |
KR100848899B1 (ko) | 분리된 챔버 바디 | |
KR101002553B1 (ko) | 기판 처리 장치, 기판 처리 방법 및 기록 매체 | |
EP1859077B1 (en) | A system and a method for the production of micro-electro-mechanical systems | |
US20080223400A1 (en) | Substrate processing apparatus, substrate processing method and storage medium | |
TW202403999A (zh) | 對稱半導體處理腔室 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
AMND | Amendment | ||
E902 | Notification of reason for refusal | ||
AMND | Amendment | ||
E601 | Decision to refuse application | ||
J201 | Request for trial against refusal decision | ||
A107 | Divisional application of patent | ||
AMND | Amendment | ||
B701 | Decision to grant | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20130130 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20140129 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20150129 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20151230 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20161229 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20180212 Year of fee payment: 9 |