KR20070075368A - 대면적 기판 처리 시스템용 로드락 챔버 - Google Patents

대면적 기판 처리 시스템용 로드락 챔버 Download PDF

Info

Publication number
KR20070075368A
KR20070075368A KR1020070050235A KR20070050235A KR20070075368A KR 20070075368 A KR20070075368 A KR 20070075368A KR 1020070050235 A KR1020070050235 A KR 1020070050235A KR 20070050235 A KR20070050235 A KR 20070050235A KR 20070075368 A KR20070075368 A KR 20070075368A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
load lock
lock chamber
substrate transfer
Prior art date
Application number
KR1020070050235A
Other languages
English (en)
Other versions
KR100978708B1 (ko
Inventor
시니치 쿠리타
웬델 티. 브로니간
요시아키 타나세
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070075368A publication Critical patent/KR20070075368A/ko
Application granted granted Critical
Publication of KR100978708B1 publication Critical patent/KR100978708B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/063Transporting devices for sheet glass
    • B65G49/064Transporting devices for sheet glass in a horizontal position
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/067Sheet handling, means, e.g. manipulators, devices for turning or tilting sheet glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

대면적 기판을 전달하는 로드락 챔버 및 방법이 제공된다. 일 실시예에서, 대면적 기판을 전달하는데 적합한 로드락 챔버는 다수의 수직으로 적층된 단일 기판 전달 챔버를 포함한다. 수직으로 적층된 단일 기판 전달 챔버의 구성은 이중 슬롯 이중 기판 설계의 종래 기술과 비교할 때 감소된 크기 및 보다 많은 처리량에 기여한다. 또한, 증가된 처리량은 감소된 펌핑 및 배기 속도에서 구현되어, 미립자 및 응축으로 인한 기판 미립자 오염 가능성이 감소된다.

Description

대면적 기판 처리 시스템용 로드락 챔버{LOAD LOCK CHAMBER FOR LARGE AREA SUBSTRATE PROCESSING SYSTEM}
도 1은 대면적 기판을 처리하는 처리 시스템의 일 실시예에 대한 상부도;
도 2는 다중 챔버 로드락 챔버의 일 실시예에 대한 측단면도;
도 3은 도 2의 라인 3-3을 따른 로드락 챔버의 단면도;
도 3A는 공용 진공 펌프를 갖는 도 2의 로드락 챔버;
도 4a-b는 도 3의 로드락 챔버의 부분 측단면도;
도 5는 정렬 메커니즘의 일실시예도;
도 6-7은 정렬 메커니즘의 또다른 실시예의 측단면도;
도 8은 로드락 챔버의 또다른 실시예도; 및
도 9는 종래 기술의 종래의 이중 슬롯 이중 기판 로드락 챔버의 일 실시예도.
* 주요 도면 부호에 대한 설명
100 : 로드락 챔버 110: 대면적 기판
108: 전달 챔버 112: 팩토리 인터페이스
202 : 제 1 측벽 204: 제 2 측벽
206: 제 3 측벽 208: 하부
210: 상부 212: 챔버 바디
214: 내부 벽 220, 222, 224: 기판 전달 챔버
226, 228: 슬릿 밸브
본 출원은 2003년 10월 20일자로 "LOAD LOCK CHAMBER FOR LARGE AREA SUBSTRATE PROCESSING SYSTEM"이란 명칭으로 출원된 미국 가출원번호 60/512,727호의 장점을 청구한다.
본 발명의 실시예는 진공 처리 시스템 속으로 대면적 기판을 전달하는 로드락 챔버 및 그의 제조 방법에 관한 것이다.
박막 트랜지스터(TFT)는 컴퓨터 및 텔레비전 모니터, 셀 폰 디스플레이, PDA 와 같은 액티브 매트릭스 디스플레이에 통상적으로 사용되고 있으며 다른 장치에도 사용되는 수가 증가하고 있다. 통상적으로, 플랫 패널은 그 사이에 액정 물질층이 샌드위치된 두 개의 글라스 플레이트를 포함한다. 적어도 하나의 글라스 플레이트는 상부에 배치되며 전원장치에 접속된 하나의 도전막을 포함한다. 전원장치로부터 도전막에 공급된 전력은 결정 물질의 배향을 변화시켜 패턴 디스플레이를 형성한다.
플랫 패널 기술을 이용하는 시장에서, 보다 큰 디스플레이, 산출량 증가 및 낮은 제조 비용을 위한 요구조건으로 인해 장비 제조자에게는 플랫 패널 디스플레 이 제조를 위해 보다 큰 글라스 기판을 수용하는 새로운 시스템을 개발하는 것이 요구된다. 현재의 글라스 처리 장비는 약 1 평방 미터에 이르는 기판을 수용하도록 구성된다. 1-1/2 평방 미터 및 이를 초과하는 기판 크기를 수용하도록 구성된 처리 장비가 곧 계획될 것이다.
이러한 대형 기판을 제조하는 장비는 플랫 패널 디스플레이 제조자에게 상당한 투자를 요구한다. 종래의 시스템은 크고 값비싼 하드웨어를 요구한다. 이러한 투자를 보상하기 위해서는, 바람직하게 다수의 기판을 처리하는 것이 요구된다.
도 9는 현재 1500×1800mm 기판을 시간당 약 60개의 속도로 전달할 수 있는, Applied Materials Inc. 의 소속인 AKT로부터 시판되는 더블 듀얼 슬롯 로드락 챔버(900)의 간략화된 개략도이다. 로드락 챔버(900)는 챔버 바디(906)에 형성된 2개의 기판 전달 챔버(902, 904)를 포함한다. 각각의 기판 전달 챔버(902, 904)는 약 800리터의 내부체적을 갖는다. 2개의 기판(910)은 전달 로봇(미도시)을 이용한 기판의 교환이 용이하도록 챔버(902, 904)내에서 수직으로 이동가능한 엘레베이터(912)상에 위치된다.
높은 기판 처리량을 달성하기 위해, 상기 설명된 것과 같은 로드락 챔버는 고용량 진공 펌프 및 배기 시스템을 요구한다. 그러나 이러한 높은 체적의 로드락 챔버의 처리량 증가는 문제시된다. 단순히 펌핑 및 배기 속도 증가는 높은 펌핑 속도가 로드락 챔버내에서 기판을 미립자 오염시킬 수 있기 때문에 바람직한 방안을 제공하지는 못한다. 또한, 클린룸은 전반적으로 정전기를 최소화시키기 위해 50퍼센트 이상의 습도 레벨에서 동작하기 때문에, 로드락 챔버의 급속한 배기는 바 람직하기 않게 로드락 챔버내에서 수증기 응축을 야기할 수 있다. 미래의 처리 시스템은 상당한 크기의 기판을 처리하도록 구성될 것이기 때문에, 대면적 기판의 신속한 전달이 가능한 개선된 로드락 챔버에 대한 조건이 증가되고 있다.
따라서, 대면적 기판을 위한 개선된 로드락 챔버가 요구된다.
로드락 챔버 및 대면적 기판을 전달하는 방법이 제공된다. 일 실시예에서, 대면적 기판을 전달하는 데 있어 바람직한 로드락 챔버는 다수의 수직으로 적층된 단일 기판 전달 챔버를 포함한다. 또다른 실시예에서, 대면적 기판을 전달하는데 적합한 로드락 챔버는 진공 챔버에 결합되는 제 1 측벽 및 팩토리 인터페이스에 결합되는 제 2 측벽을 갖는 챔버 바디를 포함한다. 챔버 바디는 내부에 N개의 수직으로 적층된 기판 전달 챔버를 포함하며, 여기서 N은 2 이상의 정수이다. 인접한 기판 전달 챔버는 거의 수평인 내부벽들을 주위와 절연시키고 분리시킨다.
본 발명의 상기 기재된 특징을 달성하며 개략적으로 요약된 본 발명에 대한 보다 상세한 설명을 통해 이해할 수 있는 상기 방식은 첨부된 도면에 도시된 실시예를 참조한다. 그러나 첨부된 도면은 단지 본 발명의 전형적인 실시예를 도시하는 것으로, 본 발명의 범주를 이탈하지 않는 한 다른 등가의 효과적인 실시예가 허용될 수 있다.
보다 용이한 이해를 위해, 도면에서 공통되는 동일 부재는 가능한 동일한 부호를 사용하여 나타낸다.
본 발명은 다수의 적층된 기판 전달 챔버를 갖는 고 체적/고 처리량의 로드락 챔버를 제공한다. 본 발명은 캘리포니아, 산타클라라의 Applied Materials, Inc. 의 소속의 AKT로부터 이용가능한 플랫 패널 처리 시스템을 이용하여 이하 상세히 설명한다. 그러나 본 발명은 대면적 기판의 로드락 챔버를 통한 높은 처리량 기판 전달이 요구되는 다른 시스템 구성에서도 유용성을 갖는다는 것을 인식해야 한다.
도 1은 대면적 기판(예를 들어, 약 2.7 평방 미터 이상의 평면 면적을 가진 기판들)을 처리하는데 적합한 프로세스 시스템(150)의 일 실시예의 상부도이다. 프로세스 시스템(150)은 다수의 단일 기판 전달 챔버를 갖는 로드락 챔버(100)에 의해 팩토리 인터페이스(112)에 결합된 전달 챔버(108)를 포함한다. 전달 챔버(108)에는 다수의 주변 프로세스 챔버(132)와 로드락 챔버(100) 사이에서 기판을 전달하는 적어도 하나의 이중 블레이드 진공 로봇(134)이 내부에 배치된다. 일 실시예에서, 프로세스 챔버(132)중 하나는 시스템(150)의 처리량을 증가시키기 위해 프로세싱 이전에 기판을 열적으로 조건설정하는 예비-가열 챔버이다. 전형적으로, 전달 챔버(108)는 각각의 기판 전달 이후에 전달 챔버(108)와 개별 프로세스 챔버(132) 사이에 압력 조절 필요조건을 제거하기 위해 진공 상태로 유지된다.
통상적으로 팩토리 인터페이스(112)는 다수의 기판 저장 카세트(138) 및 이중 브레이드 대기 로봇(136)을 포함한다. 카세트(138)는 팩토리 인터페이스(112)의 한 측면 상에 형성된 다수의 베이(140)에 제거가능하게 배치된다. 대기 로봇(136)은 카세트(138)와 로드락 챔버(100) 사이에 기판을 전달한다. 전형적으로, 팩토리 인터페이스(112)는 상기 대기압으로 또는 그보다 약간 높게 유지된다.
도 2는 도 1의 다중-챔버 로드락(100)의 일 실시예의 단면도이다. 로드락 챔버(100)는 진공밀폐된(vacuum-tight) 수평 내부벽(214)에 의해 분리되며, 다수가 수직으로 적층되고, 주위와 절연된 다수의 기판 전달 챔버를 포함한다. 3개의 단일 기판 전달 챔버(220, 222, 224)가 도 2 실시예에 도시되었지만, 로드락 챔버(100)의 챔버 바디(212)는 2개 이상의 수직으로 적층된 기판 전달 챔버를 포함할 수 있다. 예를 들어, 로드락 챔버(100)는 N-1개의 수평 내부 벽(214)에 의해 분리된 N개의 기판 전달 챔버를 포함할 수 있으며, 여기서 N은 1 이상의 정수이다.
기판 전달 챔버(220, 222, 224)는 빠른 펌핑 및 배기 주기를 증가시키기 위해 챔버 각각의 체적이 최소화되도록 단일 대면적 기판(110)을 수용하게 각각 구성된다. 도 2에 도시된 실시예에서, 각각의 기판 전달 챔버(220, 222, 224)는 약 2.7 평방 미터의 평면 표면적을 갖는 기판을 수용하도록 약 1000리터 이하의 내부 체적을 갖는다. 비교를 하면, 종래 구성의 이중 슬롯 이중 기판 전달 챔버(900)(도 9에 도시됨)는 약 1600리터의 내부 체적을 갖는다. 큰 폭 및/또는 길이 및 등가의 높이를 갖는 본 발명의 기판 전달 챔버는 보다 큰 기판을 수용하도록 구성할 수 있다.
챔버 바디(212)는 제 1 측벽(202), 제 2 측벽(204), 제 3 측벽(206), 하부(208) 및 상부(210)를 포함한다. 제 4 측벽(302)이 도 3의 제 3 측벽(206) 맞은편에 도시된다. 바디(212)는 진공 조건 하에서 사용하기에 적합한 강성의 물질로 제조된다. 일실시예에서, 챔버 바디(212)는 알루미늄의 단일 블록(예를 들어, 단일 부품)으로 제조된다. 선택적으로, 챔버 바디(212)는 모듈형 섹션으로 제조될 수 있으며, 각각의 모듈형 섹션은 기판 전달 챔버(220, 222, 224)중 일부분을 포함하며 완전한 진공을 유지하기 위해 참조 번호 218로 도시된 것처럼 연속적인 용접과 같은 적절한 형태로 조립된다. 도 2를 참고하면, 로드락 챔버의 챔버 바디는 수직으로 적층된 모듈형 섹션을 포함하는 것을 알 수 있다. 각각의 모듈형 섹션이 도 2에서는 예를 들어 3개가 적층되어 있다. 각각의 모듈형 섹션에는 기판 전달 챔버(220, 222, 224)가 형성되어 있다. 따라서, 모듈형 섹션이 N개가 수직으로 적층되면, N개의 기판 전달 챔버가 수직으로 적층되는 것이고, 이 경우 기판 전달 챔버들 사이에는 기판 전달 챔버들을 공간적으로 절연시키고 분리시키는 N-1개의 내부벽(214)이 존재한다. 예를 들면, 도 2에서 3개의 기판 전달 챔버를 분리시키기 위해 2개의 내부벽이 존재한다.
도 2에 도시된 실시예에서, 내부벽(214) 및 제 2 측벽(206) 이외의 챔버 바디(212)의 나머지 부분들은 단일의 연속적인 질량 덩어리(mass) 형태로 제조된다. 제 2 측벽(206)은 챔버 바디(212)의 다른 부분에 밀봉가능하게 결합되어 기판 전달 챔버(220, 222, 224)의 매칭을 용이하게 하고 제조 및 조립 동안 챔버 바디(212)의 내부 부분으로의 액서스를 허용한다.
선택적으로, 챔버 바디(212)의 수평벽(214)은 챔버 바디(212)의 측벽에 진공 밀봉될 수 있어, 기판 전달 챔버(220, 222, 224)를 절연시킨다. 예를 들어, 수평벽(214)은 챔버 바디(212)에 연속적으로 용접될 수 있어 로드락 챔버(100)의 초기 조립 단계 동안 챔버 바디(212)의 내부 전체로의 보다 큰 액서스를 허용한다.
챔버 바디(212)내에 형성된 각각의 기판 전달 챔버(220, 222, 224)는 2개의 기판 액서스 포트를 포함한다. 포트는 로드락 챔버(100)로부터 대면적 기판(100)의 진입 및 제거가 용이하도록 구성된다. 도 2에 도시된 실시예에서, 챔버 바디(212)의 하부(208)에 배치된 제 1 기판 전달 챔버(220)는 2000mm 이상의 폭을 갖는 제 1 기판 액서스 포트(230) 및 제 2 기판 액서스 포트(232)를 포함한다. 제 1 기판 액서스 포트(230)는 챔버 바디(212)의 제 1 측벽(202)을 통해 형성되며 프로세싱 시스템(150)의 중심 전달 챔버(108)와 제 1 기판 전달 챔버(202)를 결합시킨다. 제 2 기판 액서스 포트(232)는 챔버 바디(212)의 제 2 벽(204)을 통해 형성되며 제 1 기판 전달 챔버(220)와 팩토리 인터페이스(112)를 결합시킨다. 도 2에 도시된 실시예에서, 기판 액서스 포트(230, 232)는 챔버 바디(212)의 맞은편 측벽에 배치되나, 포트(230, 232)는 바디(212)의 인접한 벽 상에 선택적으로 위치될 수 있다.
각각의 기판 액서스 포트(230, 232)는 전달 챔버(108)의 환경으로부터 제 1 기판 전달 챔버(220)와 팩토리 인터페이스(112)를 선택적으로 절연시키도록 각각의 슬릿 밸브(226, 228)에 의해 선택적으로 밀봉된다. 슬릿 밸브(226, 228)는 액추에이터(242)에 의해 개방 및 폐쇄 위치 사이에서 이동된다(도 2에 가상으로 도시된 1개의 액추에이터(242)는 통상적으로 챔버 바디(212) 외측에 위치된다). 도 2에 도시된 실시예에서, 각각의 슬릿 밸브(226, 228)는 제 1 에지를 따라 챔버 바디(212)에 피봇식으로 결합되며 액추에이터(242)에 의해 개방 및 폐쇄 위치 사이를 회전한다.
제 1 슬릿 밸브(226)는 제 1 측벽(202)의 내부 벽으로부터 제 1 기판 액서스 포트(230)를 밀봉하여, 중심 전달 챔버(108)의 진공 환경과 제 1 기판 전달 챔버(220) 사이의 진공(예를 들어, 압력) 차가 제 1 측벽(202)에 대해 슬릿 밸브(226)의 로딩 및 밀봉을 보조하도록 제 1 기판 전달 챔버(220)내에 위치되어, 진공 밀봉이 강화된다. 유사하게, 제 2 슬릿 밸브(228)는 제 2 측벽(204)의 외부에 배치되어, 팩토리 인터페이스(112)의 대기 환경과 제 1 기판 전달 챔버(220)의 진공 환경 사이의 압력차가 제 2 기판 액서스 포트(232)의 밀봉을 보조하도록 위치된다. 본 발명으로부터 유용한 슬릿 밸브의 예들은 미국 특허 No. 5,579,718호(1996.12.03, Freerks) 및 미국 특허 No. 6,045,620호(2000.04.04, Tepman 등)에 개시되어 있으며, 이들은 본 명세서에서 참조된다.
제 2 기판 전달 챔버(222)는 액서스 포트(234, 236) 및 슬릿 밸브(226, 228)와 유사하게 구성된다. 제 3 기판 전달 챔버(224)는 액서스 포트(238, 240) 및 슬릿 밸브(226, 228)와 유사하게 구성된다.
기판(110)은 제 1 기판 전달 챔버(220)의 하부(208) 및 다수의 기판 지지체(244)에 의해 제 2 및 제 3 기판 전달 챔버(222, 224)의 하부와 경계를 이루는 내부벽(214) 상에서 지지된다. 기판 지지체(244)는 챔버 바디(212)와 기판의 접촉을 방지하기 위해 하부(208)(또는 벽(214)) 상의 상승부에서 기판(110)을 지지하도록 이격되어 구성된다. 기판 지지체(244)는 기판의 긁힘(scratching) 및 오염을 최소화시키도록 구성된다. 도 2에 도시된 실시예에서, 기판 지지체(244)는 둥근 상단부(246)를 갖는 스테인레스 핀이다. 다른 적절한 기판 지지체는 미국 특허 No 6,528,767호(2003.03.04); 미국 특허 출원 번호 09/982,406호(2001.10.17); 및 미 국 특허 출원 번호 No. 10/376,857호(2003.02.27)에 개시되어 있으며, 이들은 본 명세서에 참조된다.
도 3은 도 2의 섹션 라인 3-3을 따라 취한 로드락 챔버(100)의 단면도이다. 각각의 기판 전달 챔버(220, 222, 224)의 측벽은 각각의 챔버의 내부 체적내에서 압력 제어를 용이하게 하기 위해 그들을 통과하게 배치된 적어도 하나의 포트를 포함한다. 도 3에 도시된 실시예에서, 챔버 바디(212)는 제 1 기판 전달 챔버(220)의 펌핑 다운 및 배기를 위해 챔버 바디(212)의 제 3 측벽(206)을 통해 형성된 진공 포트(306)와 제 4 측벽(302)을 통해 형성된 배기 포트(304)를 포함한다. 밸브(310, 312)는 흐름을 선택적으로 방지하도록 배기 포트(304) 및 진공 포트(306)에 결합된다. 진공 포트(306)는 전달 챔버(108)의 압력과 거의 매칭되는 레벨로 제 1 기판 전달 챔버(220)의 내부 체적내의 압력을 선택적으로 낮추기 위해 이용되는 진공 펌프(308)에 결합된다.
도 2를 참조로, 전달 챔버(108)와 로드락 챔버(100)의 제 1 기판 전달 챔버(220) 사이의 압력은 거의 동일한 경우, 슬릿 밸브(226)는 개방되어 처리된 기판이 로드락 챔버(108)로 전달되고 제 1 기판 액서스 포트(230)를 통해 진공 로봇(134)에 의해 기판이 로드락 챔버(106)로 전달되어 처리되는 것을 허용한다. 로드락 챔버(100)의 제 1 기판 전달 챔버(220)내의 전달 챔버(108)로부터 복귀되는 기판을 위치시킨 후에, 슬릿 밸브(226)는 폐쇄되고 밸브(310)는 개방되어, 예를 들어 N2 및/또는 He와 같은 가스가 배기 포트를 거쳐 로드락 챔버(100)의 제 1 기판 전달 챔 버(220) 속으로 배기되어 내부 체적(110)내에서 압력을 상승시키게 된다. 전형적으로, 배기 포트(304)를 통해 내부 체적(110)으로 진입하는 배기 가스는 기판의 잠재적인 미립자 오염을 최소화시키도록 필터링된다. 일단 제 1 기판 전달 챔버(220)내의 압력이 팩토리 인터페이스(112)의 압력과 거의 동일해지면, 슬릿 밸브(224)는 개방되어, 대기 로봇(136)이 제 1 기판 전달 챔버(220)와 제 2 기판 액서스 포트(232)를 통해 팩토리 인터페이스(112)에 결합된 기판 저장 카세트(138) 사이로 기판을 전달하게 된다.
다른 기판 전달 챔버(222, 224)는 유사하게 구성된다. 각각의 기판 전달 챔버(220, 222, 224)는 개별 펌프(308)를 갖는 것으로 도 3에 도시되었지만, 하나 이상의 기판 전달 챔버(220, 222, 224)는 도 3A에 도시된 것처럼 챔버들 사이에 선택적 펌핑을 용이하게 하도록 적절한 흐름 제어기를 갖춘 단일 진공 펌프를 공유할 수 있다.
기판 전달 챔버(220, 222, 224)는 약 1000리터 미만 또는 1000리터 이하의 체적으로 구성되기 때문에, 로드락 챔버(100)는 종래의 이중 기판 이중 슬롯 로드락 챔버(900)와 비교할 때 상기 도 9에 도시된 것처럼 시간당 약 60개의 기판 전달 속도를 갖는 상기 도 9에 도시된 것처럼 감소된 펌핑 속도에서 시간당 약 70개의 기판을 전달할 수 있다. 처리량을 증가시키기 위해 로드락 챔버(900)의 펌핑 속도 증가는 챔버내에 응축 형성을 야기시킨다. 본 발명의 감소된 펌핑 속도는 로드락 챔버(900)의 주기당 약 130초와 비교할 때 펌프/배기 주기당 약 160-180초 사이이다. 상당히 길어진 주기는 챔버내에서 공기 속도를 감소시켜, 기판의 미립자 오염 가능성을 감소시키면서 응축을 감소시킨다. 또한, 보다 커진 기판 처리량은 낮은 용량성을 갖는 펌프(308) 사용을 가능케하여, 시스템 비용을 감소시키는데 기여한다.
또한, 기판 전달 챔버의 적층 구성으로 인해, 단일 기판을 전달하는데 필요한 것보다 로드락 챔버의 풋프린트(footprint)를 증가시키지 않고 보다 많은 기판 처리가 구현된다. 최소화된 풋프린트는 FAB의 전체 비용을 감소시키는 데 있어 매우 바람직하다. 또한, 3개의 단일 기판 전달 챔버(220, 222, 224)를 갖는 로드락의 전체 높이는 이중 챔버 시스템(700)보다 작아, 보다 작고 덜 비싼 패키지로 보다 많은 처리량을 제공할 수 있다.
제 1 기판 전달 챔버(220)의 하부(208) 및 제 2와 제 3 기판 전달 챔버(222, 224) 하부의 경계를 형성하는 내부벽(214)에는 하나 이상의 그루브(316)가 형성된다. 도 4A-B에 도시된 것처럼, 그루브(316)는 기판 지지체(224) 상에 배치된 기판(110)과 로봇 블레이드(402) 사이에 클리어런스를 제공하도록 구성된다.
블레이드(402)(도 4A-B)에 도시된 하나의 핑거)는 그루브(316) 속으로 이동된다. 제 1 기판 전달 챔버(220)내의 예정된 위치에 위치되면, 블레이드(402)는 지지체(244)로부터 기판(110)을 들어올리도록 상승된다. 다음 기판(110)을 보유하는 블레이드(402)는 제 1 기판 전달 챔버(220)로부터 후퇴된다. 기판(100)은 반대 방식으로 기판 지지체(244) 상에 위치된다.
도 5는 제 1 기판 전달 챔버(220)내의 예정된 위치 속으로 기판(110)을 압박하는데 이용될 수 있는 정렬 메커니즘(500)의 일 실시예를 나타내는 챔버 바디(21) 의 부분 단면도이다. 제 2 정렬 메커니즘(미도시)은 도시된 메커니즘(500)과 관련하여 동작하도록 제 1 기판 전달 챔버(220)의 맞은편 코너에 배치된다. 선택적으로, 하나의 정렬 메커니즘(500)은 제 1 기판 전달 챔버(220) 각각의 코너에 배치될 수 있다. 다른 기판 전달 챔버(222, 226)는 기판을 정렬시키기 위해 유사하게 장착된다.
예를 들어, 정렬 장치(500)는 기판 지지체(244) 상의 대기 로봇(136)에 의해 위치됨에 따라 기판(110)의 증착 위치와 기판 지지체(244)를 기준으로 기판(110)의 예정된(즉, 설계된) 위치 사이의 위치 부정확도를 교정할 수 있다. 로드락 챔버(100)내의 정렬 장치(500)에 의해 정렬된 기판(110)의 위치는 보다 큰 유연성 및 낮은 시스템 비용을 허용하는 기판 배치를 조절하도록 대기 로봇(136)을 이용하는 종래의 교정 방법과는 상이하다. 예를 들어, 정렬 장치(500)를 이용하는 기판 전달 챔버(280)는 로드락 챔버(100)와 사용자 공급 팩토리 인터페이스(112) 사이에 보다 큰 호환성을 제공하며, 이는 로드락 챔버(100)가 기판 지지체(144) 상의 기판 위치에 보다 내성이 있기 때문이며, 결국 팩토리 인터페이스 제공자에 의해 발생된 교정 로봇 이동 알고리즘 및/또는 보다 큰 정밀도의 로봇에 대한 조건이 감소된다. 또한, 대기 로봇(136)에 대해 설계된 위치 정확도 기준이 감소됨에 따라, 보다 저가의 로봇이 이용될 수 있다.
도 5의 실시예에서, 정렬 메커니즘은 레버(508)의 제 1 단부(506)에 결합된 두 개의 롤러(502, 504)를 포함한다. 측벽(302)을 통해 형성된 슬롯(518)을 거쳐 연장되는 레버(508)는 핀(510) 부근에서 피봇된다. 액추에이터(512)는 롤러(502, 504)가 기판(110)의 인접한 에지(514, 516)에 대해 압박될 수 있도록 레버(508)에 결합된다. 진공 실린더와 같은 액추에이터(512)는 통상적으로 챔버 바디(212)의 외부상에 위치된다. 하우징(520)은 슬롯(518) 상에 밀봉가능하게 위치되며 진공 누설 없이 레버(508)에 액추에이터(512)의 결합을 용이하게 하기 위해 벨로즈 또는 다른 적절한 밀봉부(522)를 포함한다. 정렬 메커니즘(500) 및 마주하는 정렬 메커니즘(미도시)은 제 1 기판 전달 챔버(220)내의 예정된 위치에 기판을 위치시키는 것과 관련하여 동작한다. 이용될 수 있는 다른 기판 정렬 메커니즘은 미국 특허 출원 번호 10/094,158호(2002.03.08); 및 미국 특허 출원 번호 10/084,762호(2002.02.22)에 개시되어 있으며, 이들은 모두 본 명세서에서 참조된다.
도 6-7은 정렬 메커니즘(600)의 또다른 실시예의 단면도이다. 정렬 메커니즘(600)은 상기 설명된 정렬 메커니즘(500)과 유사하게 동작하도록 구성된다. 단지 하나의 정렬 메커니즘(600)만이 도 6에 도시되었지만, 정렬 메커니즘(600)은 챔버 바디(2121)의 맞은편 코너에 배치된 또다른 정렬 메커니즘(미도시)과 관련하여 동작한다. 선택적으로, 챔버 바디(212)의 각 코너는 정렬 메커니즘을 포함할 수 있다.
일반적으로 정렬 메커니즘(600)은 챔버 바디(212)를 통해 배치된 샤프트(604)에 의해 액추에이터(608)에 결합된 내부 레버(602)를 포함한다. 도 6-7에 도시된 실시예에서, 액추에이터(608)는 외부 레버(606)에 의해 샤프트(604)에 결합된다. 외부 레버(606)는 챔버 바디(212)의 외부벽에 형성된 리세스(702) 속으로 연장된 샤프트(604)의 포스트(720)에 결합된다. 액추에이터(608)는 모터, 선형 액추 에이터 또는 샤프트(604)에 회전 동작을 부여하는 다른 적절한 장치일 수 있다. 내부 레버(602)는 샤프트(604)를 이용하여 회전하여, 레버(602)로부터 연장되는 한 쌍의 롤러(502, 504)의 이동은 예정된 위치속으로 기판(110)(가상으로 도시됨)을 압박한다.
샤프트(604)는 리세스(610)의 하부를 형성하는 수평벽(612)을 통과한다. 샤프트(604)는 다수의 파스너(616)에 의해 챔버 바디(212)에 고정된 중공 하우징(614)을 통해 배치된다. 한 쌍의 부싱(706, 712)은 하우징(614)내의 샤프트(604) 회전을 용이하게 하기 위해 하우징(614)의 보어(708)내에 배치된다. 챔버 바디(212)의 완벽한 진공을 유지하기 위해 하우징(614)의 플랜지(710) 사이에는 밀봉부(704)가 배치된다.
진공 누설을 방지하기 위해 샤프트(604)와 하우징(614) 사이에 다수의 밀봉부(714)가 배치된다. 도 7에 도시된 실시예에서, 밀봉부(714)는 외부 레버(606)를 면하는 개방 단부를 갖는 3개의 컵 밀봉부를 포함한다. 밀봉부(714)는 와셔(716)와 보유 링(178)에 의해 보어(708)내에 보유된다.
도 8은 로드락 챔버(800)의 또다른 실시예를 도시한다. 로드락 챔버(800)는 상기 설명된 로드락 챔버(100)와 유사하며 부가적으로 기판 전달 챔버의 펌핑 다운 및/또는 배기 동안 기판(110)의 열 처리를 제공하도록 구성된다. 도 8에 도시된 실시예에서, 챔버 바디(822)의 일부분은 부분적으로 설명된 하나의 기판 전달 챔버(802)를 갖는 반면, 상부 및 하부 인접한 기판 전달 챔버(804, 806)는 유사하게 구성될 수 있다.
일 실시예에서, 냉각 플레이트(810)는 기판 전달 챔버(802)내에 배치된다. 냉각 플레이트(810)는 로드락 챔버(800)로 복귀되는 처리된 기판을 냉각시킬 수 있다. 냉각 플레이트(810)는 내부 벽(214)에 결합된 부품 또는 일체식 부품일 수 있다. 냉각 플레이트(810)는 냉각 유체 소스(814)에 결합된 다수의 통로(812)를 포함한다. 냉각 유체 소스(812)는 기판(110)의 온도를 조절하기 위해 통로(812)를 지나는 열전달 유체를 순환시킨다.
도 8에 도시된 실시예에서, 냉각 플레이트(810)는 기판 지지체(244) 상에 배치된 기판(110)을 기준으로 플레이트(810)의 상승을 제어하는 적어도 하나의 액추에이터(816)에 결합된다. 기판 지지체(244)는 증가되는 열전달을 위해 기판 부근으로, 도 4A-B를 참조로 설명된 것처럼 로봇 블레이드에 대한 액서스를 제공하기 위해 기판으로부터 떨어져 수직으로 냉각 플레이트(810)가 이동할 수 있도록 냉각 플레이트(180)를 통해 형성된 개구부(818)를 통해 배치된다.
액추에이터(810)는 관련 로드(820)에 의해 냉각 플레이트(810)에 결합되며 챔버 바디(822)의 외부에 결합된다. 로드(820)는 챔버 바디(822)내에 형성된 슬롯(824)을 통과한다. 하우징(826)은 슬롯(824) 위에 배치되며 벨로즈(828) 등에 의해 액추에이터(810)와 로드(820)에 밀봉가능하게 결합되어 액추에이터(810)가 기판 전달 챔버(802)로부터 진공 손실 없이 냉각 플레이트(810)의 상승을 조절하게 한다.
기판 전달 챔버(802)는 상부 경계 부근에(즉, 로드락 챔버 내에 기판 전달 챔버의 위치에 따라, 내부벽 또는 챔버 바디 상부) 배치된 가열 소자(830)를 포함 한다. 도 8에 도시된 실시예에서, 가열 소자(830)는 전원장치(832)에 결합되어 처리되지 않은 기판을 예비가열하며, 일 실시예에서는 석영 적외선 할로겐 램프 등과 같은 복사식 가열기이다. 다른 가열 소자가 사용될 수 있다.
따라서, 수직으로 적층된 단일 기판 전달 챔버를 갖는 로드락 챔버가 제공된다. 수직으로 적층된 단일 기판 전달 챔버의 구성은 이중 슬롯 이중 기판 설계의 종래 기술에 비교할 때 감소된 크기 및 보다 많은 처리량을 달성한다. 또한, 증가된 처리량은 감소된 펌핑 및 배기 속도로 구현되어, 미립자 및 응축으로 인한 기판 오염 가능성이 감소된다.
상기 본 발명의 바람직한 실시예를 설명하였지만, 본 발명의 기본 범주를 이탈하지 않는 한 본 발명의 또다른 실시예가 고안될 수 있다. 본 발명의 범주는 첨부된 도면을 따른다.
본 발명의 수직으로 적층된 단일 기판 전달 챔버의 구성으로 인해 이중 슬롯 이중 기판 설계의 종래 기술과 비교할 때 감소된 크기 및 보다 많은 처리량이 달성되며, 또한, 증가된 처리량은 감소된 펌핑 및 배기 속도에서 구현되어, 미립자 및 응축으로 인한 기판 미립자 오염 가능성을 감소시킨다.

Claims (15)

  1. 로드락 챔버로서,
    진공 챔버에 결합되는 제 1 측벽, 팩토리 인터페이스에 결합되는 제 2 측벽, 및 상기 제 1 및 제 2 측벽에 결합되는 제 3 및 제 4 대향 측벽을 갖는 챔버 바디;
    상기 챔버 바디의 기판 전달 챔버에 배치되고 상기 제 3 측벽의 평면과 동일 평면에 있는 축을 주위로 회전 가능한 제 1 정렬 메커니즘; 및
    상기 챔버 바디의 기판 전달 챔버에 배치되고 상기 제 4 측벽의 평면과 동일 평면에 있는 축을 주위로 회전 가능한 제 2 정렬 메커니즘을 포함하는,
    로드락 챔버.
  2. 제 1 항에 있어서,
    상기 기판 전달 챔버가 상기 챔버 바디를 기준으로 이격되어 상기 기판 전달 챔버내에 배치된 기판을 유지하는 다수의 고정된 기판 지지체를 더 포함하는 것을 특징으로 하는,
    로드락 챔버.
  3. 제 1 항에 있어서,
    상기 기판 전달 챔버가 적어도 2.7 평방 미터의 평면 면적을 갖는 기판을 수용하는 것을 특징으로 하는,
    로드락 챔버.
  4. 제 1 항에 있어서,
    상기 기판 전달 챔버가 상기 챔버 바디의 내부 벽, 상부 또는 하부 중 적어도 하나 상에 배치된 또는 그와 일체식으로 형성되는 냉각 플레이트를 더 포함하는 것을 특징으로 하는,
    로드락 챔버.
  5. 제 4 항에 있어서,
    상기 냉각 플레이트가 관통하여 가열 전달 유체가 흐르는 다수의 통로를 더 포함하는 것을 특징으로 하는,
    로드락 챔버.
  6. 제 4 항에 있어서,
    상기 기판 전달 챔버 각각은,
    상기 바디와 이격되게 상기 기판 전달 챔버에 배치된 기판을 유지하는 다수의 고정된 기판 지지체; 및
    상기 냉각 플레이트에 결합되며 상기 기판 지지체의 말단부를 기준으로 상기 냉각 플레이트의 상승을 제어하는 액추에이터를 더 포함하는 것을 특징으로 하는,
    로드락 챔버.
  7. 제 6 항에 있어서,
    상기 기판 전달 챔버가,
    상기 기판 전달 챔버의 적어도 상부 또는 하부중 하나에 배치된 가열기를 더 포함하는 것을 특징으로 하는,
    로드락 챔버.
  8. 제 1 항에 있어서,
    상기 제 1 및 제 2 정렬 메커니즘이 상기 기판 전달 챔버의 대향 코너에 배치되고 상기 기판 전달 챔버 내에서 예정된 배향으로 상기 기판을 수평으로 정렬하는,
    로드락 챔버.
  9. 제 1 항에 있어서,
    상기 기판 전달 챔버의 각각이 배기 포트 및 펌프 포트를 더 포함하는 것을 특징으로 하는,
    로드락 챔버.
  10. 제 1 항에 있어서,
    상기 제 1 정렬 메커니즘이,
    상기 제 3 측벽을 통해 상기 챔버 바디에 형성된 리세스로 연장하는 샤프트;
    상기 리세스로부터 상기 챔버 바디로 연장하는 샤프트에 결합된 레버;
    상기 레버의 제 1 단부에 결합되는 2개의 롤러; 및
    상기 제 3 측벽의 외측부 상의 상기 샤프트에 결합된 액추에이터를 더 포함하며,
    상기 액추에이터는 상기 챔버 바디에 배치된 기판의 인접한 에지에 대해 상기 롤러를 압박하는 것을 특징으로 하는,
    로드락 챔버.
  11. 제 10 항에 있어서,
    상기 정렬 메커니즘이,
    상기 챔버 바디에 결합되는 하우징으로서, 이를 통하는 샤프트를 갖는 하우징; 및
    상기 챔버 바디로부터 진공 누설을 막기 위해 상기 하우징 및 샤프트 사이에 배치된 밀봉부를 더 포함하는 것을 특징으로 하는,
    로드락 챔버.
  12. 제 10 항에 있어서,
    상기 샤프트가 상기 챔버 바디와 피봇 가능하게 결합되는 것을 특징으로 하는,
    로드락 챔버.
  13. 제 1 항에 있어서,
    상기 제 1 정렬 메커니즘이 상기 로드락 챔버의 측벽을 통해 연장하는 거의 수직인 샤프트를 추가로 포함하는,
    로드락 챔버.
  14. 제 1 항에 있어서,
    상기 제 1 정렬 메커니즘이,
    상기 로드락 챔버의 측벽을 통해서 연장하는 샤프트;
    상기 로드락 챔버 내의 상기 샤프트에 결합된 레버 아암; 및
    상기 로드락 챔버의 외부에서 상기 샤프트에 결합되고 상기 레버 아암의 회전 위치를 제어하는 액추에이터를 추가로 포함하는,
    로드락 챔버.
  15. 제 14 항에 있어서,
    상기 제 1 정렬 메커니즘이,
    상기 레버 아암으로부터 연장하고 상기 샤프트에 평행한 회전축을 갖는 두 개의 롤러를 포함하고, 상기 롤러가 상기 레버 아암이 회전될 때 상기 기판의 인접한 측부를 접촉하도록 배치된,
    로드락 챔버.
KR1020070050235A 2003-10-20 2007-05-23 대면적 기판 처리 시스템용 로드락 챔버 KR100978708B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51272703P 2003-10-20 2003-10-20
US60/512,727 2003-10-20
US10/832,795 2004-04-26
US10/832,795 US7207766B2 (en) 2003-10-20 2004-04-26 Load lock chamber for large area substrate processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020040083492A Division KR100929728B1 (ko) 2003-10-20 2004-10-19 대면적 기판 처리 시스템용 로드락 챔버

Publications (2)

Publication Number Publication Date
KR20070075368A true KR20070075368A (ko) 2007-07-18
KR100978708B1 KR100978708B1 (ko) 2010-08-31

Family

ID=34396598

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020040083492A KR100929728B1 (ko) 2003-10-20 2004-10-19 대면적 기판 처리 시스템용 로드락 챔버
KR1020070050235A KR100978708B1 (ko) 2003-10-20 2007-05-23 대면적 기판 처리 시스템용 로드락 챔버

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020040083492A KR100929728B1 (ko) 2003-10-20 2004-10-19 대면적 기판 처리 시스템용 로드락 챔버

Country Status (6)

Country Link
US (2) US7207766B2 (ko)
EP (1) EP1526565A3 (ko)
JP (2) JP2005175440A (ko)
KR (2) KR100929728B1 (ko)
CN (2) CN101145506B (ko)
TW (1) TWI294865B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150073888A (ko) * 2015-04-15 2015-07-01 주식회사 테라세미콘 배치식 기판처리 장치
CN113035752A (zh) * 2021-03-05 2021-06-25 上海广川科技有限公司 负载锁定装置及基片传片方法

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
KR100716041B1 (ko) * 2004-06-02 2007-05-09 어플라이드 머티어리얼스, 인코포레이티드 챔버를 밀봉하기 위한 방법 및 장치
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US8648977B2 (en) * 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US7461794B2 (en) * 2005-08-18 2008-12-09 Applied Materials, Inc. Substrate temperature regulating support pins
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
KR100794649B1 (ko) * 2006-03-02 2008-01-14 브룩스오토메이션아시아(주) 로드락 챔버
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
KR101324288B1 (ko) * 2006-08-23 2013-11-01 주성엔지니어링(주) 유지보수가 간편한 기판 얼라이너
US8920097B2 (en) * 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
US20080206020A1 (en) * 2007-02-27 2008-08-28 Smith John M Flat-panel display processing tool with storage bays and multi-axis robot arms
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
KR100858933B1 (ko) * 2007-05-02 2008-09-17 주식회사 에스에프에이 평면디스플레이용 기판 처리 시스템의 로드락 챔버
US8082741B2 (en) * 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
JP5795162B2 (ja) * 2007-05-18 2015-10-14 ブルックス オートメーション インコーポレイテッド ロードロック高速排気および通気
TWI455861B (zh) * 2007-05-18 2014-10-11 Brooks Automation Inc 基板加工工具、半導體加工工具、及基板加工裝置
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
TW200908363A (en) * 2007-07-24 2009-02-16 Applied Materials Inc Apparatuses and methods of substrate temperature control during thin film solar manufacturing
US20090060687A1 (en) * 2007-08-28 2009-03-05 White John M Transfer chamber with rolling diaphragm
US8991785B2 (en) * 2007-10-26 2015-03-31 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
KR100976400B1 (ko) * 2008-03-26 2010-08-17 주식회사 에스에프에이 화학 기상 증착 장치의 로드락 챔버
JP2009239085A (ja) * 2008-03-27 2009-10-15 Foi:Kk 半導体ウェハ搬送装置および半導体ウェハ搬送方法
JP2010062534A (ja) 2008-06-30 2010-03-18 Intevac Inc 基板搬送システム及び方法
WO2010009048A2 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
WO2010014761A1 (en) 2008-07-29 2010-02-04 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP5225815B2 (ja) * 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
JP2010135536A (ja) * 2008-12-04 2010-06-17 Tokyo Electron Ltd ロードロック装置および真空処理システム
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
EP2409317B8 (en) * 2009-03-18 2014-02-19 Oerlikon Advanced Technologies AG Vacuum treatment apparatus
JP5501688B2 (ja) * 2009-07-30 2014-05-28 東京エレクトロン株式会社 基板位置合わせ機構、それを用いた真空予備室および基板処理システム
CN102554937B (zh) * 2010-12-20 2015-06-24 理想能源设备(上海)有限公司 搬运机械手及搬运装置
EP2489759B1 (en) * 2011-02-21 2014-12-10 Applied Materials, Inc. System for utilization improvement of process chambers and method of operating thereof
CN203205393U (zh) 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
JP6034311B2 (ja) * 2011-03-01 2016-11-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 共有ポンプを備えた真空チャンバ
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US20130087309A1 (en) * 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
CN102502253B (zh) * 2011-11-18 2014-09-10 北京七星华创电子股份有限公司 晶圆状物件的输送系统
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
TWM476362U (en) 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
CN103898449B (zh) * 2012-12-27 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 用于调节托盘温度的腔室及半导体加工设备
CN104008945B (zh) * 2013-02-22 2016-06-01 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法
CN104421437B (zh) * 2013-08-20 2017-10-17 中微半导体设备(上海)有限公司 活动阀门、活动屏蔽门及真空处理系统
US9717147B2 (en) 2013-09-26 2017-07-25 Applied Materials, Inc. Electronic device manufacturing system
CN103556126A (zh) * 2013-10-14 2014-02-05 中国科学院半导体研究所 优化配置的多腔室mocvd反应系统
CN104726837B (zh) * 2013-12-18 2018-05-25 北京北方华创微电子装备有限公司 反应腔室及等离子体加工设备
TWI698944B (zh) * 2013-12-23 2020-07-11 南韓商圓益Ips股份有限公司 批量式基板處理裝置
CN105441876B (zh) * 2014-09-02 2019-04-23 北京北方华创微电子装备有限公司 一种薄膜沉积设备
US9704714B2 (en) * 2015-04-16 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd Method for controlling surface charge on wafer surface in semiconductor fabrication
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
KR20160141244A (ko) * 2015-05-29 2016-12-08 피에스케이 주식회사 기판 처리 장치 및 방법
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
CN105789091B (zh) * 2016-03-16 2020-04-24 沈阳拓荆科技有限公司 负载腔室及其使用该负载腔室之多腔室处理系统
US20200292084A1 (en) * 2016-06-02 2020-09-17 Applied Materials, Inc. Gate valve for continuous tow processing
CN107958851B (zh) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
US10082104B2 (en) 2016-12-30 2018-09-25 X Development Llc Atmospheric storage and transfer of thermal energy
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US11948810B2 (en) 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
US10504762B2 (en) * 2018-02-06 2019-12-10 Applied Materials, Inc. Bridging front opening unified pod (FOUP)
US10720348B2 (en) 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
KR102568797B1 (ko) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7280132B2 (ja) * 2019-07-12 2023-05-23 株式会社アルバック 真空チャンバ及び基板処理装置
CN111633203A (zh) * 2020-06-01 2020-09-08 致恒(天津)实业有限公司 一种带导向的圆弧双侧定向位机构
CN115443529A (zh) * 2020-07-01 2022-12-06 应用材料公司 用于材料沉积的设备、基板处理系统和基板处理的方法
WO2024010591A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Alignment mechanism, vacuum chamber with an alignment mechanism and method of aligning a substrate in a vacuum chamber

Family Cites Families (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
CH626214GA3 (ko) 1979-02-07 1981-11-13
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5374147A (en) * 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4655584A (en) * 1984-05-11 1987-04-07 Nippon Kogaku K. K. Substrate positioning apparatus
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4759681A (en) 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US5224809A (en) 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4687542A (en) 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4784377A (en) * 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4880349A (en) * 1986-12-23 1989-11-14 Northern Telecom Limited Method for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0333058Y2 (ko) 1987-06-26 1991-07-12
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
EP0306967B1 (en) 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
US5020475A (en) 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
DE3739143A1 (de) * 1987-11-19 1989-06-01 Henkel Kgaa Waessriges weichspuelmittel fuer die behandlung von textilien
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
DE58909880D1 (de) 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5046909A (en) * 1989-06-29 1991-09-10 Applied Materials, Inc. Method and apparatus for handling semiconductor wafers
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
EP0858867A3 (en) 1989-10-20 1999-03-17 Applied Materials, Inc. Robot apparatus
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (ja) 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5131460A (en) 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5376212A (en) 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JPH07114233B2 (ja) * 1992-04-01 1995-12-06 株式会社ニコン 基板の位置決め装置
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH0616206A (ja) 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
DE69304038T2 (de) 1993-01-28 1996-12-19 Applied Materials Inc Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
DE69323716T2 (de) 1993-01-28 1999-08-19 Applied Materials Inc Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
KR100261532B1 (ko) 1993-03-14 2000-07-15 야마시타 히데나리 피처리체 반송장치를 가지는 멀티챔버 시스템
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5421889A (en) 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
DE69402918T2 (de) 1993-07-15 1997-08-14 Applied Materials Inc Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
TW276353B (ko) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
US5636954A (en) * 1995-05-02 1997-06-10 Jac Products, Inc. Adapter for a vehicle article carrier
JP3288200B2 (ja) 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
TW309503B (ko) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100238998B1 (ko) 1995-07-26 2000-01-15 우치가사키 기이치로 가열로
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
CH691376A5 (de) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
JP3650495B2 (ja) * 1995-12-12 2005-05-18 東京エレクトロン株式会社 半導体処理装置、その基板交換機構及び基板交換方法
TW318258B (ko) * 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5793050A (en) * 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
TW372926B (en) * 1996-04-04 1999-11-01 Applied Materials Inc Method and system of processing semiconductor workpieces and robot for use in said system
JPH09284344A (ja) * 1996-04-11 1997-10-31 Fujitsu Ltd Atm/stm混在ネットワークシステム
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
JP3549141B2 (ja) * 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) * 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
EP2099061A3 (en) * 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3286240B2 (ja) 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
JP4386983B2 (ja) * 1998-02-25 2009-12-16 キヤノンアネルバ株式会社 基板処理装置、マルチチャンバー基板処理装置及び電子デバイス製作方法
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
DE19829580A1 (de) * 1998-07-02 2000-01-05 Bosch Gmbh Robert Vorrichtung zur mechanischen Ausrichtung eines Trägersubstrats für elektronische Schaltungen
US6431807B1 (en) * 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
JP2000114149A (ja) * 1998-10-07 2000-04-21 Hitachi Ltd ガラス基板保持装置
KR100561703B1 (ko) * 1998-11-12 2006-03-17 동경 엘렉트론 주식회사 처리시스템
JP3420712B2 (ja) * 1998-11-12 2003-06-30 東京エレクトロン株式会社 処理システム
JP3439358B2 (ja) * 1998-11-27 2003-08-25 株式会社大廣製作所 自動洗髪機
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP2000200755A (ja) * 1999-01-06 2000-07-18 Kokusai Electric Co Ltd チャンバ装置
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6435686B1 (en) * 1999-02-26 2002-08-20 The Ohtsu Tire & Rubber Co., Ltd. Light conducting plate for a back lighting device and back lighting device
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2000323551A (ja) * 1999-05-11 2000-11-24 Anelva Corp 基板処理装置
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
JP4336003B2 (ja) * 1999-07-28 2009-09-30 三井造船株式会社 真空容器ロードロック装置
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP4316752B2 (ja) * 1999-11-30 2009-08-19 キヤノンアネルバ株式会社 真空搬送処理装置
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
KR100960773B1 (ko) * 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
JP2002158273A (ja) * 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) * 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
KR100398877B1 (ko) * 2001-05-09 2003-09-19 삼성전자주식회사 현상기 소음 및 진동방지구조를 갖는 화상형성장치
WO2002093605A2 (en) 2001-05-17 2002-11-21 Tokyo Electron Limited Cylinder-based plasma processing system
JP2003007797A (ja) * 2001-06-20 2003-01-10 Sharp Corp 真空処理装置
US6902624B2 (en) * 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US6824343B2 (en) * 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
US7104535B2 (en) * 2003-02-20 2006-09-12 Applied Materials, Inc. Methods and apparatus for positioning a substrate relative to a support stage
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150073888A (ko) * 2015-04-15 2015-07-01 주식회사 테라세미콘 배치식 기판처리 장치
CN113035752A (zh) * 2021-03-05 2021-06-25 上海广川科技有限公司 负载锁定装置及基片传片方法

Also Published As

Publication number Publication date
KR100929728B1 (ko) 2009-12-03
CN101145506A (zh) 2008-03-19
JP2009200518A (ja) 2009-09-03
US20050095088A1 (en) 2005-05-05
EP1526565A2 (en) 2005-04-27
TWI294865B (en) 2008-03-21
US7207766B2 (en) 2007-04-24
JP2005175440A (ja) 2005-06-30
CN101145506B (zh) 2012-09-05
KR100978708B1 (ko) 2010-08-31
US7651315B2 (en) 2010-01-26
KR20050037964A (ko) 2005-04-25
US20070140814A1 (en) 2007-06-21
CN1638025A (zh) 2005-07-13
EP1526565A3 (en) 2011-07-06
TW200530105A (en) 2005-09-16
CN100382234C (zh) 2008-04-16

Similar Documents

Publication Publication Date Title
KR100978708B1 (ko) 대면적 기판 처리 시스템용 로드락 챔버
KR100848899B1 (ko) 분리된 챔버 바디
US20070006936A1 (en) Load lock chamber with substrate temperature regulation
KR100636491B1 (ko) 기판 지지체
US7665951B2 (en) Multiple slot load lock chamber and method of operation
KR101046966B1 (ko) 튜브에 히터를 구비한 로드락 챔버
CN101496158B (zh) 具有分离间隙阀门密封隔间的负载锁定室
JP6024372B2 (ja) 基板処理装置および基板処理チャンバモジュール
JP2002203885A (ja) インターバック型基板処理装置
JP2005175440A5 (ko)
US20050274923A1 (en) Curved slit valve door
US6896513B2 (en) Large area substrate processing system
US7461794B2 (en) Substrate temperature regulating support pins
US6698718B2 (en) Rotary valve
JP2002020868A (ja) 薄膜形成装置
JP2022112466A (ja) 基板処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130729

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190801

Year of fee payment: 10