WO2013115957A1 - Stacked substrate processing chambers - Google Patents

Stacked substrate processing chambers Download PDF

Info

Publication number
WO2013115957A1
WO2013115957A1 PCT/US2013/021237 US2013021237W WO2013115957A1 WO 2013115957 A1 WO2013115957 A1 WO 2013115957A1 US 2013021237 W US2013021237 W US 2013021237W WO 2013115957 A1 WO2013115957 A1 WO 2013115957A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
processing
chamber
substrate processing
substrates
Prior art date
Application number
PCT/US2013/021237
Other languages
French (fr)
Inventor
Jeonghoon Oh
Ralf Hofmann
Toshiaki Fujita
Pravin K. Narwankar
Nag B. Patibandla
Srinivas SATYA
Banqiu Wu
Li-Qun Xia
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2013115957A1 publication Critical patent/WO2013115957A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Definitions

  • Embodiments of the present invention generally relate to an apparatus for processing substrates. More particularly, the invention relates to a batch processing platform for performing atomic layer deposition (ALD) and chemical vapor deposition (CVD) on substrates.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • the process of forming semiconductor devices is commonly conducted in substrate processing platforms containing multiple chambers.
  • the purpose of a multi-chamber processing platform or cluster tool is to perform two or more processes on a substrate sequentially in a controlled environment.
  • a multiple chamber processing platform may only perform a single processing step on substrates; the additional chambers are intended to maximize the rate at which substrates are processed by the platform.
  • the process performed on substrates is typically a batch process, wherein a relatively large number of substrates, e.g. 25 or 50, are processed in a given chamber simultaneously. Batch processing is especially beneficial for processes that are too time-consuming to be performed on individual substrates in an economically viable manner, such as for ALD processes and some chemical vapor deposition (CVD) processes.
  • COO cost of ownership
  • system footprint i.e., the total floor space required to operate the system in a fabrication plant
  • system throughput i.e., the number of substrates processed per hour.
  • Footprint typically includes access areas adjacent the system that are required for maintenance.
  • a substrate processing platform may be relatively small, if it requires access from all sides for operation and maintenance, the system's effective footprint may still be prohibitively large.
  • ALD is a variant of CVD that demonstrates superior step coverage compared to CVD.
  • ALD is based upon atomic layer epitaxy (ALE) that was originally employed to fabricate electroluminescent displays.
  • ALE atomic layer epitaxy
  • ALD employs chemisorption to deposit a saturated monolayer of reactive precursor molecules on a substrate surface. This is achieved by cyclically alternating the pulsing of appropriate reactive precursors into a deposition chamber.
  • Each injection of a reactive precursor is typically separated by an inert gas purge to provide a new atomic layer to previous deposited layers to form an uniform material layer on the surface of a substrate. Cycles of reactive precursor and inert purge gases are repeated to form the material layer to a desired thickness.
  • the biggest drawback with ALD techniques is that the deposition rate is much lower than typical CVD techniques by at least an order of magnitude. For example, some ALD processes can require a chamber processing time from about 10 to about 200 minutes to deposit a high quality layer on the surface of the substrate. In choosing such ALD and epitaxy processes for better device performance, the cost to fabricate devices in a conventional single substrate processing chamber would increase due to very low substrate processing throughput. Hence, when implementing such processes, a multi-substrate processing approach is needed to be economically feasible.
  • Embodiments of the present invention provide a substrate processing system with stacked substrate processing chambers with minimized footprint, ease of carrying multiple processes, and high throughput.
  • the substrate processing system includes a plurality of processing chambers vertically stacked together on top of each other.
  • Each processing chamber is provided for processing at least one of the plurality of the substrates therein and includes a chamber body, a chamber door positioned on one side of the chamber body, a shower head positioned on the top side of the chamber body with a plurality of fluid delivery channels, and a stationary substrate support assembly.
  • the stationary substrate support assembly includes a first surface adapted to support at least one substrate thereon, and a plurality of movable substrate support pins adapted to support the substrate and capable of moving the substrate between a substrate loading position and a substrate processing position.
  • An effective substrate processing region is formed between the shower head and the surface of the substrate positioned in the substrate processing position.
  • the gas delivery channels are asymmetrically disposed within the shower head to improve gas flows.
  • a gas exhaust channel is positioned at the back side of the shower head to improve gas purging. The back side of the shower head is the side not facing the substrate support assembly.
  • a method for batch processing a plurality of substrates inside a plurality of vertically stacked processing chambers includes transferring the plurality of substrates into the plurality of vertically stacked processing chambers via the chamber doors of the processing chambers positioned on the same side of the chamber bodies of the vertically stacked processing chambers, receiving at least one of the plurality of the substrates by a plurality of movable substrate support pins disposed on a stationary substrate support assembly within each processing chamber, and processing the at least one substrate within the effective substrate processing volume inside each processing chamber.
  • the plurality of the movable substrate support pins are adapted to move and transfer the substrate between a substrate load-unload position and a substrate processing position to define an effective substrate processing volume.
  • Figure 1A is a perspective front view of a substrate processing system having two or more substrate processing chambers vertically stacked together.
  • Figure 1 B is a perspective back view of a substrate processing system having two or more vertically-stacked substrate processing chambers.
  • Figure 1 C is a perspective view of a substrate processing system having two or more substrates transferred in or out of two or more vertically-stacked substrate processing chambers.
  • Figure 1 D is a top view of a substrate processing system having two or more vertically-stacked substrates processing chambers connected to a transfer chamber, a staging chamber and a factory interface.
  • Figure 2 is a schematic plan view of a substrate processing platform configured with two or more processing chambers, each processing chamber is removable without affecting substrate processing in other processing chambers.
  • Figure 3 is a schematic plan view of the substrate processing platform configured with two or more substrate processing chambers, each processing chamber being connected to a precursor delivery system.
  • Figure 4A is a schematic vertical cross-sectional view of a substrate processing chamber illustrating a substrate being loaded.
  • Figure 4B is a schematic vertical cross-sectional view of a substrate processing chamber illustrating a substrate being processed.
  • Figure 5A illustrates one embodiment of delivering, into the multiple processing chamber within the substrate processing platform, various types of gases flows (e.g., precursor gases and purge gases) suitable for a cyclical ALD process.
  • gases flows e.g., precursor gases and purge gases
  • Figure 5B illustrates one embodiment of delivering into each processing chamber various types of gases flows (e.g., precursor gases and purge gases) suitable for a cyclical ALD process.
  • gases flows e.g., precursor gases and purge gases
  • a substrate processing system for processing a plurality of substrates and generally includes a plurality of vertically-stacked processing chambers grouped together. At least one of the stacked substrate processing chambers is configured for ALD and CVD applications. Each processing chamber within the group is adapted to conduct the same or different substrate fabrication process.
  • throughput is improved by stacking the processing chambers such that multiple substrates can be loaded and unloaded into the processing chambers and processed therein, thereby saving time in loading, unloading and processing each individual substrate.
  • the processing chambers are grouped and vertically stacked in a substrate processing platform such that a fluid delivery system and/or an exhaust system can be shared within the substrate processing platform.
  • Each processing chamber is designed with a minimal effective processing region to conduct a substrate fabrication process on the surface of at least one substrate.
  • each processing chamber can be separately removed for ease of service and maintenance with reduced system down time without affecting the throughput of other processing chambers within the substrate processing system.
  • each processing chamber is provided for processing at least one of a plurality of the substrates therein and includes a chamber body, a shower head positioned on the top side of the chamber body with a plurality of fluid delivery channels in fluid communication with the gas delivery system.
  • the processing chamber further includes a stationary substrate support assembly capable of moving the substrate between a substrate loading position and a substrate processing position to define an effective substrate processing volume formed between the shower head and the surface of the substrate positioned in the substrate processing position.
  • the substrate processing system is capable of simultaneously transferring a plurality of the substrates in and out a group of the stacked processing chambers.
  • Each processing chamber within the group is adapted to conduct the same or different substrate fabrication process on at least one of the plurality of the substrates.
  • the chamber doors of the stacked processing chambers in the group are adapted to be simultaneously opened or closed for loading and unloading the plurality of substrates within the group.
  • the chamber doors of the group of stacked processing chambers are connected to a shared actuation mechanism.
  • the chamber doors are simultaneously opened and closed via the command of a controller of the substrate processing system.
  • the movable substrate support pins within the group of stacked processing chambers may be controllably and simultaneously moved between the substrate loading position and the substrate processing position (e.g., by being connected to a shared actuation mechanism or via the command of a controller of the substrate processing system).
  • the substrate support assembly is designed to be stationary to eliminate Z-motion and minimize an internal processing volume within each processing chamber.
  • a movable annular shield is designed to shield the outer edges of the substrate.
  • the movements of the movable annular shield and the movable substrate support pins are coordinated and/or synchronized ⁇ e.g., by connecting to a shared actuation mechanism or via the command of a controller of the substrate processing system).
  • a substrate processing system (hereafter the system) 100 having two or more processing chambers 280 is provided.
  • the system 100 generally includes one or more substrate processing platforms 200, a transfer chamber 160, and one or more load lock chambers 120.
  • the processing chambers 280 may be vertically stacked together and grouped into a single substrate processing platform 200. In Figure 1A, three substrate processing platforms 200 are shown.
  • the substrate processing platform 200 is capable of processing a plurality of substrates simultaneously to reduce system down time.
  • a plurality of the substrates 210 can be loaded inside a group of the stacked processing chambers 280 of a chosen substrate processing platform 200.
  • the stacked processing chamber 280 may share a fluid delivery system (e.g., located within an utility tower 140) and/or an exhaust system (e.g., located within an exhaust tower 150).
  • the utility tower 140 and the exhaust tower 150 may include additional devices (e.g., heaters, pumps, pressure meters, etc.) for controlling the temperature, pressure, and other process parameters of the processing chambers 280. Accordingly, the fluid delivery system and/or the exhaust system can be placed close to the group of the processing chambers 280 by placing them adjacent to the substrate processing platform 200.
  • the system 100 has five substrates 210 being transferred in or out of five vertically-stacked processing chambers 280A, 280B, 280C, 280D, 280E.
  • One advantage of the system 100 is that a fabrication process can be conducted within the group of substrate processing chambers 280A-280E.
  • the substrate processing platform 200 is capable of simultaneously transferring the multiple substrates 210 in and out the group of the substrate processing chambers 280A-280E.
  • the transfer chamber 160 may include a transfer robot 162.
  • One example of the transfer robot 162 may comprise multiple substrate-handling blades (e.g., a five-blade or five-finger transfer robot), each substrate handling blade is properly spaced such that the plurality of substrates 210 (e.g., five substrates disposed on five-fingered robot) can be concurrently loaded and unloaded in and out of the group of vertically-stacked processing chambers 280A-280E.
  • the transfer robot 162 is a single-blade or dual blade transfer robot adjusted for transferring multiple substrates in and out of the vertically- stacked processing chambers 280.
  • the transfer robot 162 may be able to move vertically and transfer five substrates at five vertical positions corresponding to the positions of the five stacked processing chambers 280A, 280B, 280C, 280D, 280E.
  • an exemplary single-blade robot may be capable of vertically moving up and down (Z-travel, or Z motion) to transfer multiple substrates at multiple vertical positions.
  • the single blade substrate robot may be capable of horizontally moving in and out of each processing chamber for loading and unloading the plurality of substrates 210 in and out of the group of vertically stacked processing chambers 280A-280E.
  • five or more substrates 210 are loaded from a factory interface 1 10 (which will be discussed further below) into load lock chambers 120A, 120B, and the transfer robot 162 is able to transfer the five substrates 210 from the load lock chambers 120A, 120B inside the transfer chamber 160 (as shown in Figure 1 C).
  • the five substrates 210 can be simultaneously transferred and loaded into the five processing chambers 280A- 280E to be processed by an ALD or CVD process scheme.
  • the system 100 has two or more substrate processing platforms 200, connected to the transfer chamber 160 with the transfer robot 162 disposed therein.
  • the transfer chamber 160 is also connected to a staging chamber 138 for substrate treatment prior to or after substrate processing by the processing chamber 280.
  • each substrate 210 may be transferred into the staging chamber 138 configured to perform substrate heating and/or cooling prior to or after the substrate is processed within each processing chamber 280A- 280E.
  • Each substrate processing platform 200 may include the utility tower 140 attached to the vertically-stacked processing chambers 280A-280E.
  • Each utility tower 140 may include a gas delivery system and/or an exhaust system connected to each of the vertically-stacked processing chambers 280A-280E.
  • the system 100 may additionally include the factory interface 1 10 and other substrate processing stations.
  • the factory interface 1 10 may be connected to the staging chamber 138 and is generally used to store multiple cassettes of unprocessed or processed substrates.
  • a system control e.g., a computer or other similar devices
  • the system control may be used to execute temporal control of an ALD process performed within each substrate processing platform 200.
  • the system control may control the three substrate processing platforms 200 for performing the same or different substrate processing steps or processes.
  • the three substrate processing platforms 200 may be configured to perform an ALD process on a large number of substrates, each substrate being disposed in each processing chamber 280, to improve the throughput of the system 100 and still obtain high film quality of single-substrate processing.
  • the three substrate processing platforms 200 may be configured to perform different process; for example, one substrate processing platform 200 for performing pre-heating of a batch of substrates (e.g., five substrates 210 disposed in five vertically-stacked processing chambers 280) prior to transferring the batch of the substrates into anther substrate processing platform 200 for performing an ALD process on the substrates.
  • the system 100 exhibits high substrate processing throughput (e.g., comparable to conventional batch substrate processing systems) and high film quality (e.g., comparable to conventional single-substrate processing systems).
  • FIG. 2 is a schematic plan view of one example of the substrate processing platform 200 configured with the processing chambers 280A-280E.
  • Each of the processing chambers 280A- 280E may be configured to be individually removed from the substrate processing platform 200, for chamber service or repair, without affecting substrate processing conditions in other processing chambers or sacrificing system 100 downtime.
  • Multiple chamber openings 220 in the group of stacked processing chambers 280A-280E are adapted to be simultaneously opened or closed for loading and unloading the plurality of the substrates 210 (e.g., five substrates) within the group.
  • the chamber openings 220 of the stacked processing chambers 280A-280E may be connected to a shared actuation mechanism (e.g., an actuation mechanism 224).
  • the chamber openings 220 may be simultaneously opened and closed via the commands of a controller of the system 100.
  • each substrate processing platform 200 may include one actuation mechanism 224, capable of moving up and down in a vertical direction 228 for opening and closing a plurality of slot openings 222.
  • each substrate processing platform 200 may include a plurality of actuation mechanisms (e.g., one actuation mechanism for each processing chamber 280) coupled together.
  • Each slot opening 222 can be opened or closed by the actuation mechanism 224 when the actuation mechanism 224 is moved up and down (respectively, or reversely) such that each slot opening is capable of opening and closing each chamber opening 220, disposed on a side wall of each processing chamber 280 within the substrate processing platform 200. Accordingly, opening and closing of each opening slot 222 and each respective chamber opening 220 in each processing chamber 280 can be coordinated (e.g., synchronized) by a single mechanism (e.g., the actuation mechanism 224). Other mechanisms can also be used to coordinate the opening and closing of each processing chamber 280 and control the substrate processing conditions within the processing chambers 280 of each substrate processing platform 200.
  • FIG. 3 is a schematic plan view of the substrate processing platform 200 configured with the multiple processing chambers 280A, 280B, 280C, 280D, 280E.
  • Each processing chamber may include the chamber opening 220.
  • each chamber opening 220 for each processing chamber 280 may include a sealing mechanism, not shown, (e.g., a male mechanism) to fill up a space near the chamber opening 220 inside the processing chamber 280 after substrate loading when the processing chamber is ready for substrate processing.
  • the sealing mechanism is used to spatially minimize the effective substrate processing volume within the interior portion of the processing chamber 280.
  • each processing chamber 280 is adapted to include an individual fluid delivery system (e.g., a shower head 250) above a substrate support 240 assembly.
  • the shower head 250 may include one or more openings (e.g., a plurality of fluid delivery channels 252 therein) for delivering process gases (e.g., precursor gases, inert gases and other compounds, fluids) downwardly onto the surface of the substrate 210.
  • process gases e.g., precursor gases, inert gases and other compounds, fluids
  • the gas delivery channels 252 within the shower head 250 of each processing chamber 280 are in fluid communication with a gas delivery system disposed in the utility tower 140.
  • the gas delivery channels 252 may be connected to a gas delivery system having gas boxes 152, 154, 156, which are used to store process gases, such as precursor gases, carrier gasses, purge gases and/or other reactive and non-reactive fluids.
  • a plasma source (remote or locally coupled), such as a plasma source 136, may be connected to the gas boxes 152, 154, 156 for performing plasma enhanced substrate processing, such as plasma enhanced CVD or ALD processes.
  • each substrate processing platform 200 may be connected to an exhaust system located within the exhaust tower 150.
  • the exhaust system may include a valve 132 and a pump 130.
  • the delivery of various process gases from the gas boxes 152, 154, 156 into the gas delivery channels 252 of each processing chamber 280 within the substrate processing platform 200 can be controlled by one or more valves 153, 155, 157.
  • the gas delivery channels 252 within the shower head 250 may be disposed from the top of the processing chamber 280 for flowing gases downwardly onto the surface of the substrate 210.
  • one or more fluid outlets 262 are positioned on the front side of the shower head 250 facing the substrate support assembly 240. Gas waste, unused process gases, and other non- reactive gases can then be evacuated out of the processing chamber 280 via the fluid outlets 262. Accordingly, process gases may be delivered from the gas boxes 152, 154, 156, via the gas delivery channels 252, downwardly onto the surface of the substrate 210 and out of the processing chamber 280 through the fluid outlets 262.
  • the gas delivery channels 252 may be connected to a side wall of the processing chamber 280 for delivering of gases from one side of the shower head 250 (e.g., near one side of the outer edge of the shower head 250).
  • the one or more fluid outlets 262 may be positioned across from the side where the gas delivery channels 252 are positioned, for promoting gases flown from the gas delivery channels 252, horizontally in addition to downwardly, across the horizontal area of the substrate 210 disposed above the substrate support assembly 240, to another side of the shower head 250.
  • the plurality of the gas delivery channels 252 are asymmetrically disposed within the shower head 250 to improve gas flows within the effective substrate processing region, to improve multiple process gas flows across the surface of the substrate 210.
  • a gas exhaust channel 264 may be positioned at a side of the shower head (e.g., the back side, behind the shower head, the side facing opposite the substrate support assembly 240) to improve gas purging and encourage directional flowing of process gases within the openings of the shower head 250 horizontal gas flows from one side to another side of the shower head 250.
  • the gas exhaust channel 264 may be connected to the pump 130 located within the exhaust tower 150.
  • the gas delivery channels 252, the fluid outlets 262, and the gas exhaust channel 264 are designed to obtain directional flowing of process gases onto the surface of the substrate 210, for example, horizontally from one side of the shower head 250 to another side of the shower head 250, and/or downwardly from the backside of the shower head 250 to the surface of the substrate 210, thus promoting uniform distribution of process gases across the substrate surface.
  • Figure 4A is a schematic vertical cross-sectional view of one example of the processing chamber 280, illustrating the substrate 210 being loaded into the processing chamber 280.
  • a plurality of movable substrate support pins 248, disposed in the substrate support assembly 240 of the processing chamber 280, are configured to vertically moved upward above the substrate support assembly 240 and receive the substrate 210.
  • the movable substrate support pins 248 are capable of moving the substrate 210 between the substrate loading position and the substrate processing position.
  • the substrate 210 is disposed in a substrate loading position inside the processing chamber 280.
  • Figure 4B shows the substrate 210 being processed inside the processing chamber 280 in the substrate processing position.
  • the chamber opening 220 can be closed (e.g., the actuation mechanism 224 may move upwardly or downwardly to close the corresponding slot opening 222).
  • the movable substrate support pins 248 may move down vertically such that the substrate 210 is rested on the substrate support assembly 240 in a substrate processing position and ready to be processed.
  • the substrate support assembly 240 may be stationary and include a top surface for receiving and supporting at least one substrate 210 disposed thereon.
  • An annular shield 242 being disposed around the outer edge of the substrate 210, may be used to reduce the processing volume between the shower head 250 and the substrate 210 being processed inside the processing chamber 280 and prevent edge or backside deposition on the substrate 210.
  • the annular shield 242 is configured to vertically move in an upper position to avoid interfering with the loading of the substrate 210.
  • the annular shield 242 is configured to vertically move to a lower position to properly avoid backside and edge deposition over the surface of the substrate 210 and help reduce the processing volume inside the processing chamber 280.
  • the motion of the annular shield 242 is coordinated with the motion of the movable substrate support pins 248, while the substrate support assembly 240 is stationery, such that the substrate 210 can be quickly, efficiently, and properly moved between the substrate loading position and the substrate processing position. Accordingly, an effective processing region 232 is formed between the shower head 250 and the surface of the substrate 210 being positioned in the substrate processing position, when the annular shield 242 is lowered down and processes gasses are delivered into the effective processing region 232.
  • the annular shield 242 is used to shield the outer edge of the substrate 210 and reduce the volume of the effective processing region 232 above the surface of the substrate 210. Together, the annular shield 242 and the male sealing mechanism (not shown) are used to reduce the volume of the effective processing region 232. Accordingly, the effective processing region 232 can be kept to minimum to save space and prevent unnecessary waste of process gases delivered therein.
  • the movements of the annular shield 242 and the movable substrate support pins 248 inside the processing chamber 280 are coordinated to move at the same time.
  • the annular shield 242 and the movable substrate support pins 248 are connected to a shared actuation mechanism (not shown).
  • the annular shield 242 and the movable substrate support pins 248 may be moved in coordination via the command of the system controller of the system 100.
  • the movable substrate support pins 248 within a group of the stacked processing chambers 280 are capable of controllably moving between the substrate loading position and the substrate processing position at the same time.
  • the movable substrate support pins 248 of the stacked processing chambers 280 within the group of the processing platforms 200 may be connected to a shared actuation mechanism (not shown).
  • the movable substrate support pins 248 within the group of the stacked processing chambers 280 may be coordinately moved via the command of the system controller of the system 100.
  • a batch method of processing a plurality of substrates 210 inside a plurality of vertically stacked processing chambers 280 is also provided.
  • the batch method may include a step of transferring the plurality of substrates into the plurality of vertically stacked processing chambers 280 through the plurality of slot openings 222, coupled to the chamber openings 220 of the processing chambers 280 positioned on the same side of the chamber bodies of the vertically stacked processing chambers 280.
  • Next, at least one of the plurality of the substrates 210 is received by a plurality of movable substrate support pins 248 disposed on a stationary substrate support assembly 240 within each processing chamber 280.
  • the movable substrate support pins 248 are capable of moving each substrate 210 between a substrate loading position and a substrate processing position.
  • an effective substrate processing region 232 is formed between the shower head and the surface of the at least one substrate 210 being positioned in the substrate processing position.
  • the substrate 210 is processed within the effective substrate processing region 232 inside each processing chamber 280.
  • a plurality of the substrates can be concurrently delivered into the processing chambers 280 within each substrate processing platform 200 and processed simultaneously.
  • a fabrication process such as ALD or CVD process, can be conducted on the surface of each substrate 210.
  • the batch method may further include transferring the plurality of the substrates out of the chamber bodies of the plurality of the vertically stacked processing chambers 280.
  • the first precursor may be a tantalum containing compound, such as a tantalum based organo-metallic precursor or a derivative thereof, e.g., pentadimethylamino-tantalum (PDMAT; Ta(NMe 2 ) 5 ), pentaethylmethylamino-tantalum (PEMAT; Ta[N(C 2 H 5 CH 3 ) 2 ]5), pentadiethylamino-tantalum (PDEAT; Ta(NEt 2 ) 5 ,), TBTDET (Ta(NEt 2 ) 3 NC 4 H 9 or Ci6H 3 9N 4 Ta) and tantalum halides, and any and all of derivatives of the above listed compounds.
  • PDMAT pentadimethylamino-tantalum
  • PEMAT pentaethylmethylamino-tantalum
  • PEMAT pentadiethylamino-tantalum
  • PDEAT pentadiethylamino-tantalum
  • the tantalum containing compound may be provided as a gas or may be provided with the aid of a carrier gas.
  • carrier gases which may be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N 2 ), and hydrogen (H 2 ).
  • a monolayer of the tantalum containing compound is chemisorbed onto the surface of the five substrates 210 and excess tantalum containing compound is removed from the processing chamber by introducing a pulse of a purge gas thereto.
  • purge gases which may be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N 2 ), hydrogen (H 2 ), and other gases.
  • a second precursor gas (precursor gas B) may be delivered into the processing chambers 280A-280E of the processing platform 200.
  • the second precursor may be a nitrogen-containing compound with nitrogen atoms and one or more reactive atoms/species.
  • the nitrogen containing compound may be ammonia gas (NH 3 ) and other nitrogen containing compounds, including, but not limited to, N x Hy with x and y being integers (e.g., hydrazine (N 2 H )), dimethyl hydrazine ((CH 3 ) 2 N2H2), t-butylhydrazine (C 4 H 9 N 2 H 3 ) phenylhydrazine (C 6 H 5 N 2 H 3 ), other hydrazine derivatives, a nitrogen plasma source (e.g., N 2 , N 2 /H 2 , NH 3 , or a N 2 H 4 plasma), 2,2'-azoisobutane ((CH 3 ) 6 C 2 N 2 ), ethylazide (C 2 H 5 N 3 ), and other suitable gases.
  • the nitrogen-containing compound may be introduced into the processing chambers 280A-280E as a pulse, and may be provided alone. Alternatively, a carrier gas may be used to deliver
  • a monolayer of the nitrogen-containing compound may then be chemisorbed on the monolayer of the tantalum-containing compound.
  • Additional precursor gas may be required, e.g., three types of precursor gases may be used for depositing a ternary compound onto the surface of a substrate in an ALD process.
  • composition and structure of precursors on a surface during atomic- layer deposition is not precisely known. Not wishing to be bound by theory, it is believed that the chemisorbed monolayer of the nitrogen containing compound reacts with the monolayer of the tantalum containing compound to form a tantalum nitride layer. Reactive species from the two precursor compounds may form byproducts that are transported from the substrate surface (e.g., via the fluid outlets connecting to the exhaust system).
  • reaction of the nitrogen containing compound with the tantalum containing compound is self-limiting and, in each pulse of delivering a precursor compound into the processing chambers 280A- 280E, only one monolayer of the precursor compound is chemisorbed onto the surface of the five substrates 210.
  • Each cycle of the sequential delivery of the two or more alternating precursors over the surface of the substrate is repeated (e.g., 20 -30 cycles) until a desired thickness of the material layer (e.g., a tantalum nitride film) is formed simultaneously over the five substrates 210.
  • Figure 5A illustrates one configuration of a cycle 508 for delivering multiple flows of process gases (e.g., inert and precursor gases or other fluids) in and out of the stacked processing chambers 280 within each of the substrate processing platforms 200 over a period of time.
  • process gases e.g., inert and precursor gases or other fluids
  • all of the substrates 210 may be exposed to a flow of precursor gas A for a first time period at 252A, another gas flow of inert purge gas for a second time period at 252P, another gas flow of precursor gas B for a third time period at 252B, and another gas flow of inert purge gas for a fourth time period at 252P'.
  • Each cycle of sequential delivery of gas flows of precursor gas A, inert purge gas, precursor gas B, and inert purge gas may be repeated until a desired thickness of a material layer is deposited over the surface of the substrate 210.
  • the gas flow of inert gases may be delivered constantly into all of the processing chambers 280 within each substrate processing platform 200, while the gas flows of one precursor gas (e.g., precursor gas A) and another precursor gas (e.g., precursor gas B) are alternatively delivered thereon.
  • the precursor gas A, precursor gas B, and the inert gas may be delivered in and out of each processing chamber 280 within the substrate processing platform 200 via the multiple fluid delivery channels 252, the fluid outlet 262, and the gas exhaust channel 264.
  • Figure 5B is a schematic diagram, illustrating another configuration in delivering multiple cycles 508 of flowing process gases (e.g., two or more precursor gases, inert gases, and/or other reactive or non-reactive fluids) in and out of the processing chamber 200.
  • a flow 504 of precursor gas A and a flow 506 of precursor gas B (or additional precursor gas) may be alternatively delivered into the same processing slot 280 in pulses (e.g., controlling the precursor gases temporally) inside the processing chamber 200, while a gas flow 502 of a purge gas is constantly delivered into the processing slots 280.

Abstract

A substrate processing system for processing multiple substrates is provided and generally includes two or more substrate processing chambers stacked. The substrate processing chambers are generally grouped and stacked into one or more substrate processing platforms such that a fluid delivery system and/or an exhaust system can be shared within the group. Each substrate processing chamber is designed with a minimal effective processing region to conduct a fabrication process on the surface of a substrate. The substrate processing system is capable of simultaneously transferring multiple substrates in and out a group of stacked substrate processing chambers and to improve system processing throughput.

Description

STACKED SUBSTRATE PROCESSING CHAMBERS
BACKGROUND OF THE INVENTION
Field of the Invention
[0001] Embodiments of the present invention generally relate to an apparatus for processing substrates. More particularly, the invention relates to a batch processing platform for performing atomic layer deposition (ALD) and chemical vapor deposition (CVD) on substrates.
Description of the Related Art
[0002] The process of forming semiconductor devices is commonly conducted in substrate processing platforms containing multiple chambers. In some instances, the purpose of a multi-chamber processing platform or cluster tool is to perform two or more processes on a substrate sequentially in a controlled environment. In other instances, however, a multiple chamber processing platform may only perform a single processing step on substrates; the additional chambers are intended to maximize the rate at which substrates are processed by the platform. In the latter case, the process performed on substrates is typically a batch process, wherein a relatively large number of substrates, e.g. 25 or 50, are processed in a given chamber simultaneously. Batch processing is especially beneficial for processes that are too time-consuming to be performed on individual substrates in an economically viable manner, such as for ALD processes and some chemical vapor deposition (CVD) processes.
[0003] The effectiveness of a substrate processing platform, or system, is often quantified by cost of ownership (COO). The COO, while influenced by many factors, is largely affected by the system footprint, i.e., the total floor space required to operate the system in a fabrication plant, and system throughput, i.e., the number of substrates processed per hour. Footprint typically includes access areas adjacent the system that are required for maintenance. Hence, although a substrate processing platform may be relatively small, if it requires access from all sides for operation and maintenance, the system's effective footprint may still be prohibitively large. [0004] The semiconductor industry's tolerance for process variability continues to decrease as the size of semiconductor devices shrink. To meet these tighter process requirements, the industry has developed a host of new processes which meet the tighter process window requirements, but these processes often take a longer time to complete. For example, for forming a copper diffusion barrier layer conformally onto the surface of a high aspect ratio, 65 nm or smaller interconnect feature, it may be necessary to use an ALD process. ALD is a variant of CVD that demonstrates superior step coverage compared to CVD. ALD is based upon atomic layer epitaxy (ALE) that was originally employed to fabricate electroluminescent displays. ALD employs chemisorption to deposit a saturated monolayer of reactive precursor molecules on a substrate surface. This is achieved by cyclically alternating the pulsing of appropriate reactive precursors into a deposition chamber. Each injection of a reactive precursor is typically separated by an inert gas purge to provide a new atomic layer to previous deposited layers to form an uniform material layer on the surface of a substrate. Cycles of reactive precursor and inert purge gases are repeated to form the material layer to a desired thickness. The biggest drawback with ALD techniques is that the deposition rate is much lower than typical CVD techniques by at least an order of magnitude. For example, some ALD processes can require a chamber processing time from about 10 to about 200 minutes to deposit a high quality layer on the surface of the substrate. In choosing such ALD and epitaxy processes for better device performance, the cost to fabricate devices in a conventional single substrate processing chamber would increase due to very low substrate processing throughput. Hence, when implementing such processes, a multi-substrate processing approach is needed to be economically feasible.
[0005] Therefore, there is a need for a substrate processing system for ALD and CVD applications to maximize substrate processing throughput and minimize system footprint.
SUMMARY OF THE INVENTION
[0006] Embodiments of the present invention provide a substrate processing system with stacked substrate processing chambers with minimized footprint, ease of carrying multiple processes, and high throughput. In one embodiment, the substrate processing system includes a plurality of processing chambers vertically stacked together on top of each other. Each processing chamber is provided for processing at least one of the plurality of the substrates therein and includes a chamber body, a chamber door positioned on one side of the chamber body, a shower head positioned on the top side of the chamber body with a plurality of fluid delivery channels, and a stationary substrate support assembly. The stationary substrate support assembly includes a first surface adapted to support at least one substrate thereon, and a plurality of movable substrate support pins adapted to support the substrate and capable of moving the substrate between a substrate loading position and a substrate processing position. An effective substrate processing region is formed between the shower head and the surface of the substrate positioned in the substrate processing position.
[0007] In one aspect of the invention, the gas delivery channels are asymmetrically disposed within the shower head to improve gas flows. In another aspect, a gas exhaust channel is positioned at the back side of the shower head to improve gas purging. The back side of the shower head is the side not facing the substrate support assembly.
[0008] In another embodiment, a method for batch processing a plurality of substrates inside a plurality of vertically stacked processing chambers includes transferring the plurality of substrates into the plurality of vertically stacked processing chambers via the chamber doors of the processing chambers positioned on the same side of the chamber bodies of the vertically stacked processing chambers, receiving at least one of the plurality of the substrates by a plurality of movable substrate support pins disposed on a stationary substrate support assembly within each processing chamber, and processing the at least one substrate within the effective substrate processing volume inside each processing chamber. The plurality of the movable substrate support pins are adapted to move and transfer the substrate between a substrate load-unload position and a substrate processing position to define an effective substrate processing volume. BRIEF DESCRIPTION OF THE DRAWINGS
[0009] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0010] Figure 1A is a perspective front view of a substrate processing system having two or more substrate processing chambers vertically stacked together.
[0011] Figure 1 B is a perspective back view of a substrate processing system having two or more vertically-stacked substrate processing chambers.
[0012] Figure 1 C is a perspective view of a substrate processing system having two or more substrates transferred in or out of two or more vertically-stacked substrate processing chambers.
[0013] Figure 1 D is a top view of a substrate processing system having two or more vertically-stacked substrates processing chambers connected to a transfer chamber, a staging chamber and a factory interface.
[0014] Figure 2 is a schematic plan view of a substrate processing platform configured with two or more processing chambers, each processing chamber is removable without affecting substrate processing in other processing chambers.
[0015] Figure 3 is a schematic plan view of the substrate processing platform configured with two or more substrate processing chambers, each processing chamber being connected to a precursor delivery system.
[0016] Figure 4A is a schematic vertical cross-sectional view of a substrate processing chamber illustrating a substrate being loaded. [0017] Figure 4B is a schematic vertical cross-sectional view of a substrate processing chamber illustrating a substrate being processed.
[0018] Figure 5A illustrates one embodiment of delivering, into the multiple processing chamber within the substrate processing platform, various types of gases flows (e.g., precursor gases and purge gases) suitable for a cyclical ALD process.
[0019] Figure 5B illustrates one embodiment of delivering into each processing chamber various types of gases flows (e.g., precursor gases and purge gases) suitable for a cyclical ALD process.
DETAILED DESCRIPTION
[0020] A substrate processing system is provided for processing a plurality of substrates and generally includes a plurality of vertically-stacked processing chambers grouped together. At least one of the stacked substrate processing chambers is configured for ALD and CVD applications. Each processing chamber within the group is adapted to conduct the same or different substrate fabrication process.
[0021] In one embodiment, throughput is improved by stacking the processing chambers such that multiple substrates can be loaded and unloaded into the processing chambers and processed therein, thereby saving time in loading, unloading and processing each individual substrate. In another embodiment, the processing chambers are grouped and vertically stacked in a substrate processing platform such that a fluid delivery system and/or an exhaust system can be shared within the substrate processing platform.
[0022] Each processing chamber is designed with a minimal effective processing region to conduct a substrate fabrication process on the surface of at least one substrate. In addition, each processing chamber can be separately removed for ease of service and maintenance with reduced system down time without affecting the throughput of other processing chambers within the substrate processing system. In addition, each processing chamber is provided for processing at least one of a plurality of the substrates therein and includes a chamber body, a shower head positioned on the top side of the chamber body with a plurality of fluid delivery channels in fluid communication with the gas delivery system. The processing chamber further includes a stationary substrate support assembly capable of moving the substrate between a substrate loading position and a substrate processing position to define an effective substrate processing volume formed between the shower head and the surface of the substrate positioned in the substrate processing position.
[0023] In operation, the substrate processing system is capable of simultaneously transferring a plurality of the substrates in and out a group of the stacked processing chambers. Each processing chamber within the group is adapted to conduct the same or different substrate fabrication process on at least one of the plurality of the substrates. In addition, the chamber doors of the stacked processing chambers in the group are adapted to be simultaneously opened or closed for loading and unloading the plurality of substrates within the group. In one configuration, the chamber doors of the group of stacked processing chambers are connected to a shared actuation mechanism. In another configuration, the chamber doors are simultaneously opened and closed via the command of a controller of the substrate processing system. Further, the movable substrate support pins within the group of stacked processing chambers may be controllably and simultaneously moved between the substrate loading position and the substrate processing position (e.g., by being connected to a shared actuation mechanism or via the command of a controller of the substrate processing system).
[0024] The substrate support assembly is designed to be stationary to eliminate Z-motion and minimize an internal processing volume within each processing chamber. To further minimize the effective processing volume within each processing chamber, a movable annular shield is designed to shield the outer edges of the substrate. In one configuration, the movements of the movable annular shield and the movable substrate support pins are coordinated and/or synchronized {e.g., by connecting to a shared actuation mechanism or via the command of a controller of the substrate processing system).
[0025] Referring to Figures 1A-1 D, in accordance with one embodiment of the invention, a substrate processing system (hereafter the system) 100 having two or more processing chambers 280 is provided. The system 100 generally includes one or more substrate processing platforms 200, a transfer chamber 160, and one or more load lock chambers 120. The processing chambers 280 may be vertically stacked together and grouped into a single substrate processing platform 200. In Figure 1A, three substrate processing platforms 200 are shown.
[0026] The substrate processing platform 200 is capable of processing a plurality of substrates simultaneously to reduce system down time. For example, a plurality of the substrates 210 can be loaded inside a group of the stacked processing chambers 280 of a chosen substrate processing platform 200.
[0027] Stacking a group of the substrate processing chambers 280 within the substrate processing platform 200 offers better control of the process regime, improve overall substrate processing throughput, and minimize system footprint. Within the same group of the substrate processing platform 200, the stacked processing chamber 280 may share a fluid delivery system (e.g., located within an utility tower 140) and/or an exhaust system (e.g., located within an exhaust tower 150). The utility tower 140 and the exhaust tower 150 may include additional devices (e.g., heaters, pumps, pressure meters, etc.) for controlling the temperature, pressure, and other process parameters of the processing chambers 280. Accordingly, the fluid delivery system and/or the exhaust system can be placed close to the group of the processing chambers 280 by placing them adjacent to the substrate processing platform 200.
[0028] As seen in Figure 1 C, in one embodiment the system 100 has five substrates 210 being transferred in or out of five vertically-stacked processing chambers 280A, 280B, 280C, 280D, 280E. One advantage of the system 100 is that a fabrication process can be conducted within the group of substrate processing chambers 280A-280E. In one embodiment, the substrate processing platform 200 is capable of simultaneously transferring the multiple substrates 210 in and out the group of the substrate processing chambers 280A-280E.
[0029] The transfer chamber 160 may include a transfer robot 162. One example of the transfer robot 162 may comprise multiple substrate-handling blades (e.g., a five-blade or five-finger transfer robot), each substrate handling blade is properly spaced such that the plurality of substrates 210 (e.g., five substrates disposed on five-fingered robot) can be concurrently loaded and unloaded in and out of the group of vertically-stacked processing chambers 280A-280E.
[0030] Another example of the transfer robot 162 is a single-blade or dual blade transfer robot adjusted for transferring multiple substrates in and out of the vertically- stacked processing chambers 280. The transfer robot 162 may be able to move vertically and transfer five substrates at five vertical positions corresponding to the positions of the five stacked processing chambers 280A, 280B, 280C, 280D, 280E. For example, an exemplary single-blade robot may be capable of vertically moving up and down (Z-travel, or Z motion) to transfer multiple substrates at multiple vertical positions. In addition, the single blade substrate robot may be capable of horizontally moving in and out of each processing chamber for loading and unloading the plurality of substrates 210 in and out of the group of vertically stacked processing chambers 280A-280E.
[0031] In one example, five or more substrates 210 are loaded from a factory interface 1 10 (which will be discussed further below) into load lock chambers 120A, 120B, and the transfer robot 162 is able to transfer the five substrates 210 from the load lock chambers 120A, 120B inside the transfer chamber 160 (as shown in Figure 1 C). Next, the five substrates 210 can be simultaneously transferred and loaded into the five processing chambers 280A- 280E to be processed by an ALD or CVD process scheme.
[0032] As shown in Figure 1 D, the system 100 has two or more substrate processing platforms 200, connected to the transfer chamber 160 with the transfer robot 162 disposed therein. The transfer chamber 160 is also connected to a staging chamber 138 for substrate treatment prior to or after substrate processing by the processing chamber 280. For example, each substrate 210 may be transferred into the staging chamber 138 configured to perform substrate heating and/or cooling prior to or after the substrate is processed within each processing chamber 280A- 280E.
[0033] Each substrate processing platform 200 may include the utility tower 140 attached to the vertically-stacked processing chambers 280A-280E. Each utility tower 140 may include a gas delivery system and/or an exhaust system connected to each of the vertically-stacked processing chambers 280A-280E.
[0034] The system 100 may additionally include the factory interface 1 10 and other substrate processing stations. The factory interface 1 10 may be connected to the staging chamber 138 and is generally used to store multiple cassettes of unprocessed or processed substrates.
[0035] In general, a low-contamination clean environment is maintained inside the system 100. A system control (e.g., a computer or other similar devices) may be positioned adjacent the system 100 for controlling each and every step of substrate processing within the system 100. For example, the system control may be used to execute temporal control of an ALD process performed within each substrate processing platform 200.
[0036] In Figure 1 D, three substrate processing platforms 200 are shown. In one embodiment, the system control may control the three substrate processing platforms 200 for performing the same or different substrate processing steps or processes. For example, the three substrate processing platforms 200 may be configured to perform an ALD process on a large number of substrates, each substrate being disposed in each processing chamber 280, to improve the throughput of the system 100 and still obtain high film quality of single-substrate processing. Alternatively, the three substrate processing platforms 200 may be configured to perform different process; for example, one substrate processing platform 200 for performing pre-heating of a batch of substrates (e.g., five substrates 210 disposed in five vertically-stacked processing chambers 280) prior to transferring the batch of the substrates into anther substrate processing platform 200 for performing an ALD process on the substrates. Overall, the system 100 exhibits high substrate processing throughput (e.g., comparable to conventional batch substrate processing systems) and high film quality (e.g., comparable to conventional single-substrate processing systems).
[0037] Figure 2 is a schematic plan view of one example of the substrate processing platform 200 configured with the processing chambers 280A-280E. Each of the processing chambers 280A- 280E may be configured to be individually removed from the substrate processing platform 200, for chamber service or repair, without affecting substrate processing conditions in other processing chambers or sacrificing system 100 downtime.
[0038] Multiple chamber openings 220 in the group of stacked processing chambers 280A-280E are adapted to be simultaneously opened or closed for loading and unloading the plurality of the substrates 210 (e.g., five substrates) within the group. For example, the chamber openings 220 of the stacked processing chambers 280A-280E may be connected to a shared actuation mechanism (e.g., an actuation mechanism 224). In another example, the chamber openings 220 may be simultaneously opened and closed via the commands of a controller of the system 100.
[0039] For example, each substrate processing platform 200 may include one actuation mechanism 224, capable of moving up and down in a vertical direction 228 for opening and closing a plurality of slot openings 222. Alternatively, each substrate processing platform 200 may include a plurality of actuation mechanisms (e.g., one actuation mechanism for each processing chamber 280) coupled together.
[0040] Each slot opening 222 can be opened or closed by the actuation mechanism 224 when the actuation mechanism 224 is moved up and down (respectively, or reversely) such that each slot opening is capable of opening and closing each chamber opening 220, disposed on a side wall of each processing chamber 280 within the substrate processing platform 200. Accordingly, opening and closing of each opening slot 222 and each respective chamber opening 220 in each processing chamber 280 can be coordinated (e.g., synchronized) by a single mechanism (e.g., the actuation mechanism 224). Other mechanisms can also be used to coordinate the opening and closing of each processing chamber 280 and control the substrate processing conditions within the processing chambers 280 of each substrate processing platform 200.
[0041] Figure 3 is a schematic plan view of the substrate processing platform 200 configured with the multiple processing chambers 280A, 280B, 280C, 280D, 280E. Each processing chamber may include the chamber opening 220. To reduce chamber space, each chamber opening 220 for each processing chamber 280 may include a sealing mechanism, not shown, (e.g., a male mechanism) to fill up a space near the chamber opening 220 inside the processing chamber 280 after substrate loading when the processing chamber is ready for substrate processing. The sealing mechanism is used to spatially minimize the effective substrate processing volume within the interior portion of the processing chamber 280.
[0042] In general, each processing chamber 280 is adapted to include an individual fluid delivery system (e.g., a shower head 250) above a substrate support 240 assembly. The shower head 250 may include one or more openings (e.g., a plurality of fluid delivery channels 252 therein) for delivering process gases (e.g., precursor gases, inert gases and other compounds, fluids) downwardly onto the surface of the substrate 210. The gas delivery channels 252 within the shower head 250 of each processing chamber 280 are in fluid communication with a gas delivery system disposed in the utility tower 140. For example, the gas delivery channels 252 may be connected to a gas delivery system having gas boxes 152, 154, 156, which are used to store process gases, such as precursor gases, carrier gasses, purge gases and/or other reactive and non-reactive fluids.
[0043] As shown in Figure 3, a plasma source (remote or locally coupled), such as a plasma source 136, may be connected to the gas boxes 152, 154, 156 for performing plasma enhanced substrate processing, such as plasma enhanced CVD or ALD processes. In addition, each substrate processing platform 200 may be connected to an exhaust system located within the exhaust tower 150. The exhaust system may include a valve 132 and a pump 130.
[0044] The delivery of various process gases from the gas boxes 152, 154, 156 into the gas delivery channels 252 of each processing chamber 280 within the substrate processing platform 200 can be controlled by one or more valves 153, 155, 157. In one embodiment, the gas delivery channels 252 within the shower head 250 may be disposed from the top of the processing chamber 280 for flowing gases downwardly onto the surface of the substrate 210. In addition, one or more fluid outlets 262 are positioned on the front side of the shower head 250 facing the substrate support assembly 240. Gas waste, unused process gases, and other non- reactive gases can then be evacuated out of the processing chamber 280 via the fluid outlets 262. Accordingly, process gases may be delivered from the gas boxes 152, 154, 156, via the gas delivery channels 252, downwardly onto the surface of the substrate 210 and out of the processing chamber 280 through the fluid outlets 262.
[0045] In another embodiment, as shown in Figure 3, additionally or alternatively, the gas delivery channels 252 may be connected to a side wall of the processing chamber 280 for delivering of gases from one side of the shower head 250 (e.g., near one side of the outer edge of the shower head 250). In this embodiment, the one or more fluid outlets 262 may be positioned across from the side where the gas delivery channels 252 are positioned, for promoting gases flown from the gas delivery channels 252, horizontally in addition to downwardly, across the horizontal area of the substrate 210 disposed above the substrate support assembly 240, to another side of the shower head 250. In one aspect, the plurality of the gas delivery channels 252 are asymmetrically disposed within the shower head 250 to improve gas flows within the effective substrate processing region, to improve multiple process gas flows across the surface of the substrate 210.
[0046] In another embodiment, a gas exhaust channel 264 (shown in Figures 4A- 4B) may be positioned at a side of the shower head (e.g., the back side, behind the shower head, the side facing opposite the substrate support assembly 240) to improve gas purging and encourage directional flowing of process gases within the openings of the shower head 250 horizontal gas flows from one side to another side of the shower head 250. The gas exhaust channel 264 may be connected to the pump 130 located within the exhaust tower 150. Together, the gas delivery channels 252, the fluid outlets 262, and the gas exhaust channel 264 are designed to obtain directional flowing of process gases onto the surface of the substrate 210, for example, horizontally from one side of the shower head 250 to another side of the shower head 250, and/or downwardly from the backside of the shower head 250 to the surface of the substrate 210, thus promoting uniform distribution of process gases across the substrate surface.
[0047] Figure 4A is a schematic vertical cross-sectional view of one example of the processing chamber 280, illustrating the substrate 210 being loaded into the processing chamber 280. During substrate loading, a plurality of movable substrate support pins 248, disposed in the substrate support assembly 240 of the processing chamber 280, are configured to vertically moved upward above the substrate support assembly 240 and receive the substrate 210. The movable substrate support pins 248 are capable of moving the substrate 210 between the substrate loading position and the substrate processing position. In Figure 4A, the substrate 210 is disposed in a substrate loading position inside the processing chamber 280.
[0048] Figure 4B shows the substrate 210 being processed inside the processing chamber 280 in the substrate processing position. When the substrate 210 is ready to be processed, the chamber opening 220 can be closed (e.g., the actuation mechanism 224 may move upwardly or downwardly to close the corresponding slot opening 222). In addition, the movable substrate support pins 248 may move down vertically such that the substrate 210 is rested on the substrate support assembly 240 in a substrate processing position and ready to be processed.
[0049] The substrate support assembly 240 may be stationary and include a top surface for receiving and supporting at least one substrate 210 disposed thereon. An annular shield 242, being disposed around the outer edge of the substrate 210, may be used to reduce the processing volume between the shower head 250 and the substrate 210 being processed inside the processing chamber 280 and prevent edge or backside deposition on the substrate 210. When the substrate 210 is being loaded inside the processing chamber, the annular shield 242 is configured to vertically move in an upper position to avoid interfering with the loading of the substrate 210. In addition, when the substrate 210 is ready to be processed (e.g., in a substrate processing position), the annular shield 242 is configured to vertically move to a lower position to properly avoid backside and edge deposition over the surface of the substrate 210 and help reduce the processing volume inside the processing chamber 280.
[0050] In one embodiment, the motion of the annular shield 242 is coordinated with the motion of the movable substrate support pins 248, while the substrate support assembly 240 is stationery, such that the substrate 210 can be quickly, efficiently, and properly moved between the substrate loading position and the substrate processing position. Accordingly, an effective processing region 232 is formed between the shower head 250 and the surface of the substrate 210 being positioned in the substrate processing position, when the annular shield 242 is lowered down and processes gasses are delivered into the effective processing region 232. The annular shield 242 is used to shield the outer edge of the substrate 210 and reduce the volume of the effective processing region 232 above the surface of the substrate 210. Together, the annular shield 242 and the male sealing mechanism (not shown) are used to reduce the volume of the effective processing region 232. Accordingly, the effective processing region 232 can be kept to minimum to save space and prevent unnecessary waste of process gases delivered therein.
[0051] In another embodiment, the movements of the annular shield 242 and the movable substrate support pins 248 inside the processing chamber 280 are coordinated to move at the same time. In one example, the annular shield 242 and the movable substrate support pins 248 are connected to a shared actuation mechanism (not shown). As another example, the annular shield 242 and the movable substrate support pins 248 may be moved in coordination via the command of the system controller of the system 100.
[0052] In yet another embodiment, the movable substrate support pins 248 within a group of the stacked processing chambers 280 are capable of controllably moving between the substrate loading position and the substrate processing position at the same time. In one example, the movable substrate support pins 248 of the stacked processing chambers 280 within the group of the processing platforms 200 may be connected to a shared actuation mechanism (not shown). As another example, the movable substrate support pins 248 within the group of the stacked processing chambers 280 may be coordinately moved via the command of the system controller of the system 100.
[0053] A batch method of processing a plurality of substrates 210 inside a plurality of vertically stacked processing chambers 280 is also provided. The batch method may include a step of transferring the plurality of substrates into the plurality of vertically stacked processing chambers 280 through the plurality of slot openings 222, coupled to the chamber openings 220 of the processing chambers 280 positioned on the same side of the chamber bodies of the vertically stacked processing chambers 280. [0054] Next, at least one of the plurality of the substrates 210 is received by a plurality of movable substrate support pins 248 disposed on a stationary substrate support assembly 240 within each processing chamber 280. The movable substrate support pins 248 are capable of moving each substrate 210 between a substrate loading position and a substrate processing position. In addition, an effective substrate processing region 232 is formed between the shower head and the surface of the at least one substrate 210 being positioned in the substrate processing position.
[0055] Then, the substrate 210 is processed within the effective substrate processing region 232 inside each processing chamber 280. Advantageously, a plurality of the substrates can be concurrently delivered into the processing chambers 280 within each substrate processing platform 200 and processed simultaneously. A fabrication process, such as ALD or CVD process, can be conducted on the surface of each substrate 210. The batch method may further include transferring the plurality of the substrates out of the chamber bodies of the plurality of the vertically stacked processing chambers 280.
[0056] In an ALD process of depositing a tantalum nitride (TaN) material layer over a surface of five substrates 210, two precursor compounds may be used. The first precursor may be a tantalum containing compound, such as a tantalum based organo-metallic precursor or a derivative thereof, e.g., pentadimethylamino-tantalum (PDMAT; Ta(NMe2)5), pentaethylmethylamino-tantalum (PEMAT; Ta[N(C2H5CH3)2]5), pentadiethylamino-tantalum (PDEAT; Ta(NEt2)5,), TBTDET (Ta(NEt2)3NC4H9 or Ci6H39N4Ta) and tantalum halides, and any and all of derivatives of the above listed compounds. The tantalum containing compound may be provided as a gas or may be provided with the aid of a carrier gas. Examples of carrier gases which may be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N2), and hydrogen (H2).
[0057] After the delivery of the first precursor gas (precursor gas A) into each of the processing chambers 280A-280E of the processing platform 200, a monolayer of the tantalum containing compound is chemisorbed onto the surface of the five substrates 210 and excess tantalum containing compound is removed from the processing chamber by introducing a pulse of a purge gas thereto. Examples of purge gases which may be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N2), hydrogen (H2), and other gases.
[0058] After the processing chambers 280A-280E have been purged, a second precursor gas (precursor gas B) may be delivered into the processing chambers 280A-280E of the processing platform 200. The second precursor may be a nitrogen-containing compound with nitrogen atoms and one or more reactive atoms/species. For example, the nitrogen containing compound may be ammonia gas (NH3) and other nitrogen containing compounds, including, but not limited to, NxHy with x and y being integers (e.g., hydrazine (N2H )), dimethyl hydrazine ((CH3)2N2H2), t-butylhydrazine (C4H9N2H3) phenylhydrazine (C6H5N2H3), other hydrazine derivatives, a nitrogen plasma source (e.g., N2, N2/H2, NH3, or a N2H4 plasma), 2,2'-azoisobutane ((CH3)6C2N2), ethylazide (C2H5N3), and other suitable gases. The nitrogen-containing compound may be introduced into the processing chambers 280A-280E as a pulse, and may be provided alone. Alternatively, a carrier gas may be used to deliver the nitrogen containing compound if necessary.
[0059] After the delivery of the second precursor gas (precursor gas A) into the processing chambers 280A-280E of the processing platform 200, a monolayer of the nitrogen-containing compound may then be chemisorbed on the monolayer of the tantalum-containing compound. Additional precursor gas may be required, e.g., three types of precursor gases may be used for depositing a ternary compound onto the surface of a substrate in an ALD process.
[0060] The composition and structure of precursors on a surface during atomic- layer deposition (ALD) is not precisely known. Not wishing to be bound by theory, it is believed that the chemisorbed monolayer of the nitrogen containing compound reacts with the monolayer of the tantalum containing compound to form a tantalum nitride layer. Reactive species from the two precursor compounds may form byproducts that are transported from the substrate surface (e.g., via the fluid outlets connecting to the exhaust system). It is believed that the reaction of the nitrogen containing compound with the tantalum containing compound is self-limiting and, in each pulse of delivering a precursor compound into the processing chambers 280A- 280E, only one monolayer of the precursor compound is chemisorbed onto the surface of the five substrates 210. Each cycle of the sequential delivery of the two or more alternating precursors over the surface of the substrate is repeated (e.g., 20 -30 cycles) until a desired thickness of the material layer (e.g., a tantalum nitride film) is formed simultaneously over the five substrates 210.
[0061] Figure 5A illustrates one configuration of a cycle 508 for delivering multiple flows of process gases (e.g., inert and precursor gases or other fluids) in and out of the stacked processing chambers 280 within each of the substrate processing platforms 200 over a period of time. In one embodiment, after each of the substrates 210 is transferred and loaded into each of the processing chambers 280 inside the substrate processing platform 200, all of the substrates 210 (batch processing or a hybrid batch) may be exposed to a flow of precursor gas A for a first time period at 252A, another gas flow of inert purge gas for a second time period at 252P, another gas flow of precursor gas B for a third time period at 252B, and another gas flow of inert purge gas for a fourth time period at 252P'. Each cycle of sequential delivery of gas flows of precursor gas A, inert purge gas, precursor gas B, and inert purge gas may be repeated until a desired thickness of a material layer is deposited over the surface of the substrate 210. Alternatively, the gas flow of inert gases may be delivered constantly into all of the processing chambers 280 within each substrate processing platform 200, while the gas flows of one precursor gas (e.g., precursor gas A) and another precursor gas (e.g., precursor gas B) are alternatively delivered thereon. The precursor gas A, precursor gas B, and the inert gas may be delivered in and out of each processing chamber 280 within the substrate processing platform 200 via the multiple fluid delivery channels 252, the fluid outlet 262, and the gas exhaust channel 264.
[0062] Figure 5B is a schematic diagram, illustrating another configuration in delivering multiple cycles 508 of flowing process gases (e.g., two or more precursor gases, inert gases, and/or other reactive or non-reactive fluids) in and out of the processing chamber 200. In Figure 5B, a flow 504 of precursor gas A and a flow 506 of precursor gas B (or additional precursor gas) may be alternatively delivered into the same processing slot 280 in pulses (e.g., controlling the precursor gases temporally) inside the processing chamber 200, while a gas flow 502 of a purge gas is constantly delivered into the processing slots 280. [0063] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is claimed is:
1 . A substrate processing system for processing a plurality of substrates, comprising:
a group of processing chambers stacked vertically together, one on top of another, wherein each processing chamber is configured to process at least one substrate therein among the plurality of the substrates and comprises:
a chamber body;
a chamber door positioned on one side of the chamber body;
a shower head positioned on a top side of the chamber body with a plurality of fluid delivery channels; and
a stationary substrate support assembly, comprising a first surface adapted to support the at least one substrate thereon, and a plurality of movable substrate support pins adapted to support the at least one substrate and configured to move the at least one substrate between a substrate loading position and a substrate processing position, wherein an effective substrate processing region is formed between the shower head and the surface of the at least one substrate positioned in the substrate processing position.
2. The substrate processing system of claim 1 , wherein the processing chamber further comprises a gas exhaust channel positioned at a side of the shower head to improve gas purging, wherein the side of the shower head faces opposite the substrate support assembly.
3. The substrate processing system of claim 1 , further comprising a transfer chamber having a transfer robot therein for simultaneously transferring the plurality of the substrates in and out of the group of the vertically stacked processing chambers.
4. The substrate processing system of claim 3, wherein the transfer robot comprises multi-substrate handling blades spaced for loading and unloading the plurality of substrates in and out of the group of vertically stacked processing chambers.
5. The substrate processing system of claim 3, wherein the transfer robot is a single blade substrate robot configured to vertically move up and down and horizontally move in and out of each processing chamber for loading and unloading the plurality of substrates in and out of the group of vertically stacked processing chambers.
6. The substrate processing system of claim 3, wherein the chamber doors within the group of vertically stacked processing chambers are adapted to be simultaneously opened or closed for loading and unloading the plurality of substrates into the group of vertically stacked processing chambers
7. The substrate processing system of claim 6, wherein the chamber doors within the group of vertically stacked processing chambers are connected to a shared actuation mechanism.
8. The substrate processing system of claim 6, wherein the chamber doors are configured to simultaneously open and close via a command of a controller of the substrate processing system.
9. The substrate processing system of claim 1 , wherein each processing chamber further comprises a movable annular shield adapted to shield outer edges of the substrate and minimize the effective substrate processing volume.
10. The substrate processing system of claim 1 , wherein the movable substrate support pins within the group of vertically stacked processing chambers are capable of controllably moving between the substrate load-unload position and the substrate processing position at the same time.
1 1 . A processing chamber for processing a substrate and configured to be vertically stacked on top of each other, comprising:
a chamber body; a chamber door positioned on one side of the chamber body;
a shower head positioned on a top side of the chamber with a plurality of fluid delivery channels body asymmetrically disposed within the shower head to improve gas flows into an effective substrate processing volume; and
a stationary substrate support assembly, comprising:
a first surface adapted to support the at least one substrate thereon; and
a plurality of movable substrate support pins adapted to support the at least one substrate and capable of moving the at least one substrate between a substrate loading position and a substrate processing position, wherein the effective substrate processing volume is formed between the shower head and a surface of the at least one substrate positioned in the substrate processing position.
12. The processing chamber of claim 1 1 , further comprises a gas exhaust channel positioned at a side of the shower head to improve gas purging, wherein the side is opposite the substrate support assembly.
13. A method for batch processing a plurality of substrates inside a plurality of vertically stacked processing chambers, comprising:
transferring the plurality of substrates into the plurality of vertically stacked processing chambers via chamber doors of the processing chambers positioned on a side of the chamber bodies that is the same as a side of the vertically stacked processing chambers;
receiving at least one of the plurality of the substrates by a plurality of movable substrate support pins disposed on a stationary substrate support assembly within each processing chamber; and
processing the at least one substrate within an effective substrate processing region inside each processing chamber.
14. The method of claim 13, wherein the effective substrate processing region is formed between a shower head of each processing chamber and a surface of the at least one substrate positioned in the substrate processing position.
15. The method of claim 13, further comprising transferring the plurality of the substrates out of the chamber bodies of the plurality of the vertically stacked processing chamber through the chamber doors of the plurality of the processing chambers.
PCT/US2013/021237 2012-01-31 2013-01-11 Stacked substrate processing chambers WO2013115957A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261593223P 2012-01-31 2012-01-31
US61/593,223 2012-01-31

Publications (1)

Publication Number Publication Date
WO2013115957A1 true WO2013115957A1 (en) 2013-08-08

Family

ID=48905695

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2013/021237 WO2013115957A1 (en) 2012-01-31 2013-01-11 Stacked substrate processing chambers

Country Status (1)

Country Link
WO (1) WO2013115957A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3422396A1 (en) 2017-06-28 2019-01-02 Meyer Burger (Germany) GmbH Device for transport of a substrate, treatment device with a holder plate adapted to a substrate holder of such a device and a method for processing a substrate using such a device for transporting a substrate and treatment plant

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080202420A1 (en) * 2007-02-27 2008-08-28 Smith John M Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
KR20100130826A (en) * 2009-06-04 2010-12-14 주식회사 에스에프에이 Chemical vapor deposition apparatus for manufacturing thin-film solar cells
US20110232569A1 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Segmented substrate loading for multiple substrate processing
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR20110139079A (en) * 2010-06-21 2011-12-28 세메스 주식회사 Thin film deposition apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080202420A1 (en) * 2007-02-27 2008-08-28 Smith John M Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR20100130826A (en) * 2009-06-04 2010-12-14 주식회사 에스에프에이 Chemical vapor deposition apparatus for manufacturing thin-film solar cells
US20110232569A1 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Segmented substrate loading for multiple substrate processing
KR20110139079A (en) * 2010-06-21 2011-12-28 세메스 주식회사 Thin film deposition apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3422396A1 (en) 2017-06-28 2019-01-02 Meyer Burger (Germany) GmbH Device for transport of a substrate, treatment device with a holder plate adapted to a substrate holder of such a device and a method for processing a substrate using such a device for transporting a substrate and treatment plant
WO2019002014A1 (en) 2017-06-28 2019-01-03 Meyer Burger (Germany) Gmbh Device for transporting a substrate, treatment device with a receiving plate adapted to a substrate carrier of a device of this kind, and method for processing a substrate using a device of this kind for the transport of a substrate, and treatment facility

Similar Documents

Publication Publication Date Title
US10236198B2 (en) Methods for the continuous processing of substrates
US11680312B2 (en) Catalyst enhanced seamless ruthenium gap fill
US20210285102A1 (en) Gap fill methods using catalyzed deposition
JP6591501B2 (en) Rotary substrate processing system
CN107267962B (en) Substrate processing system and method for processing a plurality of substrates
US9514933B2 (en) Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
KR102506466B1 (en) Catalyzed deposition of metal films
TWI630281B (en) Methods of depositing a metal alloy film
US8808455B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US11066743B2 (en) Selective atomic layer deposition of ruthenium
US20220172989A1 (en) Nucleation-Free Gap Fill ALD Process
WO2013115957A1 (en) Stacked substrate processing chambers
US11885020B2 (en) Transition metal deposition method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13743625

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13743625

Country of ref document: EP

Kind code of ref document: A1