US20050241771A1 - Substrate carrier for processing substrates - Google Patents

Substrate carrier for processing substrates Download PDF

Info

Publication number
US20050241771A1
US20050241771A1 US11/175,750 US17575005A US2005241771A1 US 20050241771 A1 US20050241771 A1 US 20050241771A1 US 17575005 A US17575005 A US 17575005A US 2005241771 A1 US2005241771 A1 US 2005241771A1
Authority
US
United States
Prior art keywords
substrate
substrate carrier
support region
support
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/175,750
Inventor
Michael Rattner
Rolf Guenther
Jeffrey Chinn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/175,750 priority Critical patent/US20050241771A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: RATTNER, MICHAEL B., GUENTHER, ROLF A., CHINN, JEFFREY D.
Publication of US20050241771A1 publication Critical patent/US20050241771A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Definitions

  • the present invention generally relates to chambers for substrate processing and, more particularly, to a substrate carrier that facilitates the processing of substrates of various dimensions in a given chamber.
  • Substrate processing such as semiconductor wafer processing, is typically practiced in an industrial setting by placing a substrate onto a substrate support or chuck, within a chamber and performing a variety of operations on the substrate.
  • the substrate and substrate support typically are circular shaped, and the substrates currently used typically have a diameter of, for example, about eight inches (200 mm) or about twelve inches (300 mm).
  • substrate processing was often performed on substrates having smaller diameters, and process equipment of the past included chambers that were designed for processing these smaller substrates. While these process chambers of the past are typically no longer used in high production volume, industrial settings, these older chambers are used, for example, to produce smaller quantities of certain types of microelectronic devices. For example, due to budgetary limitations, universities may purchase older processing equipment that processes, for example, four inch diameter or six inch diameter substrates. Furthermore, it may be necessary for the university to sub-divide the substrate and devices formed thereon into smaller units, for example, dies, to facilitate various testing and further experimentation of the devices formed thereon.
  • the types of material structures and devices that may be formed on these smaller substrates are diverse and include for example, semiconductor materials, optoelectronic devices, microelectromechanical systems and devices (MEMS), among others.
  • the invention is a substrate carrier for carrying one or more substrates comprising a bottom surface, a top surface opposed to the bottom surface, one or more recesses formed into the top surface, each of the one or more recesses having a support surface, and a support region between the bottom surface and the support surface.
  • the support region has a thickness less than a depth of the one or more recesses.
  • the support region may comprise a porous material that may permit thermal fluid (such as helium) to percolate through the support region.
  • the one or more recesses are substantially circular. In another embodiment of the invention, the one or more recesses are substantially rectangular.
  • a method of processing one or more substrates in a processing chamber comprises providing a processing chamber having a substrate support.
  • a substrate carrier having one or more substrates disposed within one or more recesses formed within the substrate carrier is moved into the processing chamber carrier and disposed on a substrate support.
  • a processing operation is then performed within the processing chamber.
  • the processing operation may comprise introducing a process gas into the processing chamber and etching through the substrate to expose the support surface of the carrier to a plasma.
  • An alternate method of processing one or more substrates comprises providing a processing chamber having a substrate carrier disposed on a substrate support. A substrate is moved into the processing chamber and placed onto the support surface of the carrier, and a processing operation is performed within the processing chamber.
  • FIG. 1 is a schematic cross-sectional view of a process chamber that can be used for the practice of embodiments of the invention described herein;
  • FIG. 2 is a schematic close-up, cross-sectional view of a substrate support having a substrate carrier of the present invention thereon;
  • FIG. 3 is a top view of one embodiment of a substrate carrier that can be used to practice embodiments of the invention described herein;
  • FIG. 4 is a cross-sectional view of the substrate carrier of FIG. 3 ;
  • FIG. 5 is a cross-sectional view of the substrate carrier of FIGS. 3-4 , showing additional features thereof;
  • FIG. 6 is a top view of an alternate embodiment of a substrate carrier having a plurality of recesses thereon;
  • FIG. 7 is a cross-sectional view of the substrate carrier of FIG. 6 ;
  • FIG. 8 is schematic top view of a processing system that can be used for the practice of embodiments of the invention described herein;
  • FIG. 9 depicts cross-sectional views of a substrate during different stages of an etch-through process sequence
  • FIG. 10A depicts a top plan view of an alternate embodiment of a substrate carrier of the present invention.
  • FIG. 10B depicts a cross sectional view of the substrate carrier of FIG. 10A .
  • FIG. 1 depicts a schematic, cross-sectional view of an etch processing chamber 100 that can be used for the practice of embodiments of the invention described herein.
  • the etch processing chamber 100 includes a vacuum chamber 112 and a vacuum pump 114 coupled to the vacuum chamber 112 .
  • the vacuum chamber 112 is defined by a dome 116 or other form of chamber top, a side wall 118 , and a bottom 120 .
  • the chamber 112 generally includes a pedestal assembly 123 .
  • the pedestal assembly includes a pedestal 122 and a chuck 124 , such as an electrostatic chuck, atop the pedestal 122 .
  • the chuck 124 is a conventional chuck typically formed to accommodate substrates having a circular cross section that may be about eight inches (200 mm) or about twelve inches (300 mm) in diameter.
  • a high frequency power source 116 such as a radio frequency (RF) power source may be coupled to the pedestal 122 in order to capacitively couple RF power to a substrate (not shown) to form a negative bias on the substrate that facilitates etching.
  • a second RF power source 117 may be coupled to at least one antenna 115 , to control the plasma density within the chamber 112 .
  • An example of such an etch processing chamber 100 is the Decoupled Plasma System (DPS I and DPS II) chamber, commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • a substrate carrier 132 useful for adapting the etch processing chamber 100 for processing substrates of various shapes and sizes is positioned atop the chuck 124 .
  • One or more substrates (not shown) are placed into one or more recesses 154 formed in the substrate carrier 132 .
  • a port 136 may be formed through the pedestal 122 to a top surface 138 of the chuck 124 .
  • a thermal fluid such as an inert gas, flows from a backside gas source 140 to the top surface 138 of the chuck 124 .
  • the thermal fluid may be, for example, helium.
  • the vacuum pump 114 draws a vacuum inside the chamber 112 and process gases are pumped from one or more gas sources 130 into the chamber 112 .
  • the process gases may comprise, for example, a fluorinated gas, such as silicon tetrafluoride (SiF 6 ), hydrogen fluoride (HF), nitrogen trifluoride (NF 3 ), xenon difluoride (XeF 2 ), among other fluorinated gases.
  • the process gases may also comprise non-fluorinated gases, for example, methanol (CH 3 OH), water vapor (H 2 O), among other non-fluorinated gases.
  • the applied RF power ignites one or more of the process gases into a plasma within the chamber 112 in order to enhance etching of the one or more substrates and/or material layers thereon.
  • Process gases introduced into the chamber 112 from the gas sources 130 are directed to one or more substrates on the substrate carrier 132 where they may etch various materials on the one or more substrates.
  • at least one of the process gases such as, for example, one of the fluorinated gases, is excited into a plasma state in a remote plasma chamber (not shown) prior to entering the chamber 112 and thereafter directed towards the one or more substrates.
  • FIG. 2 illustrates a close-up cross-sectional view of a substrate carrier 132 that may be used to practice embodiments of the invention described herein.
  • the substrate carrier 132 is supported by a pedestal assembly 123 that generally comprises the pedestal 122 and the chuck 124 .
  • the chuck 124 sits atop the pedestal 122 , and the pedestal 122 and the chuck 124 may have a port 136 therethrough for transporting a thermal fluid to the top surface 138 of the chuck 124 .
  • FIG. 2 depicts one central port, there may be a plurality of ports 136 configured in various arrangements so as to transport the thermal fluid to the top surface 138 of the chuck 124 .
  • the pedestal 122 and the chuck 124 may include one or more channels 160 through which lift pins (not shown) may be elevated in order to facilitate raising and lowering a substrate within the chamber 112 .
  • the channels 160 may optionally extend through the substrate carrier 132 .
  • the substrate carrier 132 is secured to the chuck 124 by electrostatic force as described below.
  • the substrate carrier 132 is secured to the chuck 124 by various means, such as, for example, bolts or other mechanical fasteners.
  • the substrate carrier 132 has a bottom surface 150 that generally contacts the top surface 138 of the chuck 124 .
  • a plurality of channels or interstitial spaces 152 located between the top surface 138 of the chuck 124 and the bottom surface 150 of the substrate carrier 132 transport thermal fluid such that thermal fluid contacts at least a portion of the bottom surface 150 of the substrate carrier 132 .
  • the substrate carrier 132 has one or more recesses 154 to facilitate the carrying of one or more substrates (not shown).
  • FIG. 3 depicts a top view of one embodiment of the substrate carrier 132
  • FIG. 4 depicts a cross-sectional view of the substrate carrier 132 of FIG. 3 with the cross-section taken along line 4 - 4 of FIG. 3
  • the substrate carrier 132 has a size and shape that enables a conventional substrate handling robot to carry the substrate carrier 132 and substrate(s) disposed therein, in and out of the chamber 112
  • the substrate carrier 132 includes a bottom surface 350 that is generally formed to fit on a conventional substrate support such as the substrate support 123 of FIG. 2 .
  • the bottom surface 350 may be substantially circular with a diameter 352 .
  • the substrate carrier 132 includes a recess 354 that is defined by a support surface 356 and a containment surface 360 .
  • the support surface 356 is substantially circular.
  • the support surface 356 may have a diameter 358 of about, for example, 4 inches or about 6 inches and may thereby accommodate substrates having a similar diameter.
  • Rays parallel to the containment surface 360 and the support surface 356 generally define an angle 370 that may be about 90 degrees or greater. i.e., the containment surface 360 is sloped. In one embodiment of the invention, the angle 370 is about 135 degrees to facilitate placement of a substrate 380 (shown in phantom) having a thickness 330 within the recess 354 of the substrate carrier 132 .
  • the substrate carrier 132 includes a support region 382 that has a cross-section bounded by the support surface 356 , a portion 384 of the bottom surface 350 , and boundary surfaces 306 (shown in phantom).
  • the support region 382 has a thickness 386 that is generally small enough to promote rapid heat transfer from the pedestal 122 and the chuck 124 to the substrate 380 .
  • the thickness 386 of support region 382 may be less than a depth 390 of the recess 354 .
  • the thickness 386 of the support region 382 may be less than the thickness 330 of the substrate 380 placed within the recess 354 .
  • the thickness 386 of the support region 382 is generally small enough to promote rapid thermal transfer across the thickness 386 .
  • the thickness 386 of the support region 382 is generally large enough to provide mechanical support for the substrate 380 and to allow the substrate carrier 132 to withstand the stresses of both processing and handling of the substrate carrier 132 without cracking or otherwise being damaged. In one embodiment of the invention, the thickness 386 of the support region 382 is in the range of about 0.025 centimeters to about 0.13 centimeters.
  • the substrate carrier 132 also includes outer regions 388 adjacent to the support region 382 .
  • the outer regions 388 are generally bounded by a top surface 392 that may be substantially parallel to the bottom surface 350 , an edge surface 320 , the containment surface 360 , a portion 322 of the bottom surface 350 , and the boundary surfaces 306 .
  • the top surface 392 may have a flat portion 362 , as shown in FIG. 3 such that the substrate carrier 132 has a periphery that matches a flatted wafer substrate. Alternatively, the periphery may have a notch to match the periphery of a notched wafer substrate.
  • the outer regions 388 typically have a thickness 394 that is sufficiently large such that the substrate 380 does not extend above the top surface 392 .
  • the outer regions 388 typically have a thickness 394 that is sufficiently small such that the substrate carrier 132 does not interfere with the function of other components within the chamber 112 , e.g. slit valve, robot arm, lift mechanism and the like.
  • the thickness 394 of the outer regions 388 may be, for example, in the range of about 0.25 centimeters to about 0.65 centimeters.
  • the support region 382 generally comprises a material that is resistant to degradation when exposed to various environmental conditions within the chamber 112 . These environmental conditions may be, for example, temperatures in excess of about 200 degrees Celsius, exposure to high frequency power of up to about 7 watts per square centimeters, and damage from contact with corrosive gases such as fluorinated gases, including hydrogen fluoride (HF).
  • the support region 382 generally comprises a dielectric material that is capable of maintaining an electrostatic charge on the support surface 356 . In this manner, the substrate carrier 132 and the substrate 380 may be held in place on the underlying electrostatic chuck 124 .
  • the support region 382 may comprise a material with high thermal conductivity.
  • the support region 382 comprises a ceramic material, such as, for example, silicon carbide, aluminum oxide, silicon nitride, or combinations thereof.
  • the ceramic material may be formed by various methods, such as, for example, hot isostatic pressing, dry-pressing, among other methods known to the art of ceramics processing.
  • the ceramic material is formed by fabricating a porous, graphite-based material and partially or completely reacting the graphite-based material to form a silicon carbide material. Products made by this process are available from Poco Graphite Inc., of Decatur, Tex.
  • the support region 382 comprises a metallic material having a dielectric coating 387 formed on the support surface 356 .
  • the dielectric coating 387 may comprise, for example, an oxide, a nitride, or other dielectric material.
  • the support region 382 and the outer regions 388 may be formed by pressing a single piece of ceramic material into a desired shape.
  • the support region 382 and the outer regions 388 may be formed as separate units and later joined together by sintering the separate units together or other joining methods known to the art of ceramics or metals processing, such as welding, diffusion bonding, among other joining methods.
  • FIG. 5 depicts the cross-sectional view of the substrate carrier 132 of FIG. 4 , showing additional features thereof.
  • the support region 382 may comprise a porous material having pores 302 (exaggerated in size for clarity).
  • the porous material may have open porosity, i.e. porosity that is open to an outer surface such as the support surface 356 or the bottom surface 350 .
  • the support region 382 comprises a material with an open porosity in the range of about 1% to about 20% by volume.
  • the porosity of the support region 382 may be such that a thermal fluid or gas may percolate from the bottom surface 350 , through the pores 302 in the support region 382 , to the support surface 356 .
  • the thermal fluid is retained beneath the substrate 380 and in the pores 302 to enhance thermal conductivity to and from the substrate 380 .
  • the porosity within the support region 382 is such that no direct line-of-sight path exists between the support surface 356 and the bottom surface 384 .
  • the pores 302 are sufficiently tortuous and windy such that the length of the pores 302 are considerably greater than the thickness 386 of the support region 382 .
  • This property of the pores 302 is particularly beneficial for the case in which a plurality of holes must be etched through the substrate 380 . Because some holes may be etched through areas of the substrate 380 prior to other holes, an etch process may be intentionally designed to “over-etch” the substrate 380 .
  • the entire carrier 1000 or only the support region 382 may be fabricated of aluminum.
  • the aluminum is generally anodized.
  • the support region 382 comprises a plurality of channels 1002 drilled through the support region 382 on an angle.
  • the angle 1004 is defined by the thickness of the support region 382 and the need to ensure that the channels do not provide a line of site path through the support region.
  • the top of the angled channel is offset from the bottom of the angled channel such that a vertical path (perpendicular to the surface of the support region) is not possible.
  • Such an angle prevents etchant gases, that generally travel in a path that is perpendicular to the wafer surface, from impacting the surface of the underlying chuck.
  • the channels are sized to enable backside cooling gas (typically helium) to flow from the chuck surface to the backside surface of the substrate.
  • backside cooling gas typically helium
  • the channel diameter is exaggerated in FIGS. 10A and 10B for clarity.
  • the support region 382 of any of the forgoing embodiments of the carrier may comprise an indicator 393 for determining when the substrate 380 within the recess 354 has been etched through.
  • the indicator 393 may be a chemical or material that is embedded within the support region 382 or deposited on the support surface 356 .
  • the indicator 393 may be deposited on the dielectric coating 387 .
  • the indicator 393 reacts with, for example, an etchant gas to form a product such as a gaseous product.
  • the product may be detected by an endpoint detection system (not shown) that may include, for example, optical or chemical sensors for detecting the presence of the product generated by the indicator 393 and the etchant gas, thereby determining the point of completion of the etch-through process.
  • an endpoint detection system (not shown) that may include, for example, optical or chemical sensors for detecting the presence of the product generated by the indicator 393 and the etchant gas, thereby determining the point of completion of the etch-through process.
  • the outer regions 388 may comprise a ceramic material. In one embodiment of the invention, the outer regions 388 comprise a porous material as described above with reference to support region 382 . In this embodiment of the invention, the outer regions 388 may have a coating 395 formed on the top surface 392 as well as on the containment surface 360 .
  • the composition of the coating 395 may comprise a material that is chemically resistant to process gases that are introduced into the chamber 112 .
  • the coating 395 may comprise, for example, aluminum oxide (Al 2 O 3 ), sapphire, a perfluoroalkoxy material, a polytetrafluoroethylene material (e.g. Teflon® available from E.I. du Pont de Nemours and Company of Wilmington, Del.), among other materials.
  • the coating 395 generally improves the durability of the outer regions 388 by, for example, protecting the outer regions 388 from degradation from process gases.
  • the outer regions 388 may comprise a densified material with less open porosity than the support region 382 .
  • the substrate carrier 132 includes optional channels 398 formed through the support region 382 .
  • the optional channels 398 allow lift pins (not shown) to move through the substrate carrier 132 to facilitate the raising and lowering of the substrate 380 within the chamber 112 .
  • FIGS. 3-5 depict a substrate carrier 132 having the recess 354 that is designed to accommodate a single, substantially-circularly shaped substrate.
  • a substrate carrier may have multiple recesses or non-circular recesses (e.g. rectangular or square recesses).
  • FIG. 6 depicts a top view of one embodiment of the substrate carrier 532
  • FIG. 7 depicts a cross-sectional view of the substrate carrier 532 of FIG. 6 with the cross-section taken along line 7 - 7 of FIG. 6 .
  • the substrate carrier 532 has a plurality of square recesses 554 (a specific type of rectangular recess).
  • the square recesses 554 are generally defined by a bottom surface 556 and containment surfaces 560 .
  • Each of the square recesses 554 has a length 558 .
  • the length 558 may be the same for all of the square recesses 554 , or the length 558 may vary amongst the various square recesses 554 on the substrate carrier 532 .
  • the length 558 may be in the range of, for example, about 10 millimeters to about 20 millimeters.
  • the square recesses 554 generally have a depth 562 that is greater than a thickness 586 of a support region 586 .
  • the depth 562 may be, for example, about 0.025 centimeters to about 0.13 centimeters.
  • Rays parallel to the containment surface 560 and the bottom surface 556 generally define an angle 570 that may be, for example, at least about 100 degrees.
  • the square recesses 554 each accommodate a substrate (such as substrate 580 shown in phantom in FIG. 7 ) that are generally square when viewed from the top.
  • the substrate 580 may be, for example, a semiconductor die
  • the substrate carrier 532 generally includes support regions 582 and the outer regions 588 .
  • the composition, porosity, and other properties of the support regions 582 and the outer regions 588 may be similar to those of the corresponding support regions 382 and the outer regions 388 of the substrate carrier 132 detailed in FIG. 5 .
  • One or more surfaces, such as a top surface 592 , an edge surface 520 , and containment surfaces 560 may have a protective coating (such as the coating 395 shown in FIG. 5 ) formed thereon to protect the surfaces from, for example, process gases that may otherwise come into contact with said surfaces.
  • the substrate carrier of the present invention may be used to facilitate the processing of one or more substrates of varying dimensions and shapes in a processing chamber that is designed to process conventional larger wafer substrates.
  • the conventional wafer substrates may be semiconductor wafers, having a substantially circular shape and a diameter that may be about eight inches (200 millimeters) or about twelve inches (300 millimeters).
  • FIG. 8 depicts a processing system 20 in which substrates are processed.
  • Processing system 20 includes a plurality of process chambers 38 and a central transfer chamber 36 . Inside each of the process chambers 38 , substrates may be subjected to a variety of processing operations such as, for example, thin film deposition processing, etching and etch-through processing, oxidation, thermal processing, lithographic processing, among other processing operations.
  • one or more substrates are provided to a transfer chamber 36 from a load lock chamber 34 .
  • a substrate carrier such as the substrate carrier 132 or the substrate carrier 532 , having one or more substrates placed within recesses therein, is provided to a substrate handling robot 39 .
  • the substrate handling robot 39 moves the substrate carrier between the load lock chamber 34 and the processing chamber 38 .
  • lift pins may be raised through the channels 160 within the pedestal 122 and the chuck 124 .
  • the substrate carrier is transferred onto the lift pins from the substrate handling robot, and the pedestal assembly 123 is raised such that the substrate carrier is directed onto the chuck 124 .
  • the substrate carrier may thereafter be secured onto the chuck by an electrostatic chucking force.
  • the backside gas (thermal fluid) is then applied to the bottom surface 350 of the substrate carrier 132 .
  • the thermal fluid percolates to the support surface 356 of the recess 354 to insure thermal conductivity between the substrate 380 and the chuck 124 .
  • One or more processing operations such as, for example, etch-through operations, may be performed on the substrate or material layers thereon.
  • a substrate carrier without channels such as the optional channels 398 formed through the support region 382 may be used.
  • a substrate is provided to the substrate handling robot 39 .
  • the substrate handling robot 39 moves the substrate between the load lock chamber 34 and the processing chamber 38 .
  • the processing chamber 38 may be, for example, an etch processing chamber, such as the chamber 112 of FIG. 1 .
  • lift pins (not shown) may be raised through the channels 160 within the pedestal 122 , the chuck 124 , and the substrate carrier 132 .
  • the substrate is transferred onto the lift pins from the substrate handling robot 39 , and the substrate is directed into one or more recesses such as the recess 354 shown in FIG. 4 or the recesses 554 shown in FIG. 6 in the substrate carrier.
  • the substrate may be held in position by providing, for example, an electrostatic chucking force.
  • One or more processing operations such as, for example, etch-through operations, may be performed on the substrate or material layers thereon. After performing the one or more processing operations, the substrate is removed from the processing chamber 39 by the substrate handling robot 39 .
  • a process gas such as, for example, silicon hexafluoride (SiF 6 ), hydrogen fluoride (HF), nitrogen trifluoride (NF 3 ), xenon difluoride (XeF 2 ), is provided to a processing chamber such as chamber 112 of FIG. 1 .
  • the process chamber includes a substrate support 924 .
  • the substrate support 924 may comprise, for example a pedestal, such as the pedestal 122 and a chuck such as the chuck 124 .
  • a substrate carrier 932 and a substrate 900 rests on a support surface 956 of substrate carrier 932 , as shown in FIG. 9 a.
  • the substrate 900 may be, for example, a silicon die or a silicon wafer.
  • a material layer 902 such as an oxide may be provided atop the substrate 900 .
  • An etch resist 906 is formed and patterned on the material layer 902 using conventional photoresist processing methods. The process gas etches portions of the material layer 902 and portions of the substrate 900 that are not protected by the etch resist 906 . The etching continues until an endpoint, as indicated in FIG. 9 b, a time at which the process gas etches through the material layer 902 and the substrate 900 creating two substrate regions 900 a and 900 b as well as two material layer regions 902 a and 902 b. An opening 904 thus formed uncovers a portion 956 b of the support surface 956 .
  • the substrate carrier 932 protects the substrate support 924 from being etched by the process gas.
  • the endpoint may be determined by using, for example, an endpoint detection system that includes, for example, optical and/or chemical sensors to determine whether the portion 956 b of the support surface 956 has been uncovered and no further etching is desired.
  • an indicator 993 shown in phantom located within or deposited on a support region 956 reacts with the process gas and produces a product that may be detected by the endpoint detection system.

Abstract

A substrate carrier for carrying one or more substrates comprises a bottom surface, a top surface opposed to the bottom surface, one or more recesses formed into the top surface, each of the one or more recesses having a support surface that defines a support region for a substrate. The support region is adapted to contact a bottom of the substrate. The support region may have a thickness less than a depth of the one or more recesses. The support region may comprise a porous material to permit thermal fluid to percolate through the support region.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. application Ser. No. 10/267,824, filed Oct. 8, 2002 which claims benefit of U.S. Provisional Patent Application Ser. No. 60/382,557, filed May 22, 2002. Each of these applications are herein incorporated by reference.
  • BACKGROUND OF THE DISCLOSURE
  • 1. Field of the Invention
  • The present invention generally relates to chambers for substrate processing and, more particularly, to a substrate carrier that facilitates the processing of substrates of various dimensions in a given chamber.
  • 2. Description of the Related Art
  • Substrate processing, such as semiconductor wafer processing, is typically practiced in an industrial setting by placing a substrate onto a substrate support or chuck, within a chamber and performing a variety of operations on the substrate. The substrate and substrate support typically are circular shaped, and the substrates currently used typically have a diameter of, for example, about eight inches (200 mm) or about twelve inches (300 mm).
  • However, in the past, substrate processing was often performed on substrates having smaller diameters, and process equipment of the past included chambers that were designed for processing these smaller substrates. While these process chambers of the past are typically no longer used in high production volume, industrial settings, these older chambers are used, for example, to produce smaller quantities of certain types of microelectronic devices. For example, due to budgetary limitations, universities may purchase older processing equipment that processes, for example, four inch diameter or six inch diameter substrates. Furthermore, it may be necessary for the university to sub-divide the substrate and devices formed thereon into smaller units, for example, dies, to facilitate various testing and further experimentation of the devices formed thereon. The types of material structures and devices that may be formed on these smaller substrates are diverse and include for example, semiconductor materials, optoelectronic devices, microelectromechanical systems and devices (MEMS), among others.
  • Once a material structure or device, such as a structure to be used in a MEMS device, is formed on small substrate or divided into semiconductor dice, there may be a need for subsequent processing. In particular, there may be a need to perform this subsequent processing in a modern, state-of-the-art, semiconductor processing chamber. Unfortunately, most of such state-of-the-art chambers are now only designed to process substrates having a circular cross-section and a diameter of eight inches or twelve inches.
  • The above problems are compounded for cases in which certain structures, such as MEMS structures, must be formed on the small substrate. This is because the processing of MEMS devices often includes using aggressive etchants to etch deeply into a wafer substrate or in some cases completely through the wafer substrate (i.e. etch-through processing). Etch-through processing is prone to damage the underlying substrate support or chuck, which is a chamber component that is costly to replace.
  • Furthermore, aggressive etch processing results in the formation of very delicate devices that are highly susceptible to damage during subsequent processing, such as the singulation of the wafer substrate into dies. As a result, it is often desirable to singulate the substrate into dies prior to etch processing to prevent damage to the delicate devices that would otherwise occur from singulation after aggressive etch processing. Singulating the substrate prior to processing however, requires a system capable of etch processing small dies rather than larger wafer substrates.
  • Therefore, a need exists for a substrate carrier that can be used to convert a conventional semiconductor process chamber into one capable of processing substrates that are smaller than conventional eight inch diameter (200 mm) or twelve inch diameter (300 mm) substrates as well as substrates of varying shapes and dimensions.
  • SUMMARY OF THE INVENTION
  • The invention is a substrate carrier for carrying one or more substrates comprising a bottom surface, a top surface opposed to the bottom surface, one or more recesses formed into the top surface, each of the one or more recesses having a support surface, and a support region between the bottom surface and the support surface. In one embodiment of the invention, the support region has a thickness less than a depth of the one or more recesses. In one embodiment of the invention, the support region may comprise a porous material that may permit thermal fluid (such as helium) to percolate through the support region. In one embodiment of the invention, the one or more recesses are substantially circular. In another embodiment of the invention, the one or more recesses are substantially rectangular.
  • A method of processing one or more substrates in a processing chamber comprises providing a processing chamber having a substrate support. A substrate carrier having one or more substrates disposed within one or more recesses formed within the substrate carrier is moved into the processing chamber carrier and disposed on a substrate support. A processing operation is then performed within the processing chamber. The processing operation may comprise introducing a process gas into the processing chamber and etching through the substrate to expose the support surface of the carrier to a plasma. An alternate method of processing one or more substrates comprises providing a processing chamber having a substrate carrier disposed on a substrate support. A substrate is moved into the processing chamber and placed onto the support surface of the carrier, and a processing operation is performed within the processing chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a schematic cross-sectional view of a process chamber that can be used for the practice of embodiments of the invention described herein;
  • FIG. 2 is a schematic close-up, cross-sectional view of a substrate support having a substrate carrier of the present invention thereon;
  • FIG. 3 is a top view of one embodiment of a substrate carrier that can be used to practice embodiments of the invention described herein;
  • FIG. 4 is a cross-sectional view of the substrate carrier of FIG. 3;
  • FIG. 5 is a cross-sectional view of the substrate carrier of FIGS. 3-4, showing additional features thereof;
  • FIG. 6 is a top view of an alternate embodiment of a substrate carrier having a plurality of recesses thereon;
  • FIG. 7 is a cross-sectional view of the substrate carrier of FIG. 6;
  • FIG. 8 is schematic top view of a processing system that can be used for the practice of embodiments of the invention described herein;
  • FIG. 9 depicts cross-sectional views of a substrate during different stages of an etch-through process sequence;
  • FIG. 10A depicts a top plan view of an alternate embodiment of a substrate carrier of the present invention; and
  • FIG. 10B depicts a cross sectional view of the substrate carrier of FIG. 10A.
  • DETAILED DESCRIPTION
  • FIG. 1 depicts a schematic, cross-sectional view of an etch processing chamber 100 that can be used for the practice of embodiments of the invention described herein. The etch processing chamber 100 includes a vacuum chamber 112 and a vacuum pump 114 coupled to the vacuum chamber 112. The vacuum chamber 112 is defined by a dome 116 or other form of chamber top, a side wall 118, and a bottom 120. The chamber 112 generally includes a pedestal assembly 123. The pedestal assembly includes a pedestal 122 and a chuck 124, such as an electrostatic chuck, atop the pedestal 122. The chuck 124 is a conventional chuck typically formed to accommodate substrates having a circular cross section that may be about eight inches (200 mm) or about twelve inches (300 mm) in diameter. A high frequency power source 116 such as a radio frequency (RF) power source may be coupled to the pedestal 122 in order to capacitively couple RF power to a substrate (not shown) to form a negative bias on the substrate that facilitates etching. A second RF power source 117 may be coupled to at least one antenna 115, to control the plasma density within the chamber 112. An example of such an etch processing chamber 100 is the Decoupled Plasma System (DPS I and DPS II) chamber, commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • A substrate carrier 132 useful for adapting the etch processing chamber 100 for processing substrates of various shapes and sizes is positioned atop the chuck 124. One or more substrates (not shown) are placed into one or more recesses 154 formed in the substrate carrier 132.
  • A port 136 may be formed through the pedestal 122 to a top surface 138 of the chuck 124. A thermal fluid, such as an inert gas, flows from a backside gas source 140 to the top surface 138 of the chuck 124. The thermal fluid may be, for example, helium.
  • The vacuum pump 114 draws a vacuum inside the chamber 112 and process gases are pumped from one or more gas sources 130 into the chamber 112. In FIG. 1, three gas sources 126, 127, 128 are shown by way of example. The process gases may comprise, for example, a fluorinated gas, such as silicon tetrafluoride (SiF6), hydrogen fluoride (HF), nitrogen trifluoride (NF3), xenon difluoride (XeF2), among other fluorinated gases. The process gases may also comprise non-fluorinated gases, for example, methanol (CH3OH), water vapor (H2O), among other non-fluorinated gases. The applied RF power ignites one or more of the process gases into a plasma within the chamber 112 in order to enhance etching of the one or more substrates and/or material layers thereon. Process gases introduced into the chamber 112 from the gas sources 130 are directed to one or more substrates on the substrate carrier 132 where they may etch various materials on the one or more substrates. In one embodiment of the invention, at least one of the process gases, such as, for example, one of the fluorinated gases, is excited into a plasma state in a remote plasma chamber (not shown) prior to entering the chamber 112 and thereafter directed towards the one or more substrates.
  • Substrate Carrier
  • FIG. 2 illustrates a close-up cross-sectional view of a substrate carrier 132 that may be used to practice embodiments of the invention described herein. The substrate carrier 132 is supported by a pedestal assembly 123 that generally comprises the pedestal 122 and the chuck 124. The chuck 124 sits atop the pedestal 122, and the pedestal 122 and the chuck 124 may have a port 136 therethrough for transporting a thermal fluid to the top surface 138 of the chuck 124. While FIG. 2 depicts one central port, there may be a plurality of ports 136 configured in various arrangements so as to transport the thermal fluid to the top surface 138 of the chuck 124. The pedestal 122 and the chuck 124 may include one or more channels 160 through which lift pins (not shown) may be elevated in order to facilitate raising and lowering a substrate within the chamber 112. The channels 160 may optionally extend through the substrate carrier 132. In one embodiment of the invention the substrate carrier 132 is secured to the chuck 124 by electrostatic force as described below. In another embodiment of the invention, the substrate carrier 132 is secured to the chuck 124 by various means, such as, for example, bolts or other mechanical fasteners.
  • The substrate carrier 132 has a bottom surface 150 that generally contacts the top surface 138 of the chuck 124. A plurality of channels or interstitial spaces 152 (exaggerated in size for clarity) located between the top surface 138 of the chuck 124 and the bottom surface 150 of the substrate carrier 132 transport thermal fluid such that thermal fluid contacts at least a portion of the bottom surface 150 of the substrate carrier 132. The substrate carrier 132 has one or more recesses 154 to facilitate the carrying of one or more substrates (not shown).
  • FIG. 3 depicts a top view of one embodiment of the substrate carrier 132, and FIG. 4 depicts a cross-sectional view of the substrate carrier 132 of FIG. 3 with the cross-section taken along line 4-4 of FIG. 3. In general, the substrate carrier 132 has a size and shape that enables a conventional substrate handling robot to carry the substrate carrier 132 and substrate(s) disposed therein, in and out of the chamber 112. The substrate carrier 132 includes a bottom surface 350 that is generally formed to fit on a conventional substrate support such as the substrate support 123 of FIG. 2. The bottom surface 350 may be substantially circular with a diameter 352. The substrate carrier 132 includes a recess 354 that is defined by a support surface 356 and a containment surface 360. Referring to FIG. 3, the support surface 356 is substantially circular. The support surface 356 may have a diameter 358 of about, for example, 4 inches or about 6 inches and may thereby accommodate substrates having a similar diameter. Rays parallel to the containment surface 360 and the support surface 356 generally define an angle 370 that may be about 90 degrees or greater. i.e., the containment surface 360 is sloped. In one embodiment of the invention, the angle 370 is about 135 degrees to facilitate placement of a substrate 380 (shown in phantom) having a thickness 330 within the recess 354 of the substrate carrier 132.
  • The substrate carrier 132 includes a support region 382 that has a cross-section bounded by the support surface 356, a portion 384 of the bottom surface 350, and boundary surfaces 306 (shown in phantom). The support region 382 has a thickness 386 that is generally small enough to promote rapid heat transfer from the pedestal 122 and the chuck 124 to the substrate 380. The thickness 386 of support region 382 may be less than a depth 390 of the recess 354. The thickness 386 of the support region 382 may be less than the thickness 330 of the substrate 380 placed within the recess 354. The thickness 386 of the support region 382 is generally small enough to promote rapid thermal transfer across the thickness 386. Similarly, the thickness 386 of the support region 382 is generally large enough to provide mechanical support for the substrate 380 and to allow the substrate carrier 132 to withstand the stresses of both processing and handling of the substrate carrier 132 without cracking or otherwise being damaged. In one embodiment of the invention, the thickness 386 of the support region 382 is in the range of about 0.025 centimeters to about 0.13 centimeters.
  • The substrate carrier 132 also includes outer regions 388 adjacent to the support region 382. The outer regions 388 are generally bounded by a top surface 392 that may be substantially parallel to the bottom surface 350, an edge surface 320, the containment surface 360, a portion 322 of the bottom surface 350, and the boundary surfaces 306. The top surface 392 may have a flat portion 362, as shown in FIG. 3 such that the substrate carrier 132 has a periphery that matches a flatted wafer substrate. Alternatively, the periphery may have a notch to match the periphery of a notched wafer substrate. The outer regions 388 typically have a thickness 394 that is sufficiently large such that the substrate 380 does not extend above the top surface 392. The outer regions 388 typically have a thickness 394 that is sufficiently small such that the substrate carrier 132 does not interfere with the function of other components within the chamber 112, e.g. slit valve, robot arm, lift mechanism and the like. The thickness 394 of the outer regions 388 may be, for example, in the range of about 0.25 centimeters to about 0.65 centimeters.
  • The support region 382 generally comprises a material that is resistant to degradation when exposed to various environmental conditions within the chamber 112. These environmental conditions may be, for example, temperatures in excess of about 200 degrees Celsius, exposure to high frequency power of up to about 7 watts per square centimeters, and damage from contact with corrosive gases such as fluorinated gases, including hydrogen fluoride (HF). The support region 382 generally comprises a dielectric material that is capable of maintaining an electrostatic charge on the support surface 356. In this manner, the substrate carrier 132 and the substrate 380 may be held in place on the underlying electrostatic chuck 124. The support region 382 may comprise a material with high thermal conductivity.
  • In one embodiment of the invention, the support region 382 comprises a ceramic material, such as, for example, silicon carbide, aluminum oxide, silicon nitride, or combinations thereof. The ceramic material may be formed by various methods, such as, for example, hot isostatic pressing, dry-pressing, among other methods known to the art of ceramics processing. In one embodiment of the invention, the ceramic material is formed by fabricating a porous, graphite-based material and partially or completely reacting the graphite-based material to form a silicon carbide material. Products made by this process are available from Poco Graphite Inc., of Decatur, Tex.
  • In another embodiment of the invention, the support region 382 comprises a metallic material having a dielectric coating 387 formed on the support surface 356. The dielectric coating 387 may comprise, for example, an oxide, a nitride, or other dielectric material. The support region 382 and the outer regions 388 may be formed by pressing a single piece of ceramic material into a desired shape. Alternatively, the support region 382 and the outer regions 388 may be formed as separate units and later joined together by sintering the separate units together or other joining methods known to the art of ceramics or metals processing, such as welding, diffusion bonding, among other joining methods.
  • FIG. 5 depicts the cross-sectional view of the substrate carrier 132 of FIG. 4, showing additional features thereof. The support region 382 may comprise a porous material having pores 302 (exaggerated in size for clarity). The porous material may have open porosity, i.e. porosity that is open to an outer surface such as the support surface 356 or the bottom surface 350. In one embodiment of the invention, the support region 382 comprises a material with an open porosity in the range of about 1% to about 20% by volume. The porosity of the support region 382 may be such that a thermal fluid or gas may percolate from the bottom surface 350, through the pores 302 in the support region 382, to the support surface 356. The thermal fluid is retained beneath the substrate 380 and in the pores 302 to enhance thermal conductivity to and from the substrate 380.
  • Typically the porosity within the support region 382 is such that no direct line-of-sight path exists between the support surface 356 and the bottom surface 384. In other words, the pores 302 are sufficiently tortuous and windy such that the length of the pores 302 are considerably greater than the thickness 386 of the support region 382. This property of the pores 302 is particularly beneficial for the case in which a plurality of holes must be etched through the substrate 380. Because some holes may be etched through areas of the substrate 380 prior to other holes, an etch process may be intentionally designed to “over-etch” the substrate 380. Once the substrate 380 is etched through, aggressive etchant gas that may be traveling, for example, perpendicular to the substrate 380 would be available to travel through the pores 302 to react with, and perhaps damage, the underlying chuck 124. By having tortuous and windy pores 302 with no line-of-sight distance between the bottom surface 350 and the support surface 356, the likelihood of the etchant gas reaching the chuck 124 is reduced or eliminated.
  • In another embodiment of the invention shown in a top plan view in FIG. 10A and a cross-section view in FIG. 10B, the entire carrier 1000 or only the support region 382 may be fabricated of aluminum. The aluminum is generally anodized. The support region 382 comprises a plurality of channels 1002 drilled through the support region 382 on an angle. The angle 1004 is defined by the thickness of the support region 382 and the need to ensure that the channels do not provide a line of site path through the support region. As such, the top of the angled channel is offset from the bottom of the angled channel such that a vertical path (perpendicular to the surface of the support region) is not possible. Such an angle prevents etchant gases, that generally travel in a path that is perpendicular to the wafer surface, from impacting the surface of the underlying chuck. The channels are sized to enable backside cooling gas (typically helium) to flow from the chuck surface to the backside surface of the substrate. The channel diameter is exaggerated in FIGS. 10A and 10B for clarity.
  • The support region 382 of any of the forgoing embodiments of the carrier may comprise an indicator 393 for determining when the substrate 380 within the recess 354 has been etched through. The indicator 393 may be a chemical or material that is embedded within the support region 382 or deposited on the support surface 356. For those embodiments of the invention in which the dielectric coating 387 is formed on the support surface 356, the indicator 393 may be deposited on the dielectric coating 387. The indicator 393 reacts with, for example, an etchant gas to form a product such as a gaseous product. The product may be detected by an endpoint detection system (not shown) that may include, for example, optical or chemical sensors for detecting the presence of the product generated by the indicator 393 and the etchant gas, thereby determining the point of completion of the etch-through process.
  • The outer regions 388 may comprise a ceramic material. In one embodiment of the invention, the outer regions 388 comprise a porous material as described above with reference to support region 382. In this embodiment of the invention, the outer regions 388 may have a coating 395 formed on the top surface 392 as well as on the containment surface 360. The composition of the coating 395 may comprise a material that is chemically resistant to process gases that are introduced into the chamber 112. For example, for embodiments of the invention in which a fluorinated gas, such as hydrogen fluoride (HF), is introduced into the chamber 112, the coating 395 may comprise, for example, aluminum oxide (Al2O3), sapphire, a perfluoroalkoxy material, a polytetrafluoroethylene material (e.g. Teflon® available from E.I. du Pont de Nemours and Company of Wilmington, Del.), among other materials. The coating 395 generally improves the durability of the outer regions 388 by, for example, protecting the outer regions 388 from degradation from process gases. In an alternate embodiment of the invention, the outer regions 388 may comprise a densified material with less open porosity than the support region 382.
  • In one embodiment of the invention, the substrate carrier 132 includes optional channels 398 formed through the support region 382. The optional channels 398 allow lift pins (not shown) to move through the substrate carrier 132 to facilitate the raising and lowering of the substrate 380 within the chamber 112.
  • FIGS. 3-5 depict a substrate carrier 132 having the recess 354 that is designed to accommodate a single, substantially-circularly shaped substrate. Alternatively, a substrate carrier may have multiple recesses or non-circular recesses (e.g. rectangular or square recesses). FIG. 6 depicts a top view of one embodiment of the substrate carrier 532, and FIG. 7 depicts a cross-sectional view of the substrate carrier 532 of FIG. 6 with the cross-section taken along line 7-7 of FIG. 6. The substrate carrier 532 has a plurality of square recesses 554 (a specific type of rectangular recess). The square recesses 554 are generally defined by a bottom surface 556 and containment surfaces 560.
  • Each of the square recesses 554 has a length 558. The length 558 may be the same for all of the square recesses 554, or the length 558 may vary amongst the various square recesses 554 on the substrate carrier 532. The length 558 may be in the range of, for example, about 10 millimeters to about 20 millimeters. The square recesses 554 generally have a depth 562 that is greater than a thickness 586 of a support region 586. The depth 562 may be, for example, about 0.025 centimeters to about 0.13 centimeters. Rays parallel to the containment surface 560 and the bottom surface 556 generally define an angle 570 that may be, for example, at least about 100 degrees. The square recesses 554 each accommodate a substrate (such as substrate 580 shown in phantom in FIG. 7) that are generally square when viewed from the top. The substrate 580 may be, for example, a semiconductor die.
  • The substrate carrier 532 generally includes support regions 582 and the outer regions 588. The composition, porosity, and other properties of the support regions 582 and the outer regions 588 may be similar to those of the corresponding support regions 382 and the outer regions 388 of the substrate carrier 132 detailed in FIG. 5. One or more surfaces, such as a top surface 592, an edge surface 520, and containment surfaces 560 may have a protective coating (such as the coating 395 shown in FIG. 5) formed thereon to protect the surfaces from, for example, process gases that may otherwise come into contact with said surfaces.
  • Method of Using the Substrate Carrier
  • The substrate carrier of the present invention may be used to facilitate the processing of one or more substrates of varying dimensions and shapes in a processing chamber that is designed to process conventional larger wafer substrates. The conventional wafer substrates may be semiconductor wafers, having a substantially circular shape and a diameter that may be about eight inches (200 millimeters) or about twelve inches (300 millimeters).
  • FIG. 8 depicts a processing system 20 in which substrates are processed. Processing system 20 includes a plurality of process chambers 38 and a central transfer chamber 36. Inside each of the process chambers 38, substrates may be subjected to a variety of processing operations such as, for example, thin film deposition processing, etching and etch-through processing, oxidation, thermal processing, lithographic processing, among other processing operations.
  • In general one or more substrates are provided to a transfer chamber 36 from a load lock chamber 34. In one embodiment, a substrate carrier, such as the substrate carrier 132 or the substrate carrier 532, having one or more substrates placed within recesses therein, is provided to a substrate handling robot 39. The substrate handling robot 39 moves the substrate carrier between the load lock chamber 34 and the processing chamber 38. Referring to FIGS. 1 and 8, within the processing chamber, lift pins may be raised through the channels 160 within the pedestal 122 and the chuck 124. The substrate carrier is transferred onto the lift pins from the substrate handling robot, and the pedestal assembly 123 is raised such that the substrate carrier is directed onto the chuck 124. The substrate carrier may thereafter be secured onto the chuck by an electrostatic chucking force. The backside gas (thermal fluid) is then applied to the bottom surface 350 of the substrate carrier 132. The thermal fluid percolates to the support surface 356 of the recess 354 to insure thermal conductivity between the substrate 380 and the chuck 124. One or more processing operations, such as, for example, etch-through operations, may be performed on the substrate or material layers thereon. In this embodiment of the invention, a substrate carrier without channels such as the optional channels 398 formed through the support region 382 may be used. After performing the one or more processing operations within the processing chamber 38, the substrate carrier and one or more substrates thereon are removed from the processing chamber 38 by the substrate handling robot 39.
  • In an alternate embodiment of the invention, a substrate is provided to the substrate handling robot 39. The substrate handling robot 39 moves the substrate between the load lock chamber 34 and the processing chamber 38. The processing chamber 38 may be, for example, an etch processing chamber, such as the chamber 112 of FIG. 1. Within the processing chamber 38, lift pins (not shown) may be raised through the channels 160 within the pedestal 122, the chuck 124, and the substrate carrier 132. The substrate is transferred onto the lift pins from the substrate handling robot 39, and the substrate is directed into one or more recesses such as the recess 354 shown in FIG. 4 or the recesses 554 shown in FIG. 6 in the substrate carrier. The substrate may be held in position by providing, for example, an electrostatic chucking force. One or more processing operations, such as, for example, etch-through operations, may be performed on the substrate or material layers thereon. After performing the one or more processing operations, the substrate is removed from the processing chamber 39 by the substrate handling robot 39.
  • In one embodiment of the invention, as depicted in FIG. 9, a process gas such as, for example, silicon hexafluoride (SiF6), hydrogen fluoride (HF), nitrogen trifluoride (NF3), xenon difluoride (XeF2), is provided to a processing chamber such as chamber 112 of FIG. 1. The process chamber includes a substrate support 924. The substrate support 924 may comprise, for example a pedestal, such as the pedestal 122 and a chuck such as the chuck 124. A substrate carrier 932 and a substrate 900 rests on a support surface 956 of substrate carrier 932, as shown in FIG. 9 a. The substrate 900 may be, for example, a silicon die or a silicon wafer. A material layer 902, such as an oxide may be provided atop the substrate 900. An etch resist 906 is formed and patterned on the material layer 902 using conventional photoresist processing methods. The process gas etches portions of the material layer 902 and portions of the substrate 900 that are not protected by the etch resist 906. The etching continues until an endpoint, as indicated in FIG. 9 b, a time at which the process gas etches through the material layer 902 and the substrate 900 creating two substrate regions 900 a and 900 b as well as two material layer regions 902 a and 902 b. An opening 904 thus formed uncovers a portion 956 b of the support surface 956. The substrate carrier 932 protects the substrate support 924 from being etched by the process gas.
  • The endpoint may be determined by using, for example, an endpoint detection system that includes, for example, optical and/or chemical sensors to determine whether the portion 956 b of the support surface 956 has been uncovered and no further etching is desired. In one embodiment of the invention, an indicator 993 (shown in phantom) located within or deposited on a support region 956 reacts with the process gas and produces a product that may be detected by the endpoint detection system.
  • While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (25)

1. A substrate carrier for carrying one or more substrates comprising:
a bottom surface configured to be separable from a substrate support of a process chamber via lift pins;
a top surface opposed to the bottom surface; and
one or more recesses formed into the top surface, each of the one or more recesses having a support surface that defines a support region for a substrate, wherein the support region comprises is a porous material and is adapted to contact a bottom of the substrate.
2. The substrate carrier of claim 1, wherein the support region is between the bottom surface and the support surface, and wherein the support region has a thickness less than a depth of the one or more recesses.
3. The substrate carrier of claim 1, wherein the porous material has an open porosity between about 1 percent and about 20 percent.
4. The substrate carrier of claim 1, wherein the porous material comprises a material selected from the group consisting of silicon carbide, silicon nitride, aluminum oxide, a metallic material, and combinations thereof.
5. The substrate carrier of claim 1 wherein the support region comprises an indicator for determining when the substrate has been etched through.
6. The substrate carrier of claim 1 wherein one of the one or more recesses have a substantially rectangular support surface.
7. The substrate carrier of claim 1, wherein the support region is more reactive to etchants than the top surface.
8. A substrate carrier for carrying one or more substrates comprising:
a bottom surface configured to sit on an upper surface of a substrate support of a processing chamber;
a top surface opposed to and substantially parallel to the bottom surface;
an edge surface circumscribing the top surface and the bottom surface; and
one or more recesses formed into the top surface, the one or more recesses having a porous support surface that defines a support region for a substrate.
9. The substrate carrier of claim 8 wherein the support region is between the bottom surface and the support surface, and wherein the support region has a thickness less than a depth of the one or more recesses.
10. The substrate carrier of claim 8, wherein the porous material has an open porosity between about 1 percent and about 20 percent.
11. The substrate carrier of claim 8 wherein the support region has a thickness between about 0.02 centimeters and about 0.8 centimeters.
12. The substrate carrier of claim 8 wherein the support region comprises an indicator for determining when the substrate has been etched through.
13. The substrate carrier of claim 16 further comprising outer regions bounded by the top surface and the bottom surface and coupled to the support region, wherein the outer regions comprise a material having an open porosity less than the open porosity of the porous material in the support region.
14. The substrate carrier of claim 8 wherein one or more surfaces selected from the group consisting of the top surface, the edge surface, the containment surface, and combinations thereof have a protective coating formed thereon.
15. The substrate carrier of claim 14 wherein the protective coating comprises a material selected from the list consisting of alumina, sapphire, a polytetrafluoroethylene material, a perfluoroalkoxy material, and combinations thereof.
16. The substrate carrier of claim 15, wherein the support region further comprises:
a material disposed on or embedded in the support region which, when exposed through the substrate during etching, has a characteristic detectable by an etch endpoint detection system as indicative of breakthrough of the substrate.
17. The substrate carrier of claim 8, wherein the support region is reactive with a fluorinated gas.
18. The substrate carrier of claim 8, wherein the support region is more reactive to etchants than the top surface.
19. The substrate carrier of claim 18, wherein the support region further comprises:
a material disposed on or embedded in the support region which, when exposed through the substrate during etching, has a characteristic detectable by an etch endpoint detection system as indicative of breakthrough of the substrate.
20. The substrate carrier of claim 18, wherein the support region is reactive with a fluorinated gas.
21. A substrate carrier for carrying one or more substrates comprising:
a bottom surface;
a top surface opposite the bottom surface, the top surface comprised of a material substantially non-reactive to fluorinated etchants; and
one or more recesses formed in the top surface and having a substrate support surface comprised of a material reactive to fluorinated etchants.
22. The substrate carrier of claim 21, wherein the substrate support region further comprises:
at least one gas passage formed therethrough.
23. The substrate carrier of claim 21, wherein the top surface is comprised of a ceramic material.
24. The substrate carrier of claim 21 further comprising:
a coating disposed on the top surface, wherein the coating is at least one of aluminum oxide, sapphire, a perfluoroalkoxy material or a polytetrafluoroethylene material.
25. The substrate carrier of claim 21, wherein the top surface is comprised of an aluminum material.
US11/175,750 2002-05-22 2005-07-06 Substrate carrier for processing substrates Abandoned US20050241771A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/175,750 US20050241771A1 (en) 2002-05-22 2005-07-06 Substrate carrier for processing substrates

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38255702P 2002-05-22 2002-05-22
US10/267,824 US20030219986A1 (en) 2002-05-22 2002-10-08 Substrate carrier for processing substrates
US11/175,750 US20050241771A1 (en) 2002-05-22 2005-07-06 Substrate carrier for processing substrates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/267,824 Continuation US20030219986A1 (en) 2002-05-22 2002-10-08 Substrate carrier for processing substrates

Publications (1)

Publication Number Publication Date
US20050241771A1 true US20050241771A1 (en) 2005-11-03

Family

ID=29552939

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/267,824 Abandoned US20030219986A1 (en) 2002-05-22 2002-10-08 Substrate carrier for processing substrates
US11/175,750 Abandoned US20050241771A1 (en) 2002-05-22 2005-07-06 Substrate carrier for processing substrates

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/267,824 Abandoned US20030219986A1 (en) 2002-05-22 2002-10-08 Substrate carrier for processing substrates

Country Status (1)

Country Link
US (2) US20030219986A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080220622A1 (en) * 2007-03-09 2008-09-11 Daniel Goodman Substrate processing pallet with cooling
US20100264115A1 (en) * 2007-02-09 2010-10-21 Tokyo Electron Limited Placing bed structure, treating apparatus using the structure, and method for using the apparatus
US20110021031A1 (en) * 2007-10-31 2011-01-27 Taylor Travis R High lifetime consumable silicon nitride-silicon dioxide plasma processing components
WO2015171207A1 (en) * 2014-05-09 2015-11-12 Applied Materials, Inc. Substrate carrier system and method for using the same

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849471B2 (en) 2003-03-28 2005-02-01 Reflectivity, Inc. Barrier layers for microelectromechanical systems
US6942811B2 (en) * 1999-10-26 2005-09-13 Reflectivity, Inc Method for achieving improved selectivity in an etching process
US6960305B2 (en) * 1999-10-26 2005-11-01 Reflectivity, Inc Methods for forming and releasing microelectromechanical structures
US7019376B2 (en) * 2000-08-11 2006-03-28 Reflectivity, Inc Micromirror array device with a small pitch size
AU2002303842A1 (en) * 2001-05-22 2002-12-03 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US7027200B2 (en) * 2002-03-22 2006-04-11 Reflectivity, Inc Etching method used in fabrications of microstructures
US6965468B2 (en) * 2003-07-03 2005-11-15 Reflectivity, Inc Micromirror array having reduced gap between adjacent micromirrors of the micromirror array
JP4694201B2 (en) 2002-09-20 2011-06-08 インテグレイテッド ディーエヌエイ テクノロジーズ インコーポレイテッド Anthraquinone quenching dyes, their production and use
US6913942B2 (en) 2003-03-28 2005-07-05 Reflectvity, Inc Sacrificial layers for use in fabrications of microelectromechanical devices
US6980347B2 (en) * 2003-07-03 2005-12-27 Reflectivity, Inc Micromirror having reduced space between hinge and mirror plate of the micromirror
US7645704B2 (en) * 2003-09-17 2010-01-12 Texas Instruments Incorporated Methods and apparatus of etch process control in fabrications of microstructures
US20070217119A1 (en) * 2006-03-17 2007-09-20 David Johnson Apparatus and Method for Carrying Substrates
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
TWM546597U (en) * 2015-10-12 2017-08-01 應用材料股份有限公司 Substrate carrier for holding substrate
US20190259647A1 (en) * 2018-02-17 2019-08-22 Applied Materials, Inc. Deposition ring for processing reduced size substrates
US11196360B2 (en) 2019-07-26 2021-12-07 Applied Materials, Inc. System and method for electrostatically chucking a substrate to a carrier

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4889998A (en) * 1987-01-29 1989-12-26 Nikon Corporation Apparatus with four light detectors for checking surface of mask with pellicle
US4926489A (en) * 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US5443649A (en) * 1994-11-22 1995-08-22 Sibley; Thomas Silicon carbide carrier for wafer processing in vertical furnaces
US5468112A (en) * 1992-10-05 1995-11-21 Tokyo Electron Limited Wafer container and wafer aligning apparatus
US5538230A (en) * 1994-08-08 1996-07-23 Sibley; Thomas Silicon carbide carrier for wafer processing
US5560780A (en) * 1993-04-22 1996-10-01 Applied Materials, Inc. Protective coating for dielectric material on wafer support used in integrated circuit processing apparatus and method of forming same
US5584932A (en) * 1995-04-12 1996-12-17 Nordson Corporation Electrical control circuit for controlling the speed and position of a rotary screen coater with respect to the line speed and position of a moving web
US5750003A (en) * 1996-05-20 1998-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Chuck for holding semiconductor photolithography masks
US5801545A (en) * 1995-07-14 1998-09-01 Tokyo Electron Limited LCD testing apparatus
US6026589A (en) * 1998-02-02 2000-02-22 Silicon Valley Group, Thermal Systems Llc Wafer carrier and semiconductor apparatus for processing a semiconductor substrate
US6040096A (en) * 1995-12-19 2000-03-21 Nikon Corporation Mask substrate, projection exposure apparatus equipped with the mask substrate, and a pattern formation method utilizing the projection exposure apparatus
US6237979B1 (en) * 1996-05-17 2001-05-29 Micron Technology, Inc. Wafer carrier
US6251217B1 (en) * 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US20010011526A1 (en) * 1997-03-03 2001-08-09 Kenneth Doering Processing chamber for atomic layer deposition processes
US6277763B1 (en) * 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6315833B1 (en) * 1999-07-01 2001-11-13 Applied Materials, Inc. Silicon carbide sleeve for substrate support assembly
US6355716B1 (en) * 1996-01-11 2002-03-12 Teijin Limited Silicon wafer carrier
US6606234B1 (en) * 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4926489A (en) * 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4889998A (en) * 1987-01-29 1989-12-26 Nikon Corporation Apparatus with four light detectors for checking surface of mask with pellicle
US5468112A (en) * 1992-10-05 1995-11-21 Tokyo Electron Limited Wafer container and wafer aligning apparatus
US5560780A (en) * 1993-04-22 1996-10-01 Applied Materials, Inc. Protective coating for dielectric material on wafer support used in integrated circuit processing apparatus and method of forming same
US5538230A (en) * 1994-08-08 1996-07-23 Sibley; Thomas Silicon carbide carrier for wafer processing
US5443649A (en) * 1994-11-22 1995-08-22 Sibley; Thomas Silicon carbide carrier for wafer processing in vertical furnaces
US5584932A (en) * 1995-04-12 1996-12-17 Nordson Corporation Electrical control circuit for controlling the speed and position of a rotary screen coater with respect to the line speed and position of a moving web
US5801545A (en) * 1995-07-14 1998-09-01 Tokyo Electron Limited LCD testing apparatus
US6040096A (en) * 1995-12-19 2000-03-21 Nikon Corporation Mask substrate, projection exposure apparatus equipped with the mask substrate, and a pattern formation method utilizing the projection exposure apparatus
US6355716B1 (en) * 1996-01-11 2002-03-12 Teijin Limited Silicon wafer carrier
US6237979B1 (en) * 1996-05-17 2001-05-29 Micron Technology, Inc. Wafer carrier
US5750003A (en) * 1996-05-20 1998-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Chuck for holding semiconductor photolithography masks
US20010011526A1 (en) * 1997-03-03 2001-08-09 Kenneth Doering Processing chamber for atomic layer deposition processes
US6026589A (en) * 1998-02-02 2000-02-22 Silicon Valley Group, Thermal Systems Llc Wafer carrier and semiconductor apparatus for processing a semiconductor substrate
US6251217B1 (en) * 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US6315833B1 (en) * 1999-07-01 2001-11-13 Applied Materials, Inc. Silicon carbide sleeve for substrate support assembly
US6277763B1 (en) * 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6606234B1 (en) * 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100264115A1 (en) * 2007-02-09 2010-10-21 Tokyo Electron Limited Placing bed structure, treating apparatus using the structure, and method for using the apparatus
US9177846B2 (en) * 2007-02-09 2015-11-03 Tokyo Electron Limited Placing bed structure, treating apparatus using the structure, and method for using the apparatus
US10388557B2 (en) 2007-02-09 2019-08-20 Tokyo Electron Limited Placing bed structure, treating apparatus using the structure, and method for using the apparatus
US20080220622A1 (en) * 2007-03-09 2008-09-11 Daniel Goodman Substrate processing pallet with cooling
US20110024964A1 (en) * 2007-03-09 2011-02-03 Nexx Systems, Inc. Substrate processing pallet with cooling
US9147588B2 (en) 2007-03-09 2015-09-29 Tel Nexx, Inc. Substrate processing pallet with cooling
US20110021031A1 (en) * 2007-10-31 2011-01-27 Taylor Travis R High lifetime consumable silicon nitride-silicon dioxide plasma processing components
US8622021B2 (en) * 2007-10-31 2014-01-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
WO2015171207A1 (en) * 2014-05-09 2015-11-12 Applied Materials, Inc. Substrate carrier system and method for using the same
US10153191B2 (en) 2014-05-09 2018-12-11 Applied Materials, Inc. Substrate carrier system and method for using the same

Also Published As

Publication number Publication date
US20030219986A1 (en) 2003-11-27

Similar Documents

Publication Publication Date Title
US20050241771A1 (en) Substrate carrier for processing substrates
US10934216B2 (en) Rare-earth oxide based chamber material
US11476146B2 (en) Substrate support assembly with deposited surface features
US8402918B2 (en) Showerhead electrode with centering feature
KR101986682B1 (en) Substrate support assembly having metal bonded protective layer
US4838990A (en) Method for plasma etching tungsten
US7128806B2 (en) Mask etch processing apparatus
US11302520B2 (en) Chamber apparatus for chemical etching of dielectric materials
US20030217693A1 (en) Substrate support assembly having an edge protector
US11948790B2 (en) Heater support kit for bevel etch chamber
US20050211375A1 (en) Method of manufacturing a semiconductor device
US7425510B2 (en) Methods of cleaning processing chamber in semiconductor device fabrication equipment
US6595370B2 (en) Apparatus and method for reducing contamination in a wafer transfer chamber
US20110126852A1 (en) Electrostatic chuck with an angled sidewall
US20070218197A1 (en) Vacuum processing system and method of making
KR20220119139A (en) Methods for Etching Material Layers for Semiconductor Applications
US20230086917A1 (en) Integrated cleaning process for substrate etching
CN114026673A (en) Heater support kit for bevel etch chamber

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RATTNER, MICHAEL B.;GUENTHER, ROLF A.;CHINN, JEFFREY D.;REEL/FRAME:016774/0410;SIGNING DATES FROM 20020925 TO 20021004

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION