TW202006784A - 用於整合型頭尾相接式區域選擇性沉積製程之操作站台及方法 - Google Patents
用於整合型頭尾相接式區域選擇性沉積製程之操作站台及方法 Download PDFInfo
- Publication number
- TW202006784A TW202006784A TW108109424A TW108109424A TW202006784A TW 202006784 A TW202006784 A TW 202006784A TW 108109424 A TW108109424 A TW 108109424A TW 108109424 A TW108109424 A TW 108109424A TW 202006784 A TW202006784 A TW 202006784A
- Authority
- TW
- Taiwan
- Prior art keywords
- work piece
- target surface
- measurement
- modules
- additive material
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 451
- 238000005137 deposition process Methods 0.000 title description 7
- 239000000463 material Substances 0.000 claims abstract description 235
- 238000004519 manufacturing process Methods 0.000 claims abstract description 169
- 239000000654 additive Substances 0.000 claims abstract description 164
- 230000000996 additive effect Effects 0.000 claims abstract description 161
- 238000005530 etching Methods 0.000 claims abstract description 101
- 230000008021 deposition Effects 0.000 claims abstract description 99
- 238000012546 transfer Methods 0.000 claims abstract description 95
- 239000004065 semiconductor Substances 0.000 claims abstract description 41
- 230000009471 action Effects 0.000 claims abstract description 32
- 238000005259 measurement Methods 0.000 claims description 328
- 230000008569 process Effects 0.000 claims description 308
- 239000010410 layer Substances 0.000 claims description 132
- 238000000151 deposition Methods 0.000 claims description 130
- 229910052751 metal Inorganic materials 0.000 claims description 97
- 239000002184 metal Substances 0.000 claims description 96
- 238000007689 inspection Methods 0.000 claims description 87
- 230000005540 biological transmission Effects 0.000 claims description 75
- 239000002094 self assembled monolayer Substances 0.000 claims description 75
- 239000013545 self-assembled monolayer Substances 0.000 claims description 75
- 239000010408 film Substances 0.000 claims description 68
- 239000010409 thin film Substances 0.000 claims description 58
- 238000012937 correction Methods 0.000 claims description 38
- 230000007547 defect Effects 0.000 claims description 38
- 238000007781 pre-processing Methods 0.000 claims description 36
- 239000003989 dielectric material Substances 0.000 claims description 33
- 230000010354 integration Effects 0.000 claims description 32
- 230000004888 barrier function Effects 0.000 claims description 21
- 230000008859 change Effects 0.000 claims description 11
- 230000015572 biosynthetic process Effects 0.000 claims description 6
- 239000011229 interlayer Substances 0.000 claims description 5
- 229910044991 metal oxide Inorganic materials 0.000 claims description 5
- 150000004706 metal oxides Chemical class 0.000 claims description 5
- 238000001465 metallisation Methods 0.000 claims description 2
- 238000009740 moulding (composite fabrication) Methods 0.000 claims 1
- 238000012552 review Methods 0.000 claims 1
- 238000012545 processing Methods 0.000 abstract description 40
- 239000000758 substrate Substances 0.000 description 50
- 230000007246 mechanism Effects 0.000 description 43
- 230000000903 blocking effect Effects 0.000 description 38
- 239000007789 gas Substances 0.000 description 38
- 235000012431 wafers Nutrition 0.000 description 18
- 238000002203 pretreatment Methods 0.000 description 15
- 230000008439 repair process Effects 0.000 description 11
- 230000003287 optical effect Effects 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 9
- 238000011144 upstream manufacturing Methods 0.000 description 9
- 238000011065 in-situ storage Methods 0.000 description 8
- 239000011261 inert gas Substances 0.000 description 8
- 238000004458 analytical method Methods 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 6
- 230000001590 oxidative effect Effects 0.000 description 6
- 238000004886 process control Methods 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 230000003647 oxidation Effects 0.000 description 5
- 238000007254 oxidation reaction Methods 0.000 description 5
- 238000000059 patterning Methods 0.000 description 5
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 4
- 238000010923 batch production Methods 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 238000001514 detection method Methods 0.000 description 4
- 238000004969 ion scattering spectroscopy Methods 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- -1 residue Substances 0.000 description 4
- 239000000523 sample Substances 0.000 description 4
- 238000012360 testing method Methods 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 230000001427 coherent effect Effects 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 230000036961 partial effect Effects 0.000 description 3
- 239000011148 porous material Substances 0.000 description 3
- 229910000077 silane Inorganic materials 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 238000004846 x-ray emission Methods 0.000 description 3
- CFAKWWQIUFSQFU-UHFFFAOYSA-N 2-hydroxy-3-methylcyclopent-2-en-1-one Chemical compound CC1=C(O)C(=O)CC1 CFAKWWQIUFSQFU-UHFFFAOYSA-N 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 2
- 238000000560 X-ray reflectometry Methods 0.000 description 2
- 230000001133 acceleration Effects 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 238000013473 artificial intelligence Methods 0.000 description 2
- 238000007405 data analysis Methods 0.000 description 2
- 238000013480 data collection Methods 0.000 description 2
- 238000013135 deep learning Methods 0.000 description 2
- 230000001066 destructive effect Effects 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- 238000000572 ellipsometry Methods 0.000 description 2
- 238000002149 energy-dispersive X-ray emission spectroscopy Methods 0.000 description 2
- 230000008713 feedback mechanism Effects 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000007654 immersion Methods 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000012625 in-situ measurement Methods 0.000 description 2
- 230000002401 inhibitory effect Effects 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000005305 interferometry Methods 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 229920005989 resin Polymers 0.000 description 2
- 239000011347 resin Substances 0.000 description 2
- 238000001338 self-assembly Methods 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 238000004611 spectroscopical analysis Methods 0.000 description 2
- 239000002335 surface treatment layer Substances 0.000 description 2
- 238000000427 thin-film deposition Methods 0.000 description 2
- NFDGIVYUTNOJBI-UHFFFAOYSA-N 1,1,2,2,3,3,4,4,5,5,6,6,7,7,8,8,9,9,10,10,11,11,12,12,12-pentacosafluorododecane-1-thiol Chemical compound FC(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)S NFDGIVYUTNOJBI-UHFFFAOYSA-N 0.000 description 1
- VXNZUUAINFGPBY-UHFFFAOYSA-N 1-Butene Chemical compound CCC=C VXNZUUAINFGPBY-UHFFFAOYSA-N 0.000 description 1
- 239000001837 2-hydroxy-3-methylcyclopent-2-en-1-one Substances 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 244000132059 Carica parviflora Species 0.000 description 1
- 235000014653 Carica parviflora Nutrition 0.000 description 1
- 229910002601 GaN Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- 238000004566 IR spectroscopy Methods 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- 208000012868 Overgrowth Diseases 0.000 description 1
- ABLZXFCXXLZCGV-UHFFFAOYSA-N Phosphorous acid Chemical compound OP(O)=O ABLZXFCXXLZCGV-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 238000002441 X-ray diffraction Methods 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 108010038083 amyloid fibril protein AS-SAM Proteins 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- 238000009529 body temperature measurement Methods 0.000 description 1
- IAQRGUVFOMOMEM-UHFFFAOYSA-N butene Natural products CC=CC IAQRGUVFOMOMEM-UHFFFAOYSA-N 0.000 description 1
- MXOSTENCGSDMRE-UHFFFAOYSA-N butyl-chloro-dimethylsilane Chemical group CCCC[Si](C)(C)Cl MXOSTENCGSDMRE-UHFFFAOYSA-N 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- DLLABNOCKQMTEJ-UHFFFAOYSA-N chloro-dodecyl-dimethylsilane Chemical compound CCCCCCCCCCCC[Si](C)(C)Cl DLLABNOCKQMTEJ-UHFFFAOYSA-N 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000012938 design process Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000001941 electron spectroscopy Methods 0.000 description 1
- 238000004993 emission spectroscopy Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 230000031700 light absorption Effects 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000010801 machine learning Methods 0.000 description 1
- 238000004949 mass spectrometry Methods 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 238000000691 measurement method Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 238000012634 optical imaging Methods 0.000 description 1
- 230000005693 optoelectronics Effects 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000010363 phase shift Effects 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- UEZVMMHDMIWARA-UHFFFAOYSA-M phosphonate Chemical compound [O-]P(=O)=O UEZVMMHDMIWARA-UHFFFAOYSA-M 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 238000000711 polarimetry Methods 0.000 description 1
- 230000010287 polarization Effects 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920001296 polysiloxane Polymers 0.000 description 1
- 238000001314 profilometry Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 230000000246 remedial effect Effects 0.000 description 1
- 239000005871 repellent Substances 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 1
- 238000012883 sequential measurement Methods 0.000 description 1
- 230000001568 sexual effect Effects 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 238000005549 size reduction Methods 0.000 description 1
- 150000003384 small molecules Chemical class 0.000 description 1
- 238000010183 spectrum analysis Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- 125000003396 thiol group Chemical group [H]S* 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 230000007723 transport mechanism Effects 0.000 description 1
- AKIOHULKHAVIMI-UHFFFAOYSA-N trichloro(1,1,2,2,3,3,4,4,5,5,6,6,7,7,8,8,9,9,10,10,11,11,12,12,12-pentacosafluorododecyl)silane Chemical compound FC(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)[Si](Cl)(Cl)Cl AKIOHULKHAVIMI-UHFFFAOYSA-N 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
- 238000004876 x-ray fluorescence Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67173—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
- H01L21/02639—Preparation of substrate for selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67184—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67745—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/30—Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
- H01L22/12—Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
- Drying Of Semiconductors (AREA)
Abstract
提供利用在容納複數製程模組之共同製造站台上執行之製程步驟的整合程序於半導體工作件上區域選擇性沉積的方法,其中複數製程模組包含薄膜形成模組、蝕刻模組、及傳送模組。將該工作件接收至該共同製造站台中,該工作件具有一第一材料的一目標表面及不同於該第一材料之一第二材料的非目標表面。將一添加物材料沉積至該工作件上然後蝕刻暴露該非目標表面,該添加物材料層在該目標表面上之形成的沉積速率係高於在該非目標表面上的形成沉積速率。該製程步驟的該整合程序係於該共同製造站台內執行而未離開受控環境,該傳送模組係用以在該複數製程模組之間傳送該工作件並使該工作件維持在該受控環境內。製程步驟包含檢視該工作件並基於偵測到的非合規性採取修正動作。
Description
本發明係關於利用站台之半導體製造站台及方法,尤其關於區域選擇性沉積(ASD)之方法。
[相關案件]
本申請案主張下列案件為優先權母案:2018年3月20日申請之名為 「Substrate Processing Tool with Integrated Metrology and Method of Using」的美國專利臨時申請案US 62/645,685;2018年12月21日申請之名為「Platform and Method for Operating for Integrated End-to-End Area Selective Deposition Process」的美國專利臨時申請案US 62/784,155;2019年1月2日申請之名為「Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using same」的美國專利臨時申請案US 62/787,607;2019年1月2日申請之名為「Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using same」的美國專利臨時申請案US 62/787,608;及2019年1月4日申請之名為「Substrate Processing Tool with Integrated Metrology and Method of using」的美國專利臨時申請案US 62/788,195,將上述者之所有內容包含於此作為參考。
尺寸微縮為研發積體電路製程的一驅動力。藉著減少尺寸大小,可獲得成本優勢及裝置效能提昇。此縮放的能力在製程流程尤其是圖案化技術上產生無可避免的複雜度。例如,在製造更小的電路如電晶體時,圖案化特徵部的關鍵尺寸(CD)或解析度的製造尤其是大量製造會變得更有挑戰性。自我對準之圖案化需要取代受到疊對(overlay)驅動之圖案,俾使成本有成本效益的縮放能持續即便在導入極限紫外線(EUV)微影後仍能持續。在大量製造環境中需要能減少變異性、延長縮放及提昇CD與製程控制的圖案化選擇;然而,變得極難以合理的低成本及高良率製造經微縮的裝置。選擇性沉積及選擇性蝕刻一起可大幅降低與先進圖案化相關的成本。薄膜的選擇性沉積如間隙填充、特定基板上之介電材料與金屬的區域選擇性沉積、及選擇性的硬遮罩為高度微縮之技術節點中之圖案化中的關鍵步驟。
隨著裝置不斷地縮小為更小的特徵部且實施技術試著解決源於微縮的問題,下者是很重要的:在製程流程的各種階段處監測製造製程以判斷特徵部特性是否落在規格內,若未落在規格內則調整製程以使工作件落在規格內或使接續受到處理的工作件落在規格內。
在傳統的裝置製造中,利用許多分離獨立的大量製造設備進行製程步驟。晶圓係依序被載入一設備中受到設備中的一製程步驟,接著移除晶圓並將其送至周圍環境並等待被載入下一設備,以此類推直到完成特定製程流程的複數步驟。用於等待每一設備的時間被稱為Q-時間,高Q-時間會導致較低的製造速率。製程流程中的不同操作可能用到不同量的時間,因此設備的產量匹配為一製造挑戰。
製程流程中的每一設備可為一叢集式設備的一部分。例如,可將五個相同的蝕刻設備與一傳送設備集合在一起俾使在製程流程之一步驟處可同時蝕刻5片晶圓而達到高產量。此些叢集式設備的重覆提供若一設備因任何原因而無法使用時的優點。若具有5設備之叢集式設備中的一設備無法使用一個禮拜,則可持續製造但僅以80%的產量製造。是以,在製程流程中每一獨立的設備可為相同設備的叢集以避免一設備無法使用就讓製造完全停擺並可使用叢集而最小化產量匹配的挑戰。
在傳統的製程中,若需要量測來判斷製程是否在規格內操作,則可包含獨立的量測設備,其中自製程流程週期性地移除工作件以進行量測,量測通常為利用工作件上之量測墊的破壞性量測且可將量測結構反饋至製程流程設備以調整製程流程中的下游步驟或調整未來晶圓的上游步驟。此製程涉及暴露至周圍環境、等待量測設備變得可使用的Q-時間、及欲獲得之結構的冗長量測時間,以致於在獲得數據以反饋或前饋方式調整製程流程之前需要經過極長的時間。雖然在製程室中進行工作件特性的實時量測是理想的,但將量測裝置暴露至製程氣體會有問題,使得實時、原位量測及邏輯控制變得困難或不可能。
是以,使用大量製造之複數分離、獨立的設備(單一或叢集式)的傳統方案可能會導致一些問題,此些問題包含但不限於Q-時間氧化(即當晶圓在設備之間等待輪到其進入下一設備時,其可受到來自周圍環境的氧化)、來自在設備間之環境暴露的缺陷性、因產量匹配困難所造成的成本挑戰、暫時的設備漂移(如EPE)、實時腔室匹配(如良率及EPE)、及缺乏實時之工作件量測與製程控制。需要解決此些及其他問題,致具有區域選擇性沉積(ASD)技術的大量製造。
根據實施例,提供利用在容納複數製程模組之共同製造站台上所執行之製程步驟的整合程序以於半導體工作件上選擇性沉積的方法,其中複數製程模組包含一或多個薄膜形成模組、一或多個蝕刻模組、及一或多個傳送模組。在一實施例中,製程步驟的整合程序包含:將該工作件接收至該共同製造站台中,該工作件具有一第一材料的至少一目標表面及不同於該第一材料之一第二材料的至少一非目標表面;及在該一或多個薄膜形成模組中將一添加物材料沉積至該工作件上。該沉積相對於非目標表面具有選擇比,導致該添加物材料之一膜層相較於該非目標表面上而以較高的沉積速率形成在該目標表面上。該製程步驟的整合程序更包含:在該一或多個蝕刻模組中蝕刻該工作件以自該非目標表面移除非所欲的添加物材料;及重覆該沉積及蝕刻直到形成在該目標表面上之該添加物材料層達到一目標厚度。該製程步驟的該整合程序係於該共同製造站台內的一受控環境中執行而未離開該受控環境,該一或多個傳送模組係用以在該複數製程模組之間傳送該工作件並使該工作件維持在該受控環境內。
在一相關的實施例中,該製程步驟的整合程序更可包含在沉積該添加物材料層之前、或在後續的沉積步驟期間,以該共同製造站台上所容納的該複數製程模組前處理該工作件以改變一目標表面的一表面終端、或一非目標表面的一表面終端、或其組合,其中該複數製程模組包含用以在該受控環境中進行前處理的一或多個前處理模組。
在一實施例中,製程步驟的整合程序包含:將一工作件接收至該共同製造站台中,該工作件具有一第一材料的一目標表面及不同於該第一材料之一第二材料的一非目標表面;及在該一或多個薄膜形成模組中將一添加物材料沉積至該工作件上。該沉積相對於非目標表面具有選擇比,導致該添加物材料之一膜層相較於該非目標表面上而以較高的沉積速率形成在該目標表面上。該製程步驟的整合程序更包含:在該一或多個蝕刻模組的一者中蝕刻該工作件以自該非目標表面移除非所欲的添加物材料;及檢視該目標表面及/或該非目標表面上的該添加物材料而判斷該工作件上之該添加物材料的缺陷度、厚度、均勻度、及/或選擇性。當該檢視步驟指示該添加物材料、或該目標或非目標材料的缺陷性、表面終端、均勻度、及/或選擇比不符合目標閾值時,藉由下列者在該工作件上進行一修正動作:(i)蝕刻該目標表面、(ii)蝕刻該非目標表面、(iii)將更多添加物材料沉積至該工作件上、(iv)熱處理該工作件、(v)電漿處理該工作件、(vi)蝕刻該添加物材料、(vii)進行一表面處理以改變該目標表面或該非目標表面的表面終端、或上述兩或更多者的任何組合。當該目標表面上之該添加物材料膜層的一厚度係小於一目標厚度時,重覆該工作件之該沉積、蝕刻、及檢視。該製程步驟的整合程序係於該共同製造站台內的一受控環境中執行而未離開該受控環境,該一或多個傳送模組係用以在該複數製程模組之間傳送該工作件並使該工作件維持在該受控環境內。
在一相關的實施例中,製程步驟的整合程序更可包含以共同製造站台上容納之複數製程模組在沉積添加物材料層之前前處理工作件以改變目標表面之表面終端、非目標表面之表面終端、或其組合,複數製程模組包含用以在受控環境中進行前處理的一或多個前處理模組。
揭露利用區域選擇性沉積(ASD)用之整合站台之方法。然而,此領域中具有技藝者當瞭解,可在缺乏一或多個特定細節、或以其他取代及/或額外方法、材料、或元件實施各種實施例。在其他情況中,不詳細顯示或說明已知的結構、材料、或操作以免不必要地模糊本發明之各種實施例的態樣。
類似地,為了解釋的目的,文中列舉特定的數字、材料、及組態以提供對本發明的全面瞭解。然而,可在缺乏此些特定細節的情況下實施本發明。又,當瞭解,圖中所示的各種實施例皆為例示性呈現且不必要依比例繪製。參考圖示時,類似的數字代表類似的部件。
說明書中提及「一實施例」或其變化型係指在本發明的至少一實施例中包含了此該實施例關聯說明的特定特徵、結構、材料、或特性,並非意味著其存在於每一實施例中。是以,出現在說明書中各處之「在一實施例中」等語不必要參考本發明之SAM實施例。又,在一或多個實施例中可以任何適合的方式組合特定的特徵、結構、材料、或特性。可包含各種額外的膜層及/或結構及/或在其他實施例中可省略已揭露的特徵。
此外應瞭解,除非明確指出並非如此,否則「該」、或「一」可指「一或多」。
將以能最有助於瞭解本發明的方式將各種操作以複數離散操作的方式說明。然而說明的順序不應被解讀為暗指此些操作必須為順序相依的。尤其,此些操作毋須以說明的順序施行。所述的操作可以不同於實施例的順序進行。在額外的實施例中可進行各種額外的操作及/或省略已說明的操作。
文中所用的「基板」一詞係指並包含其上形成有材料的一基礎材料或結構。應明白,基板可包含單一材料、不同材料的複數膜層、其中具有不同材料之區域或不同結構的一層或多層。此些材料可包含半導體、絕緣體、導體、或其組合。例如,基板可為半導體基板、一支撐結構上的一基礎半導體層、其上形成有具有一或多層、結構、或區域的一金屬電極或一半導體基板。基板可為傳統的矽基板或包含一層半導體材料的其他塊基板。文中所用之「塊基板」一詞係指並包含不僅僅是矽晶圓,尚包含絕緣層上覆矽(SOI)基板如藍寶石上覆矽(SOS)基板與玻璃上覆矽(SOG)基板、基礎半導體基底上的磊晶矽層、及其他半導體或光電材料如矽-鍺、鍺、砷化鎵、氮化鎵、及磷化銦。基板可為經摻雜或未經摻雜的。
文中所用之「工作件」一詞係指在半導體裝置製造程序之一或多個階段期間形成在基板上之材料或膜層組合,工作件最終將包含在程序之最終階段處的半導體裝置。
本發明之實施例包含使用共同製造站台之ASD方法,複數製程步驟係於共同製造站台上的受控環境內進行,受控環境例如是操作之間不破真空。整合型頭尾相接式站台包含蝕刻模組及薄膜形成模組兩者且係用以在工作件自一模組傳輸至另一模組時同時將工作件維持在受控環境中如不破真空或不離開共同製造站台內之惰性氣體保護環境以避免暴露至周圍環境。任何ASD製程皆可在共同製造站台上實施且整合型頭尾相接式站台能以較低成本致使高產量製造並改善良率、缺陷程度及EPE。
文中所用之「薄膜形成模組」係指用以在製程室中於工作件上沉積或成長薄膜或膜層的任何類型製程設備。薄膜形成模組可為單一晶圓設備、批次製程設備、或半批次製程設備。可在薄膜形成模組中進行的薄膜沉積或成長類型包含例如但不限於化學汽相沉積、電漿增強或電漿輔助化學汽相沉積、原子層沉積、物理汽相沉積、熱氧化或氮化等且製程可為等向性、非等向性、順形性、選擇性、毯覆式等。
文中所用之「蝕刻模組」一詞係指用以在製程室中於工作件上移除所有或部分薄膜、膜層、殘餘物、或污染物的任何類型製程設備。蝕刻模組可為單一晶圓設備、批次製程設備、或半批次製程設備。可在蝕刻模組中進行的蝕刻類型包含例如但不限於化學氧化物移除(COR)、乾式(電漿)蝕刻、反應性離子蝕刻、利用浸沒或非浸沒技術之濕式蝕刻、原子層蝕刻、化學機械研磨、清理、灰化、微影等且製程可為等向性、非等向性、選擇性等。
文中所用之「模組」一詞係指製程設備及其所有硬體及軟體的共稱,其包含製程室、基板支撐件與移動機構、氣體供給與分配系統、泵抽系統、電子系統及控制器等。模組的此類細節為此領域中所公知,因此不在此處討論。
文中所用之「受控環境」一詞係指一種環境,此環境中的周圍大氣已被排除並由經純化的惰性氣體或低壓真空環境所取代。真空環境係低於大氣壓且大致被理解為10-5
Torr或更低例如5x10-8
Torr或更低。
在其最廣的定義中,所揭露的實施例係關於在工作件上進行且在共同製造站台上進行之製程步驟的整合程序,共同製造站台容納複數製程模組,複數製程模組包含一或多個薄膜形成模組、一或多個蝕刻模組、及一或多個傳送模組。製程步驟的整合程序包含:將工作件接收至共同製造站台中,工作件具有第一材料之目標表面及與第一材料不同之第二材料的非目標表面。使用一或多個薄膜形成模組以相對於非目標表面具有選擇比的方式將添加物材料沉積至工作件上,相對於非目標表面的選擇比導致添加物材料膜層形成在目標表面上的沉積速率係高於在非目標表面上的沉積速率。接著,使用一或多個蝕刻模組蝕刻工作件以暴露非目標表面。重覆製程步驟的整合程序直到添加物材料膜層到達目標厚度。又,在共同製造站台內的受控環境中執行製程步驟的整合程序而不離開受控環境,且一或多個傳送模組係用以在複數製程模組之間傳送工作件而將工作件維持在受控環境內。
實施例可包含:第一及第二材料中的一者為金屬而第一及第二材料中的另一者為介電材料。添加物材料可為金屬或介電材料。是以,製程步驟的整合程序可指向金屬在金屬上之ASD、介電材料在介電材料上之ASD、金屬在介電材料上之ASD、或介電材料在金屬上之ASD。目標表面、非目標表面、或添加物材料中之任何者用的金屬例如可包含但不限於Cu、Al、Ta、TaN、Ti、TiN、W、Ru、Co、Ni、或Mo。目標表面、非目標表面、或添加物材料用之介電材料例如可包含但不限於SiO2
、低介電常數介電材料、或高介電常數介電材料。低介電常數介電材料通常具有小於SiO2
之介電常數(約為4,例如熱成長之二氧化矽的介電常數範圍可介於3.8與3.9之間)的介電常數。高介電常數材料通常具有大於SiO2
之介電常數的介電常數。
低介電常數介電材料可具有小於3.7之介電常數、或範圍介於1.6至3.7之間的介電常數。低介電常數介電材料可包含經氟化之矽玻璃(FSG)、摻雜碳之氧化物、聚合物、含SiCOH之低介電常數材料、非多孔性之低介電常數材料、多孔性之低介電常數材料、旋塗介電(SOD)低介電常數材料、或任何其他適合的介電材料。低介電常數介電材料可包含應用材料公司所販售之BLACK DIAMOND® (BD)或BLACK DIAMOND® II (BDII) SiCOH材料、或諾發系統公司所販售之Coral ® CVD薄膜。其他商業販售的含碳材料包含陶氏化學所販售之SILK® (如SiLK-I、SiLK-J、SiLK-H、SiLK-D及多孔性SiLK半導體介電樹脂)及CYCLOTENE® (苯環丁烯)以及漢威聯合(Honeywell)所販售之GX-3TM
及GX-3PTM
半導體介電樹脂。
低介電常數介電材料包含多孔性無機-有機之單相薄膜如具有CH3
鍵結在固化或沉積製程期間阻礙薄膜之全緻密化而產生小孔隙(或孔洞)的氧化矽系基質。又或者,此些介電層可包含多孔性無機-有機之包含至少兩相的混成薄膜如具有在固化製程期間會分解並蒸發之有機材料 (如成孔劑)孔洞的經碳摻雜之氧化矽系基質。
此外,低介電常數材料包含矽酸鹽系的材料如利用SOD技術所沉積之聚矽氧烷(HSQ)或甲基矽氧烷(MSQ)。此類薄膜的實例包含道康寧所販售之FOx ® HSQ、道康寧所販售之XLK多孔性HSQ、及JSR微電子所販售之JSR LKD-5109。
在一實例中,介電材料尤其是用作為添加物材料者可包含具有TiO2
、HfO2
、ZrO2
、或Al2
O3
的金屬氧化物。此類金屬氧化物例如可藉由CVD、電漿輔助之CVD(PEALD)、ALD 或電漿輔助之ALD (PEALD)所沉積。在某些實例中,金屬氧化物可利用交替暴露至含金屬之前驅物及氧化物(如H2
O、H2
O2
、電漿激發之O2
、或O3
)以ALD沉積。
本發明之實施例主要說明 ASD用之整合基板製程及在整合基板製程期間進行基板量測的需求。在ASD期間可在沉積步驟之後於共同製造站台內進行基板量測,以量測及基於基板量測數據特徵化沉積選擇比的損失、進行非所欲之薄膜核的移除以達到選擇性形成。可使用來自基板量測步驟的結果基於薄膜沉積步驟中之變異來調協薄膜核移除步驟。又,可使用人工智慧(AI)分析基板量測結果並預測未來的薄膜厚度及薄膜沉積選擇比。
現參考附圖,其中類似的參考標號代表數圖中相同或對應的部件。
圖1A-1D概略顯示ASD實施例,其中添加物材料係以選擇性的方式沉積至第一材料之目標表面上,相對於不同於第一材料之第二材料之非目標表面的選擇比俾使添加物材料膜層形成在目標表面上的沉積速率係高於在非目標表面上的沉積速率。在圖1A中,顯示在工作件100之平坦層上的金屬在金屬上(MoM)選擇性沉積。工作件100包含基板110如Si晶圓。工作件更包含共同形成平坦層116之目標金屬表面112及非目標之介電表面114。金屬添加物材料118係沉積在目標金屬表面112上以形成平坦層116上方之舉升之金屬圖案。金屬添加物材料118可逐層沉積直到在平坦層116上方達到目標垂直高度、或達到目標段差高度距離d。
在圖1B中,顯示在凹陷金屬特徵部圖案中的MoM選擇性沉積。工作件102包含可形成在一或多層下層(未顯示)上的金屬層120以及形成在金屬層120上以暴露部分之金屬層120之圖案化的層間介電層122,金屬層120受到暴台的部分形成目標金屬表面124。換言之,目標金屬表面124為形成在金屬層120中之凹陷金屬特徵部圖案之經暴露的下表面,且層間介電層122之上場域或平坦層126形成非目標之介電表面126。金屬添加物材料128係沉積在目標金屬表面124上至少部分填充凹陷金屬特徵部圖案。金屬添加物材料128可逐層沉積直到在上平坦層126之處或之下達到目標填充位準、或到達段差高度距離的目標變化d。
在圖1C中,顯示平坦表面上的介電材料上之介電材料(DoD)選擇性沉積。工作件104包含基板130如Si晶圓。工作件更包含共同形成平坦層136的目標介電表面132及非目標之金屬表面134。介電添加物材料138係沉積在目標介電表面132上以在平坦層136上形成舉升之介電圖案。介電添加物材料138可逐層沉積直到達到平坦層136上之目標垂直高度、或達到目標段差高度距離d。
在圖1D中,顯示在介電溝槽圖案中的DoD選擇性沉積。工作件104包含可形成在一或多層下層(未顯示)上的介電層140及形成在介電層140上暴露部分介電層140的複數金屬線142,其中介電層140受到暴露的部分形成目標介電表面144。換言之,目標介電表面144為形成在金屬線142之間之介電溝槽圖案之經暴露之下表面,金屬線142的上平坦層146形成非目標之金屬表面146。介電添加物材料148係沉積在目標介電表面144上以至少部分填充介電溝槽圖案。介電添加物材料148可逐層沉積直到達到上平坦層146處或以下的目標填充位準、或達到段差高度距離d的目標變化。
如前所述,添加物材料係以選擇性沉積在目標表面而非非目標表面上俾使添加物材料層以高於非目標表面上之沉積速率的較高沉積速率形成在目標表面上。理想上,選擇性是足夠得高以致於在非目標表面上發生任何沉積之前會在目標表面上達到添加物材料的目標厚度,意即目標表面上的沉積速率相對地快而非目標表面上的沉積速率極慢。然而實際上,某些沉積可能會發生在非目標表面上即具有少數核(添加物材料之完整層的污染)之處。在所有的情況中,由於選擇性因此在目標表面上有較高的沉積速率,非目標表面上的添加物材料的厚度會小於目標表面上的厚度。為了解決發生在非目標表面上的任何沉積,在選擇性沉積之後進行蝕刻步驟以自非目標表面移除添加物材料而重新暴露非目標表面。
在一實施例中,製程步驟的整合程序更包含在沉積添加物材料層之前前處理工作件。進行前處理而改變目標及非目標表面中的一或兩者。前處理清理表面、去氧化表面、氧化表面、在表面上形成阻障層、或改變表面上的表面終端、或其組合,且前處理可包含單一前處理步驟或複數前處理步驟。共同製造站台可包含用以在受控環境中進行前處理(複數前處理)的一或多個前處理模組。前處理模組(複數前處理模組)可為薄膜形成模組、蝕刻模組、或其他氣體或電漿處理模組。在一實例中,前處理模組係包含於用以下列者的共同製造站台中:沉積或形成阻障層或阻擋層而抑制添加物材料沉積在非目標表面上及增加目標表面相對於非目標表面的選擇性。例如, 前處理可將選擇比增加至至少10:1、或至少100:1的值。在一實施例中,處理工作件以增加表面終端基團。可處理非目標表面以增加與添加物材料較無反應性的終端基團藉此抑制其上的沉積、或者可處理目標表面以增加與添加物材料更有反應性的終端基團藉此提昇其上的沉積。例如,可將斥水性終端基團添加至非目標氧化物表面以抑制在氧化物上沉積金屬。在另一實例中,目標金屬表面係受到去氧化以提昇在無氧化物之金屬表面上沉積金屬。
在一實施例中,製程步驟的整合程序包含用以在非目標表面上形成自我組裝單層(SAM)之工作件的前處理。SAM可藉著將工作件暴露至包含能在表面上形成SAM之分子的反應物氣體而形成。SAM為藉由吸附及組成或多或少大批場域而在基板表面上自發形成的分子組裝物。SAM可包含具有頭基團、尾基團、及功能終基團的分子,且SAM係藉由下列方式產生:在室溫或高於室溫之溫度處頭基團自氣相化學吸附至表面上、然後緩慢組成尾基團。一開始,在表面上小分子密度處,脫附的分子形成分子的無秩序團塊或形成有秩序之二維「臥下相」,在較高分子覆蓋處,在幾分鐘至幾小時的時間期間在表面上開始形成三維有秩序或半秩序的結構。在表面上的反應性位置處頭部基團組裝在一起但尾基團垂直於表面組裝。
根據一實施例,形成SAM之分子的頭部基團可包含巰基、矽烷、胺、膦酸、或膦酸酯。矽烷的實例包含具有C、H、Cl、F及Si原子、或C、H、Cl及Si原子的分子。分子的非限制性實例包含全氟十二烷基三氯矽烷 (CF3
(CF2
)7
CH2
CH2
SiCl3
)、全氟十二烷硫醇(CF3
(CF2
)7
CH2
CH2
SH)、氯十二烷基二甲基矽烷(CH3
(CH2
)8
CH2
Si(CH3
)2
Cl)、及第三丁基(氯)二甲基矽烷 ((CH3
)3
CSi(CH3
)2
Cl))。
在非目標表面上SAM 的存在可用以致使後續目標表面(如介電層)相對於非目標表面(如金屬層)上的選擇性沉積。此選擇性沉積行為提供在目標表面上選擇性沉積薄膜但避免在非目標表面上沉積的方法。
根據另一實施例,當在非目標表面上進行前處理步驟時,蝕刻步驟除了可在一或多個蝕刻步驟中移除沉積在非目標表面上的任何添加物材料之外,尚可移除前處理層。又,當重覆沉積及蝕刻步驟以在目標表面上逐層累積添加物材料時,可類似地在每一沉積步驟之前重覆前處理、或以期望或所需以較低的頻率重覆前處理如每第5或第10沉積步驟重覆前處理、或不需要重覆前處理例如若工作件係維持在受控環境中且不會被暴露至氧化環境則可能不需要重覆去氧化的處理。若SAM在沉積添加物材料期間及/或蝕刻製程期間變得受損因而負面地影響沉積選擇比,可能需要移除及後續重覆沉積SAM。
圖2A-2D例示工作件用之區域選擇性沉積(ASD)方法的一實施例。圖3為對應至圖2A-2D之方法之製程流程300的流程圖。圖4例示可用以進行製程流程300之本發明之共同製造站台的一實施例。在下面圖2A-2D之依序討論中會不斷參考圖3之製程流程300及圖4之共同製造站台400,在圖2A-2D中工作件200歷經製程步驟的整合程序。
在如圖2A中所示之製程流程300的操作302中,將工作件200提供至共同製造站台400中。工作件200可包含形成在基板210上之任何數目的材料層,但工作件200至少包含第一材料之目標表面220及不同於第一材料之第二材料的非目標表面230。如所示,目標及非目標表面220、230可形成類似於圖1A與1C的平坦表面,或類似於圖1B與1D的初始段差高度差。因此工作件200可具有形成在其上的任何圖案,包含至少第一及第二不相似的材料且第一材料之期望受到沉積之至少一目標表面220受到暴露而第二材料之不期望受到沉積之至少一目標表面230受到暴露。在圖2A-2D中所示的實施例中,第一材料為介電材料如氧化物俾使目標表面220為目標介電表面,第二材料為金屬俾使非目標表面230為非目標金屬表面。欲沉積在目標介電表面220上的添加物材料可為SAM或不同於第一材料的介電材料或可為金屬。
如圖4中所示,傳送模組410a可用以將工作件帶入共同製造站台400之受控環境中,受控環境在整個製程流程300中受到維持。受控環境可包含真空環境(製程流程300中的每一操作係以不破真空的方式進行)、或惰性氣體環境、或其組合。單一傳送模組可耦合於每一製程模組或設備之間、或如圖4所示可針對每一設備傳送使用分別的傳送模組410。在適當的時候,傳送模組410a-e在文中可共同被稱為傳送模組410 。共同製造站台400上的不同製程模組需要不同的受控環境如不同的真空壓力或一模組中的真空接著具有惰性氣體環境的模組,可使用複數傳送模組410而傳送模組410協助在不同受控環境之間的轉換。雖然在相同類型之製程模組係沿著傳送模組環形配置的叢集式設備中可使用單一傳送模組,但在具有不同製程模組之頭尾相接的站台組態中更適合如圖4中所示的複數傳送模組410。然而,文中的實施例並未排除使用耦合至複數製程模組之每一者之單一傳送模組之頭尾相接的站台組態、或其間的組態如針對依序使用之相鄰相同類型之製程模組的共同傳送模組。
如在高產量製造中所熟知的,可使用前端模組402a加載工作件的晶圓盒(未顯示)、依序排列工作件並將其插入加載互鎖件中、然後將其插入受控環境中的傳送模組410a中、然後傳送模組410a依序將工作件加載至製程模組中。在本發明之一實施例的共同製造站台400中,在操作302中以傳送模組410a將已被接收至受控環境中之工作件200載入至容納於共同製造站台400上的第一前處理模組415中。
參考圖3與4,在選擇性的操作304中,在第一前處理模組415中進行第一前處理製程以將工作件200暴露至處理氣體。例如,處理氣體可包含氧化氣體或還原氣體。在某些實例中,氧化氣體可包含O3
、O2
、H2
O、H2
O2
、異丙醇、或其組合,還原氣體可包含矽烷、二矽烷、三矽烷、四甲基鋁、NH3
、BH3
、PH3
、H2
氣體。在一實例中,處理氣體可包含經電漿激發之氣體或由其所構成。經電漿激發之氣體例如可以是氧化氣體、還原氣體、或還原氣體。在另一實例中,在暴露至處理氣體的期間將偏壓施加至基板、施加至處理模組的一部分或部件、或其組合。處理氣體可清理或改變目標介電表面220或非目標之金屬表面230之表面以改善接續之ASD。處理氣體可藉著與非目標表面上之表面基團反應而在非目標表面上形成阻擋層。在另一實例中,處理氣體可在目標表面上形成成核層。在另一實例中,處理氣體可在目標表面上或非目標表面上形成自我組裝單層(SAM)。
參考圖2B、3及4以及在選擇性的操作304中,在不離開受控環境如不破真空的情況下使用傳送模組410a與410b將工作件200傳送至第二前處理模組415。在第二前處理模組415中進行第二前處理製程,以使非目標之金屬表面230對於欲沉積在目標介電表面220上之添加物材料較無吸引力或反應性。如所示,前處理可包含選擇性地將阻障層240沉積至非目標之金屬表面230上方以抑制添加物材料在其上的沉積並增加對目標介電表面220的選擇比。阻障層240可為SAM或對經處理之表面上之添加物材料沉積具有抑制效果的任何其他表面處理層。雖然在下面的討論中阻障層240可被稱為SAM 240,但應瞭解,本發明並非僅限於將SAM用作為阻障層。如所示SAM 240可被沉積於受到暴露之非目標之金屬表面230、或者SAM 240可將受到暴露之非目標之金屬表面230的一表面部分轉換為阻障層、或其組合。如所示,共同製造站台400可在傳送模組410a、410b的可對側上包含相同的前處理模組415。藉著鏡像站台400的兩側,可針對兩工作件達到同時頭尾相接處理,且若一前處理模組415暫時無法使用,站台400至少可以50%的產量持續運作。
接著,在不離開受控環境如不破真空的情況下使用傳送模組410b與410c將工作件200傳送至薄膜形成模組420。參考圖2C與3,在操作306中在薄膜形成模組420中將介電添加物材料250選擇性地沉積至目標介電表面132上以形成舉升之介電圖案。由於對目標表面220相對於對非目標表面230上之SAM 240的選擇性,一層介電添加物材料250以高於非目標表面230之沉積速率的較高沉積速率形成在目標介電表面220上。在一實例中,介電添加物材料250可包含具有HfO2
、ZrO2
或Al2
O3
的金屬氧化物薄膜。介電添加物材料250可例如以CVD、電漿增強之CVD (PEALD)、ALD、或電漿增強之ALD (PEALD)沉積。在某些實例中,介電添加物材料250可以ALD利用交替暴露至含金屬之前驅物及氧化劑(如H2
O、H2
O2
、經電漿激發之O2
、或O3
)沉積。又,共同製造站台400可包含在傳送模組410c之相對側上的兩個相同薄膜形成模組420。
如圖2C中所示,在薄膜形成模組420中暴露至沉積氣體除了可在目標介電表面220上沉積介電添加物材料250之外,亦會因選擇比的損失或不足的選擇比而在SAM 240上沉積薄膜核260。沉積選擇比之損失例如可在沉積製程進行太久的情況下發生。不足或不佳之沉積選擇性例如可在SAM 208之表面覆蓋不完整且包含在非目標表面230上之孔洞的情況下發生。
參考圖2D與3,在操作308中,在不離開受控環境如不破真空的情況下將工作件200傳送至一或多個蝕刻模組以進行一或多個蝕刻步驟而暴露非目標表面230並藉此達到目標表面220上的ASD。在此實例中且如圖4中所示,在第一及第二蝕刻模組430中依序進行兩個蝕刻步驟,以先移除薄膜核260然後再移除SAM 240。使用傳送模組410c及410d將工作件200傳送至被容納於共同製造站台400上的第一蝕刻模組430,例如傳送模組410c自薄膜形成模組420移除工作件200並將工作件傳送至傳送模組410d然後傳送模組410d將工作件200傳送至第一蝕刻模組430中。若第一蝕刻模組430之操作參數係不同於薄膜形成模組420之操作參數如不同的真空壓力,可在傳送模組410c及410d中進行受控環境之調整。在第一蝕刻模組430a中蝕刻工作件200以自SAM 240之上表面移除薄膜核260。雖然介電添加物材料250膜層亦可被蝕刻製程部分移除,但期望薄膜核260之蝕刻比介電添加物材料250膜層之蝕刻更快。蝕刻製程可包含乾式蝕刻製程、濕式蝕刻製程、或其組合。
接著使用傳送模組410d及410e將工作件200傳送至被容納於共同製造站台400上的第二蝕刻模組430,蝕刻工作件200以移除SAM 240。又,共同製造站台400可在傳送模組410d及410e的相對兩側包含相同的第一蝕刻模組430。或者,可以不同的方法移除SAM 240如在專用的製程模組中或製程步驟的整合程序之另一步驟中所用之複數製程模組中的一者中藉由熱處理移除SAM 240。
若操作310中判斷出尚未達到介電添加物材料250膜層的目標厚度,可依製程箭頭312概略所示重覆上述製程步驟的整合程序304-308的全部或部分一或多次以增加工作件200上之介電添加物材料250膜層的厚度。若SAM 240在添加物材料沉積及/或用以移除薄膜核260之蝕刻製程期間受到損傷,可能期望工作件200上之SAM 240的移除及接續之重覆沉積。然而,若SAM 240未受損,在重覆添加物材料沉積及薄膜核蝕刻時可省略SAM 240之移除及重覆施加。
在完成製程流程300時即當在操作310中的判斷顯示已達到目標厚度時,工作件200藉由另一前端模組402b (可與前端模組402a相同但位於共同製造站台400上之模組之頭尾相接排列的後端)離開共同製造站台400。在前端模組402a的一般倒轉製程中,傳送模組410e依序將工作件100傳送至已移除受控環境的加載互鎖件,然後傳送至前端模組402b上的晶圓盒(未顯示)。以實質鏡像方式配置之共同製造站台400在一模組無法使用的情況下具有提供冗餘的優點使得共同製造站台400仍能以較少的產量繼續運作。
在一實施例中且下面將更詳細討論的,共同製造站台400有利地包含「主動阻斷系統」。主動阻斷系統包含在被容納於共同製造站台400上之傳送模組410內或被容納於共同製造站台400上之整合式量測模組(未顯示)內的工作件量測區域。如下面將更詳細說明的,工作件量測區域可位於傳送模組410的專用區域中。工作件量測區域或量測模組可包含用以收集量測數據的檢視系統。如下面將更詳細說明的,檢視系統可包含用以引導光束入射至工作件之量測表面上的至少一光源以及配置用以接收自工作件之量測表面散射之光學訊號的至少一偵測器。主動阻斷系統更可包含被容納於共同製造站台400上的智能系統,智能系統係用以自工作件量測區域或量測模組收集數據並控制在共同製造站台400上執行的製程步驟的整合程序如製程流程300。
針對根據本發明之實施例的主動阻斷,工作件量測區域或量測模組收集和半導體工作件上之特徵部或膜層特性相關的速成實時數據(如特徵部或薄膜厚度、特徵部深度、表面粗糙度、圖案偏移、孔洞或其他缺陷、選擇比之損失、橫向過度成長、均勻度等)並使用此類實時數據同時控制被容納於共同製造站台400上之整合製程模組中的整合操作變數。例如下面參考圖3之操作320-326將解釋的,可以前饋及/或反饋方式使用數據,控制在接續模組中於工作件上進行的操作及/或控制在先前模組中在接續工作件上進行的操作。在一實施例中,共同製造站台400包含修正模組,修正模組可為薄膜形成模組420、蝕刻模組430、前處理模組415、或適合對工作件200施行修正動作或補救處理之其他類型的處理模組。
不若傳統的量測或製程控制,工作件不會離開受控環境就進入獨立的量測設備藉此將氧化及缺陷生成最少化,量測是非破境性的俾使在不犧牲任何工作件的情況下獲得數據藉此最大化製造產出,且在製程流程時可實時收集數據以避免對製造時間造成負面影響並致使對在共同製造站台400上依序處理的工作件或接續工作件進行製程中的調整。此外,在薄膜形成模組或蝕刻模組中進行量測,藉此避免當量測裝置被暴露至製程流體時的問題。例如,藉著將工作件量測區域包含至傳送模組中,可在工作件於製程設備之間傳送之間在不離開受控環境如不破真空且不暴露至製程流體的情況下獲得數據而極少或甚至不延遲製程流程。雖然「速成」數據可能不若自在獨立的量測設備中進行之傳統破壞性方法所獲得的數據來得精準,但在製程流程上近乎即時的反饋及不中斷製程流程或犧牲良率便能實時調整的能力對於高產量製造而言是極為有利的。
更參考圖3之製程流程300,方法可包含利用主動阻斷系統在在不離開受控環境如不破真空的情況下於整合方法中的任何各種時間處檢查工作件如進行量測即獲得量測數據。檢查工作件可包含特徵化工作件之一或多個特性及判斷特性是否符合目標條件。例如,檢查可包含獲得與一特性相關的量測數據並判斷缺陷度、厚度、均勻度及/或選擇比條件是否符合該條件的目標。雖然下面的討論聚焦於獲得量測數據,但可瞭解的是,在共同製造站台之受控環境內進行的其他檢查技術亦落在本發明的範疇內。
如下面所將討論的,主動阻斷系統可包含共同製造站台400上之單一量測模組或工作件量測區域、或者可包含共同製造站台400複數量測模組或工作件量測區域。如圖3中的虛線所指示,每一量測操作為選擇性的,但在製程流程中之一或多個點處可有利地進行量測操作以確保工作件200係落在規格內。在一實施例中,在於共同製造站台上進行之製程步驟的整合程序的每一步驟之後獲得量測數據。量測數據可用以在工作件離開共同製造站台之前在修正模組中修復工作件及/或可用以改變接續步驟及/或接續工作件之製程步驟的整合程序的參數。
廣義而言,可在與添加物材料之選擇性沉積相關之製程步驟的整合程序期間在受控環境內獲得量測數據,然後基於量測數據判斷添加物材料膜層的缺陷度、厚度、均勻度及/或選擇比條件是否符合目標條件。當判斷出缺陷度、厚度、均勻度及/或選擇比不符合目標條件、或以其他方式判斷出工作件的特性不合規時,可使工作件受到更進一步的處理。例如,可在進行製程步驟的整合程序中的下一製程步驟之前,於共同製造站台上的修正模組中處理工作件以移除、最小化或補償非合規之特性 。修正動作可包含蝕刻目標表面或非目標表面、在工作件上沉積額外的添加物材料、修復工作件上的阻障層、熱處理工作件、或電漿處理工作件。
在一實例中,當該非合規之特性係至少部分基於SAM對非目標表面不完全覆蓋之時或當非目標表面之暴露面積的量係大於預定之暴露面積閾值時,修正動作可包含移除SAM。在另一實例中,當該非合規之特性係至少部分基於目標表面與非目標表面之間之小於預定段差高度閾值之段差高度距離時或當非目標表面之暴露面積的量係大於預定之暴露面積閾值時,修正動作可包含移除至少部分之添加物材料膜層。在更另一實例中,當該非合規之特性係至少部分基於目標表面上方之添加物材料之小於預定厚度閾值的厚度時,修正動作可包含將額外的添加物材料添加至工作件。在更另一實例中,當該非合規之特性係至少部分基於大於預定剩餘厚度閾值之非目標表面上之剩餘添加物材料或非目標表面上之剩餘自我組裝單層時,修正動作可包含蝕刻工作件。在另一實例中,當非合規之工作件特性係至少部分基於來自工作件之小於預定反射率閾值的反射率時,修正動作可包含熱處理或電漿處理工作件。
修正模組可為共同製造站台上指定作為修正模組的不同於薄膜形成模組與蝕刻模組、或整合於共同製造站台上之其他類型的處理模組如熱退火模組、或可為用以選擇性沉積添加物材料並蝕刻薄膜核的SAM薄膜形成模組與蝕刻模組。
現在將詳細說明圖3之製程流程300,其具有用以特徵化工作件之特性而判斷何時達到ASD目標厚度及/或判斷是否存在非共形性的選擇性檢查或量測操作。操作302包含將具有目標及非目標表面的工作件接收至共同製造站台中。操作320包含選擇性地進行量測以獲得與進入之工作件之特性如目標表面及/或非目標表面之特性相關的量測數據,量測數據可用以調整及/或控制操作304-308中之任一者的製程參數。
操作304包含選擇性地前處理工作件。前處理可為在共同製造站台上執行的單一操作或複數操作。操作322包含在前處理之後選擇性地進行量測以獲得與工作件之特性相關的量測數據。若進行複數前處理操作,可在所有前處理之後獲得量測數據及/或在任何獨立的前處理步驟之後獲得量測數據。在一實例中,在形成SAM之後檢查工作件以判斷是否完全覆蓋或經處理之表面的暴露面積是否超過閾值。可使用量測數據調整及/或控制操作306-308中之任一者的製程參數、可使用量測數據針對接續的工作件對操作302或操作304之進入之工作件的特性修改、或者可使用量測數據在工作件繼續製程之前修復工作件。在一實施例中,當量測數據指示一或多個特性不符合目標條件時,可將工作件傳送至修正模組以修復工作件。例如,當非目標表面上的SAM覆蓋不完整時,可在一或多個修正模組中採取修正動作如移除SAM然後重新施加SAM。
操作306包含在被容納至共同製造站台上之薄膜形成模組中選擇性地將添加物材料沉積至工作件上。操作324包含選擇性地進行量測以獲得與在目標表面上形成有添加物材料膜層之工作件之特性相關的量測數據,如添加物材料膜層、非目標表面、及/或受到選擇性沉積所影響之經前處理之表面的特性,量測數據可用以調整及/或控制操作308-312中之任一者的製程參數、可用以針對接續工作件調整操作302或操作304- 306中之進入之工作件的特性、或者可用以在工作件繼續處理之前修復工作件。在一實施例中,當量測數據指示一或多個特性不符合目標條件時,可將工作件傳送至修正模組以修復添加物材料膜層或非目標表面。例如,當添加物材料之缺陷度、厚度、均勻度及/或選擇比不符合目標條件時,可在一或多個修正模組中採取修正動作例如選擇性地在目標表面上沉積額外的添加物材料、自非目標表面或目標表面移除添加物材料、自非目標表面移除前處理膜層、熱處理或電漿處理工作件、或其兩或更多者的組合。
操作308包含利用被容納至共同製造站台上的蝕刻模組蝕刻工作件以暴露非目標表面。操作308可包含蝕刻沉積在非目標表面上或形成在非目標表面上之SAM上的薄膜核、或蝕刻沉積在非目標表面上或在形成在非目標表面上之SAM上之添加物材料的完整膜層,其中在非目標表面上之添加物材料膜層的厚度係小於形成在目標表面上之添加物材料膜層的厚度。操作308亦可包含在SAM蝕刻步驟或接續的蝕刻步驟中自非目標表面移除SAM或其他前處理膜層非目標表面。操作326包含選擇性地進行量測以獲得與在目標表面上有添加物材料膜層且具有經蝕刻之非目標表面之工作件之特性相關的量測數據,如受到蝕刻影響之添加物材料膜層的特性、由蝕刻暴露之非目標表面的特性、及/或受到自非目標表面上之SAM蝕刻薄膜核所影響之SAM或其他前處理膜層的特性,量測數據可用以調整及/或控制操作310-312 (包含在程序之依據操作312重覆步驟304-308)中之任一者的製程參數、可用以針對接續工作件調整操作302或操作304-308中之進入之工作件的特性、或者可用以在工作件繼續處理之前修復工作件。在一實施例中,當量測數據指示一或多個特性不符合目標條件時,可將工作件傳送至修正模組以修復添加物材料膜層或非目標表面。例如,當添加物材料之缺陷度、厚度、均勻度及/或選擇比不符合目標條件時,可在一或多個修正模組中採取修正動作例如選擇性地在目標表面上沉積額外的添加物材料、自非目標表面或目標表面移除添加物材料、自非目標表面移除前處理膜層、熱處理或電漿處理工作件、或其兩或更多者的組合。又,當量測數據指示添加物材料膜層的厚度係小於目標厚度致使判斷310的答案為否時,可使工作件依據操作312受到程序的重覆步驟。當量測數據添加物材料膜層的厚度達到目標厚度致使判斷310的答案為是時,可使工作件離開共同製造站台。
如上所述,製程參數可包含在製程模組內的任何操作變數例如但不限於:氣體流率;蝕刻劑之組成、沉積反應物、吹淨氣體等;腔室壓力;溫度;電極間隙;功率等。主動阻斷系統的智能系統係用以自檢視系統收集量測數據並藉由下列方式控制在共同製造站台上執行的製程步驟的整合程序:針對製程中的工作件對接續製程模組中的製程參數進行原位調整、或者針對接續之工作件改變一或多個製程模組中的製程參數。是以,可使用已獲得之量測數據來識別在製程步驟的整合程序期間工作件的所需修復以避免必須報廢工作件、及/或針對獲得量測數據之後對SAM工作件上進行之製程步驟的整合程序的步驟的製程參數或針對處理接續工作件的製程參數進行調整以減少接續工作件不符合目標條件的發生。
更參考圖4,共同製造站台400通常包含至少一前端模組402,例如如所示在共同製造站台400的每一端處用以將工作件100傳輸進出共同製造站台400的模組。共同製造站台400包含用以將工作件傳輸進出被容納於共同製造站台400上之複數製程模組的複數傳送模組410。複數製程模組包含一或多個薄膜形成模組420如一或多個沉積設備以及一或多個蝕刻模組430如一或多個乾式蝕刻設備、濕式蝕刻設備及/或COR設備。選擇性地,複數製程模組更包含一或多個前處理模組415,處理模組415可為薄膜形成模組、蝕刻模組或其他類型之製程模組。前處理模組415可用以進行操作304。薄膜形成模組420可用以進行操作306。蝕刻模組430可用以進行操作308。製程模組中的任何者可具有用以修復工作件之修正模組的功能、或者可添加額外之用以進行修正動作的製程模組。如所示,複數製程模組自前端至後端大致上形成兩線440、450,一線440橫貫傳送模組410之一側列而另一線450橫貫傳送模組410之另一側列。
在一實例中,單一工作件100自前端至後端係經過線440的處理,然後傳回前端再經過處450的處理。是以,經過線440進行前處理操作304、選擇性沉積操作306、及蝕刻操作308以沉積添加物材料的初始厚度,接著經過線450進行前處理操作304、選擇性沉積操作306、及蝕刻操作308以更進一步增加添加物材料厚度,藉此在兩次行經頭尾相接之共同製造站台400期間重覆操作。
在另一實例中,兩線440、450獨立操作以在時間上同步或錯開的方式同時處理兩片工作件200,每片工作件200自前端至後端行經線440或450中的一者接著被傳送回前端並再次行經SAM線440或450受到處理以進行額外的重覆。是以,行經每一線440及450進行前處理操作304、選擇性沉積操作306、及蝕刻操作308以沉積添加物材料的初始厚度,接著行經SAM線440及450重覆前處理操作304、選擇性沉積 操作306、及蝕刻操作308以更進一步地增加添加物材料,藉此在兩或更多次行經頭尾相接之共同製造站台400而重覆操作。此實例在一模組無法使用的情況中提供冗餘的優點,使共同製造站台400仍可以50%的產量持續運作。
在將工作件200傳送回前端之前的第一次或接續次行進的末端處可進行清理或修復製程,以在重覆操作之前或離開共同製造站台400之前清理或修復工作件。可將修正模組添加至線440、450以進行修復。
在一實施例中,共同製造站台包含用以在目標表面上選擇性地沉積添加物材料的至少一沉積模組、用以自非目標表面移除添加物材料以達到選擇比的至少一蝕刻模組、及用以在模組之間傳送工作件並同時維持整個整合製程流程之受控環境的至少一傳送模組。有利地,包含前處理模組以在非目標表面上形成SAM作為阻障層以增加對目標表面的選擇比,且該至少一蝕刻模組包含至少兩個蝕刻模組,其中一蝕刻模組係用以自SAM移除添加物材料而另一模組係用以移除SAM。在另一實施例中,共同製造站台包含至少一工作件量測區域,其係位於該至少一傳送模組的專用區域內或共同製造站台上之受控環境內的量測模組內,以獲得與一或多個工作件特性相關的量測數據。在一實施例中,共同製造站台包含用以進行工作件之修復的至少一修正模組如修復選擇性沉積的添加物材料或修復SAM。
此領域中具有通常技藝者可瞭解,可基於在不同模組中進行操作在不同模組中所需的製程時間來選擇共同製造站台上之製程模組的數目及位置以及量測操作,以提供經共同製造站台之實質連續的製程流程,因此提供良好的產量匹配。
圖5A-5D例示工作件之區域選擇性沉積(ASD)方法的另一實施例,此方法亦可根據在圖4之共同製造站台400上執行之圖3之製程流程300來加以實施。工作件500包含基板510上之第一材料之目標表面520及不同於第一材料之第二材料之非目標表面530。類似於圖1A與1C所示目標及非目標表面520、530可形成平坦表面,或者類似於圖1B與1D所示目標及非目標表面520、530可具有初始段差高度差。因此包含至少第一材料及不相似的第二材料之工作件500上可具有形成於上的任何圖案,圖案包含第一材料期望受到沉積之受到暴露的至少一目標表面520以及第二材料不期望受到沉積之受到暴露的至少一非目標表面530。在圖5A-5D所示的實施例中,第一材料為金屬俾使目標表面520為目標金屬表面,而第二材料為介電材料俾使非目標表面530為非目標介電表面。欲沉積至目標金屬表面520上的添加物材料可為SAM或不同金屬作為第一金屬或可為介電材料。
參考圖3及4,在選擇性的操作304中,在第一前處理模組415中進行第一前處理以將工作件200暴露至處理氣體。例如, 處理氣體可包含氧化氣體或還原氣體。在某些實例中,氧化氣體可包含 O2、H2O、H2O2、異丙醇、或其組合,還原氣體可包含 H2氣體。在一實例中,處理氣體可包含經電漿激發的Ar氣體或由經電漿激發的Ar氣體所組成。處理氣體可清理或改變目標金屬表面520或非目標之介電表面530的表面以改善接續的ASD。在金屬上的ASD可期望目標金屬表面520的去氧化處理。
參考圖5B、3及4,更在選擇性的操作304中, 在不離開受控環境如不破真空的情況下進行第二前處理製程,以使非目標之介電表面530對欲沉積在目標金屬表面520上的添加物材料較無吸引力或反應性。如所示,前處理可包含選擇性地將阻障層540沉積至非目標之介電表面530上方以抑制添加物材料沉積於其上並增加對目標金屬表面520的選擇比。阻障層540可為對經處理之表面上沉積添加物材料有抑制效果的SAM或任何其他表面處理層。
接著參考圖5C與3,在操作306中,在不離開受控環境如不破真空的情況下在薄膜形成模組420中於目標金屬表面520上選擇性地沉積金屬添加物材料550以形成舉升之金屬圖案。由於相對於非目標之介電表面530上之SAM 540,對目標金屬表面520有選擇比,一層金屬添加物材料550形成在目標金屬表面520上的沉積速率係高於在非目標之介電表面530上的沉積速率。
如圖5C中所示,在薄膜形成模組420中暴露至沉積氣體除了將金屬添加物材料550沉積至目標金屬表面520上之外,會因選擇比的損失或不足的選擇比而在SAM 540上沉積薄膜核560。例如,若沉積製程進行太久,可能會發生沉積選擇比的損失。例如,若SAM 540的表面覆蓋不完全且在非目標之介電表面530上包含孔洞,可能會發生不足或不良的沉積選擇比。
參考圖5D及3,在操作308中,在不離開受控環境如不破真空的情況下將工作件500傳送至一或多個蝕刻模組以進行一或多個蝕刻步驟以暴露非目標之介電表面530,藉此達到在目標金屬表面520上的ASD。在此實例中,依序進行兩個蝕刻步驟以先移除薄膜核560接著移除SAM 540。在第一蝕刻模組430中蝕刻工作件500以自SAM 540的上表面移除薄膜核560。雖然藉由蝕刻製程亦可部分移除金屬添加物材料550膜層,一般預期薄膜核560蝕刻得比金屬添加物材料550蝕刻得更快。蝕刻製程可包含乾式蝕刻製程、濕式蝕刻製程、或其組合。
在容納於共同製造站台400上的第二蝕刻模組430中蝕刻工作件500以移除SAM 540。或者,可在專用的處理模組中或製程步驟的整合程序之另一步驟中所用的製程模組中的一者中藉由不同方法如熱處理移除SAM 540。
若如操作310中所判斷的尚未達到金屬添加物材料550膜層的目標厚度,可重覆全部或部分之上述製程步驟的整合程序304-308一或多次,以如製程箭頭312概略所示增加工作件500上之金屬添加物材料550膜層的厚度。若SAM 540在添加物材料沉積及/或用以薄膜核560之蝕刻製程期間變得受損,可能期望移除工作件500上之SAM 540及接續重覆沉積SAM 540。然而,若SAM 540並未受損,可在重覆添加物材料沉積及薄膜核蝕刻時省略移除及重新施加。在完成製程流程300時即在操作310中判斷出已到達目標厚度時,工作件500離開共同製造站台400。在圖5A-5D的實施例中亦可進行上述的SAM光學量測步驟320-326。
根據一實施例,在受控環境內可獲得與一或多個工作件之特性相關的量測數據以及基於量測數據判斷工作件上該添加物材料的缺陷度、厚度、均勻度、及/或選擇性是否符合目標條件。當不符合目標條件時,可在修正模組中處理工作件以自目標表面及/或非目標表面移除至少一部分的添加物材料或者進行其他修正動作。當量測數據顯示特性不合規時,在進行製程步驟的整合程序中之下一處理步驟之前修正動作可移除、最小化、或補償非合規之特性。
至少一傳送模組可包含位於其專用區域內用以量測量測數據的工作件量測區域,且可在工作件在複數製程模組之間傳送的至少一傳送期間藉著使工作件通過工作件量測區域而獲得數據。或者或此外,共同製造站台可包含一或多個量測模組,數據係在製程步驟的整合程序之一或多個製程步驟之前、之間、或之後,藉著將工作件傳送至量測模組中而獲得數據。
與所獲得之量測數據可能相關的一或多個特性包含在沉積添加物材料之前之目標表面的特性、在沉積添加物材料之後之添加物材料膜層的特性、在沉積添加物材料之後之目標表面的特性、在蝕刻工作件之後添加物材料膜層的特性、或在蝕刻工作件之後非目標表面的特性。又,一或多個特性可包含目標表面上的孔洞量、非目標表面上之添加物材料的量、選擇比的損失、添加物材料的輪廓、工作件之一區域上之添加物材料的量相對於工作件之另一區域上之添加物材料的量、或其兩或更多的組合。
在一實施例中,用以解決非合規之特性的修正動作可包含,當非合規之特性係至少部分基於自我組裝單層對非目標表面的不完全覆蓋時或當非目標表面之暴露區域量係大於預定暴露區域閾值時,移除自我組裝單層。在另一實施例中,用以解決非合規之特性的修正動作可包含,當非合規之特性係至少部分基於目標表面與非目標表面之間之段差高度距離小於預定段差高度閾值時或當非目標表面之暴露區域量係小於預定暴露區域閾值時,移除至少一部分之添加物材料膜層。在另一實施例中,用以解決非合規之特性的修正動作可包含,當非合規之特性係至少部分基於目標表面上方之添加物材料的厚度小於預定厚度閾值時,將額外添加物材料添加至工作件。在另一實施例中,用以解決非合規之特性的修正動作可包含,當非合規之特性係至少部分基於非目標表面上剩餘之添加物材料或非目標表面上剩餘之自我組裝單層係大於預定的剩餘厚度閾值時,蝕刻工作件。在更另一實施例中,用以解決非合規之特性的修正動作可包含,當非合規之工作件特性係至少部分基於來自工作件之反射率係低於預定的反射率閾值時,處理工作件,其中處理為溫度處理、電漿蝕刻處理、或其組合。
文中所揭露之「量測模組」一詞係指可用以在工作件上進行量測以偵測或判斷出各種不合規性或工作件上之變異如參數變異或偵測或判斷出工作件上之缺陷如某種污染的模組/系統/感測器/設備。文中所揭露之「檢視系統」一詞大致上係指量測及收集與量測相關之數據或訊號的量測製程設備或系統或模組。量測模組可進行量測及提供用於文中所進一步揭露之製程站台中的數據。「量測模組」大致上係指用以偵測及量測工作件之特性的量測或感測設備,特性能代表工作件及形成於其上之膜層與裝置的處理。
為了在各種製程模組之間移動工作件,共同製造站台大致上包含被容納於共同製造站台上之一或多個工作件傳送模組,工作件傳送模組係用以在製程模組與量測模組(複數量測模組)之間移動工作件。類似於製程模組,量測模組可與工作件傳送模組耦合。如文中所揭露之本發明的某些實施例中,與其相關的量測模組或檢視系統係併入傳送模組或位於傳送模組內以在工作件於製程模組之間移動時提供量測。例如,量測模組或其一部分可位於傳送模組的內部空間內。在文中結合傳送與量測的設備可被稱為傳送量測模組(TMM)。
在一實施例中,包含製程室及量測模組兩者的共同製造站台係受到一系統的主動控制,該系統處理與工作件上之特性相關的量測數據並使用量測數據以控制製程程序中工作件的移動與製程。根據本發明之實施例,控制系統使用量測數據及其他數據以部分基於量測數據進行修正製程,提供製程程序的主動阻斷以修正不合規性或缺陷。更具體而言,主動阻斷控制系統係容納於共同製造站台上且係用以部分基於量測數據而進行修正製程,工作件的修正製程可在製程程序中之上游或下游的站台的製程模組中進行,以解決偵測到不合規性或缺陷的情況。在本發明的一實施例中,例如將工作件維持在受控環境如真空內。即,在共同製造站台上,製程模組及量測模組在受控環境中操作且工作件傳送模組在工作件不離開受控環境的情況下於製程程序中的製程程序與一或多個量測模組之間傳送工作件。
文中所用的「主動阻斷」係大致上指用以實時捕捉和各種製造製程相關之量測數據以獲得工作件特性上之數據並藉此偵測不合規性或缺陷以及控制之修正態樣以修正或不合規性或缺陷的控制系統。主動阻斷控制系統使用在半導體製造製程中藉著主動改變製程程序及/或進行製程步驟之模組的操作而修正及改善各種不合規性用的數據。是以,主動阻斷控制系統亦與用以使工作件移動通過製程的一或多個傳送模組(如410)交界。主動阻斷控制系統(如下面將更進一步說明之圖6中的622及圖7A-7D中的722)協調數據收集、數據分析、偵測不合規性與製造製程並更進一步指示複數製程模組的動作以解決偵測到的不合規性或缺陷。主動阻斷控制系統係藉由文中所述的一或多個電腦或計算裝置所實施,電腦或計算裝置操作特別設計過的程式組如在文中共同被稱為主動阻斷元件的深度學習程式或自主學習元件。可瞭解,主動阻斷控制系統可包含複數程式/元件以協調來自各種量測模組的數據收集及接續的分析。主動阻斷控制系統與共同製造站台中的複數製程模組交界以解決各種量測到之不合規性/缺陷以修正或改善不合規性/缺陷。主動阻斷控制系統將藉此控制製程模組中的一或多者及製程程序以達本發明之期望結果,期望結果可被稱為目標條件或預定閾值。
主動阻斷控制系統亦控制傳送模組以在偵測到不合規性/缺陷時將工作件移動至製程模組的上游及/或下游。意即,取決於偵測到什麼,本發明的系統可使工作件在製程程序中更進一步移動、或可將工作件導向至修正模組或製程模組上游以修正或以其他方式解決偵測到的不合規性或缺陷。是以,經由傳送模組提供前饋及反饋機制以提供本發明之主動阻斷。又,對於未來的工作件可能會影響到製程程序的上游或下游。
本發明之主動阻斷特徵利用收集到之量測數據以批次與批次之間、晶圓與晶圓之間、晶圓內的實時製程控制,改善製造製程的效能、良率、產量、及彈性。在製程期間在不將工作件/基板/晶圓自受控制製程環境移除的情況下實時收集量測數據。根據本發明之一特徵,在一共同製造站台中在基板仍留在受控環境如不破真空時捕捉量測數據。意即,工作件傳送模組(複數工作件傳送模組)係用以在不使工作件離開受控環境的情況下在複數製程模組與量測模組之間傳送工作件。主動阻斷控制可提供多變數模型系的系統,此系統係與前饋及反饋機制一起建構以基於進入之工作件及模組或設備的狀態特性來自動判斷每一工作件的最佳配方。主動阻斷控制系統使用製造量測數據、製程模型及複雜的控制演算法來提供能提昇最終裝置目標之中間製程目標的動態細微調整。如文中所述,阻斷系統能利用類似的建構方塊、概念及演算法致能共同製造站台上之單一腔室、製程設備、複數設備、製程模組及複數製程模組各處的可縮放控制解決方案。
圖6為在共同製造站台600上施行本發明之一實施例之另一系統的概圖。站台600包含用以在根據本發明實施例之主動阻斷控制系統622之控制下進行整合工作件程序及工作件量測的複數製程模組/系統。圖6例示本發明之一實施例,其中一或多個工作件量測模組係經由一或多個傳送模組而與一或多個工作件製程模組耦合在一起。以此方式,根據本發明之特徵,可在工作件留在共同製造站台內時對工作件進行檢視以提供與工作件之特性相關的量測數據如和工作件之材料特性、形成在工作件上之各種薄膜、膜層與特徵部相關的量測數據。如文中所討論的,在完成製程步驟如蝕刻或沉積步驟時立即進行量測及分析,然後可分析收集到的量測數據並將其用於共同製造站台內以解決就工作件設計參數而言超出規格或不合規或表現出缺陷的任何量測或特徵部。不需要自共同製造站台移除工作件便能採取修正動作,而是工作件可以留在受控環境下。
參考圖6,圖示共同製造站台600。站台600包含用以將一或多個工作件導入製造站台中的前端模組602。如所知,前端模組(FEM)可包含一或多個支撐工作件的盒。前端模組可維持在大氣壓但受到惰性氣體吹淨以提供潔淨的環境。接著可如文中所討論的,一或多個工作件例如經由一或多個加載互鎖室(未顯示)而被傳送至傳送模組610。圖6之傳送模組為其中整合包含了用以自工作件捕捉數據之量測設備或檢視系統的傳送量測模組(TMM)。複數TMM 610可交界以使工作件移動通過期望的程序。傳送量測模組 610係與複數製程模組耦合。此類製程模組可包含各種不同的製程步驟或功能並可包含一或多個蝕刻模組630、一或多個薄膜形成模組620、一或多個清理模組640、及一或多個量測模組612a、612b、612c、612d。根據本發明之實施例且如文中進一步揭露的,可在每一製程步驟之前或之後經由傳送模組610接取量測模組。在一實施例中,量測模組如612c、612d係位於傳送模組610外並受到接取以類似於各種製程模組插入及接收工作件,且在文中量測模組如612c、612d可被稱為座落於共同製造站台600之受控環境內的量測模組。或者,量測模組或其至少一部分如模組612a、612b可位於各別的傳送模組中。更具體而言,量測模組612a、612b的全部或一部分係位於傳送模組610中以定義工作件可位於其中而在傳送製程期間進行量測的量測區域。量測區域係位於傳送模組610的專用區域中且可被用以放置工作件之傳送模組的傳送機構所接取。如所述,這使得傳送模組實質上為文中所討論的傳送量測模組(TMM)。
一般而言,傳送模組在其中定義一腔室,其容納能在真空下移動工作件通過各種閘閥及接取或傳送接口而將工作件送至各種製程模組或量測模組中的傳送機器人。藉著將量測模組維持在共同製造站台600上,例如在一或多個製程步驟之間可輕易接取量測模組以提供量測到之必要分析數據,此類數據可快速地被用於解決任何超出規格或以其他方式不符合特定工作件之工作件設計計劃的任何工作件或解決可偵測到的缺陷。以該方式,提供實時數據使製造者能在系統的早期識別問題俾以在目前的製程程序中如取決於捕捉到的數據及偵測到的不合規性或缺陷在後面的製程步驟中、在先前的製程步驟中、及/或在未來的製程步驟中採取救濟動作。以該方式,可增加製造能力及效率、可減少製程監測的間接費用、且可減少被退或被逐出之工作件之形式的廢棄產品。這皆對製造商或裝置製造者提供大幅的費用節省。
如所述,在包含主動阻斷控制系統622之本發明的一實施例中,一或多個量測模組係容納於具有製程模組的共同製造站台上以提供與工作件之特性相關的量測數據。數據係由用以偵測不合規性及用以在偵測到不合規性時進行工作件之修正製程的主動阻斷控制系統622所使用。當偵測到不合規性時,在製程程序中的上游及/或下游進行修正製程。
參考圖7A,顯示適合用以實施ASD方法之例示性的共同製造站台700。共同製造站台700包含積體電路及其他裝置之製造所用之複數模組及用以處理半導體基板的製程設備。共同製造站台700包含與製程模組一起被包含於共同製造站台700內的一或多個量測模組。例如, 站台700可包含如所示耦合至傳送模組的複數製程模組。在某些實施例中,量測模組或設備亦位於、至少部分位於傳送模組內。是以,可處理工作件,接著立即將工作件傳送至量測模組以收集與工作件之特性相關的各種製造數據,主動阻斷控制系統會進一步要理此些製造數據。主動阻斷控制系統自製程及量測模組收集數據並經由工作件的選擇性移動及對複數製程模組中之一或多者的控制而控制在共同製造站台上執行的製程程序。又,站台700的製程系統可在不使工作件離開共同製造站台700之受控環境的情況下在傳送模組的腔室內傳送工作件及在各種製程模組與量測模組之間傳送工作件。主動阻斷控制系統使用自一或多個量測模組所獲得之工作件量測所推導出的資訊以控制通過各種製程模組的序列製程流程。又,主動阻斷控制系統包含製程模組的原位量測及數據以控制通過站台700的序列製程流程。可單獨使用在受控環境中所獲得之基板上的量測數據或與根據本發明之製程之製程流程控制及改善用的原位製程模組量測數據一起使用。
再次回到圖7A,共同製造站台700包含用以將工作件導入受控環境中的前端模組702。例示性的站台700包含繞著工作件傳送模組710之外圍配置的複數製程模組720a-720d及一或多個量測模組716。共同製造站台700包含耦合至前端模組702的晶圓盒模組704及加載互鎖室708。前端模組702係大致上維持在大氣壓但可藉著以惰性氣體吹淨而提供潔淨環境。加載互鎖室708係耦合至中央化的工作件傳送模組710且可用以將來自前端模組702的工作件傳送至工作件傳送模組710以在站台700的受控環境中受到製程處理。
可將工作件傳送模組710維持在極低的基礎壓力(如5 x 10 -8 Torr或更低)或常以惰性氣體吹淨。根據本發明,在大氣壓或真空條件下操作量測模組716。根據一實施例,將量測模組716 維持在真空條件下且在不離開真空的條件下在站台700中處理晶圓並量測晶圓。如文中所更進一步揭露的,量測模組可包含能量測工作件及/或沉積在工作件上之薄膜及膜層或形成在工作件上之裝置之一或多種材料特性的一或多個檢視系統或分析設備。文中所用之「特性」一詞係用以表示能反應出製程程序之製程品質之工作件、工作件上之膜層、工作件上之特徵或裝置等之量測到的特徵或特性。接著使用與特性相關的量測數據,分析量測數據以及其他經過主動阻斷控制系統的原位製程數據,而調整製程程序。例如,量測到的特性數據反應出工作件上的不合規性或缺陷以提供修正製程。
圖7A基本上例示單一量測模組716。然而,特定的共同製造站台700可包含繞著一或多個工作件傳送系統如工作件傳送模組710設置的複數此類量測模組。此類量測模組716可為類似於製程模組、能經由傳送模組710接取的獨立的模組。此類獨立的模組中大致上包含檢視系統,檢視系統係用以與位於模組之量測區域中的工作件銜合並用以量測與工作件特性相關的量測數據。
在本發明之一替代性實施例中,量測模組可在傳送模組710所定義之傳送室之內部空間之專用區域內的量測區域中實施。又,可包含量測模組,其中量測模組的至少一部分係位於工作件傳送模組之內部空間內,量測模組的其他元件或量測模組的特定檢視系統係位於工作件傳送模組的外部且經由孔洞或窗而與內部空間的專用區域中交界,內部空間的專用區域形成量測區域而工作件係置於內部空間的專用區域中或通過內部空間的專用區域。
本發明的系統及站台的量測模組包含能操作量測與工作件之特性相關之數據的一或多個檢視系統。此類數據可與一或多個特性相關,一或多個特性可反應出製程程序的品質及形成在工作件上之膜層與特徵部與裝置的品質。接著藉由用以偵測工作件或工作件膜層/特徵部上之各種不合規性及/或缺陷的主動阻斷控制系統,分析收集到的量測數據以及製程模組數據。接著,系統在例如製程程序中的上游或下游提供工作件的修正製程以改善/修正不合規性或缺陷並改善整體製程。
根據本發明之實施例,量測模組或檢視系統所進行的量測及所產生的數據係與工作件的一或多個特性相關。例如,量測到的特性例如可包含下列的一或多者:膜層厚度、膜層順形性、膜層覆蓋度、工作件上之一膜層的膜層輪廓、邊緣放置位置、某些特徵部之邊緣放置誤差(EPE)、關鍵尺寸(CD)、塊關鍵尺寸(CD)、格關鍵尺寸(CD)、線寬粗糙度(LWR)、線邊緣粗糙度 (LER)、塊LWR、格LWR、和選擇性沉積製程(複數製程)相關的特性、物理特性、光學特性、電特性、折射率、阻抗、電流、電壓、溫度、質量、速度、加速度、或與工作件上經製造之電裝置相關的某些者的組合。用以產生本發明之量測數據的量測特性的清單不限於且可包含處理工作件及製造裝置可用的其他特性數據。
如文中更進一步討論的,量用以提供特性數據的測模組及/或檢視系統可施行量測用的複數設備及方法以提供本發明之量測。量測模組及/或檢視系統可包含光學方法或非光學方法。光學方法可包含高解析度之光學成像及顯微鏡(如亮區、暗區、同調/非同調/部分同調、偏振、諾馬斯基等)、高光譜(多光譜)成像術、干涉術(如相移、相調制、微分干涉相差、外差法、傅立葉轉換、頻率調制等)、光譜術(如光發射、光吸收、各種波長範圍、各種光譜解析等)、傅立葉轉換紅外線光譜(FTIR)反射術、散射術、橢圓偏振術、旋光測定術、折射測定術等。非光學方法可包含電子方法(如RF、微波等)、聲學方法、光聲方法、質譜術、殘餘氣體分析儀、掃描電子顯微鏡(SEM)、穿透式電子顯微鏡(TEM)、原子力顯微鏡(AFM)、能量色散X射線譜(EDS)、X射光發射光譜學(XPS)等。例如,用於量測與工作件之特性相關之數據的檢視系統可使用一或多種下列的技術或裝置:光學薄膜量測如反射術、干涉術、散射術、輪廓測定法、橢圓偏振術;X射線量測如X射線光發射光譜學(XPS)、X射線螢光術 (XRF)、X射線繞射術(XRD)、X射線反射術(XRR);離子散射量測如離子散射光譜學、低能量離子散射(LEIS)光譜學、歐傑電子能譜學、二次離子質譜學、反射吸收IR光譜學、電子束檢視、粒子檢視、粒子計數裝置與檢視、光學檢視、摻質濃度量測、薄膜電阻率量測如4點探針、渦流量測;微平衡、加速度計量測、電壓探針、電流探針、熱量測之溫度探針、或應變計。用以產生本發明用之量測數據的量測技術或裝置的清單不限於且可包含可用以獲得可用於根據本發明處理工作件及製造裝置之數據的其他技術或裝置。
量測模組/或檢視系統可在通過製程系統之各種基板或工作件結構上進行量測,各種基板或工作件結構包含產品工作件或非產品基板即監測基板。在產品工作件上,可在指定目標的結構(類裝置之結構及非類裝置之結構兩者)上、在特定的裝置區域上、或在任意的區域上進行量測。亦可在工作件上所產生的測試結構上進行量測,測試結構可包含節距結構、面積結構、密度結構等。
再次參考圖7A,用以處理基板如半導體或矽(Si)工作件的複數製程模組720a-720d係耦合至傳送室710。Si工作件例如可具有150 mm、200 mm、300 mm、450 mm或大於450 mm的直徑。各種製程模組及量測模組皆經由具有例如閥G的適當閘接取接口與工作件傳送模組710交界。根據文中所揭露之發明的一實施例,第一製程模組720a可在工作件上進行處理製程而第二製程模組720b可在工作件上形成自我對準單層(SAM)。第三製程模組720c可以適合的選擇性沉積製程在工作件上沉積薄膜而第四製程模組720d可選擇性地蝕刻或清理工作件。
傳送模組710係用以在特定的製程步驟之前或之後使工作件在製程模組720a-720d的任何者之間傳送然後傳送至量測模組716中。圖7A更顯示閘閥G,閘閥G在相鄰的製程室/設備元件之間的接取接口處提供隔離。如圖7A之實施例中所示,根據本發明製程模組720a-720d及量測模組716可藉由閘閥G直接耦合至傳送室710且此類直接耦合可大幅改善基板產量。
共同製造站台700包含可耦合以在文中所揭露之整合製程及量測製程期間控制圖7A中所示之各種製程模組及相關之製程室/設備的一或多個控制器或控制系統722。控制器/控制系統722亦可耦合至一或多個額外的控制器/電腦/數據庫(未顯示)。控制系統722可藉由網路自額外的控制器/電腦或伺服器獲得設定及/或組態資訊。控制系統722係用以配置及運作任何或全部之製程模組與製程設備並用以自各種量測模組收集數據及自製程模組收集原位數據,以提供本發明之主動阻斷。控制器722收集、提供、處理、儲存、及顯示來自任何或全部製程模組及設備元件的數據。如文中所進一步說明的,控制系統722可包含複數不同的程式與應用程式及製程引擎,以分析量測數據與原位製程數據並施行演算法如深度學習網路、機器學習演算法、自主學習演算法及用以提供本發明之主動阻斷的其他演算法。
如文中所進一步說明的,主動阻斷控制系統722可在一或多個電腦裝置中施行,電腦裝置具有微處理器、適合的記憶體、及數位I/O接口且能夠產生足以溝通與活化至站台700之各種模組之輸入及與在站台700上運行的基板製程系統交換資訊。控制系統722監測來自站台700之製程系統的輸出以及來自站台之各種量測模組的量測數據。例如,可使用儲存在控制系統722之記憶體中的程式根據製程配方或程序活化各種製程系統的輸入並傳送系統,以進行期望之整合工作件製程。
控制系統722亦使用量測數據以及製程模組所輸出的原位製程數據,偵測工作件中的不合規性或缺陷並提供修正製程。如文中所討論的,控制系統722可以通用電腦系統施行之,通用電腦系統進行本發明之微處理器系的製程步驟的部分或全部以回應執行被包含在記憶體中之程式之一或多個指令之一或多個程序的處理器。可自另一電腦之可讀媒體如硬碟或可移除式媒體碟將此類指示讀至控制系統的記憶體中。多製程配置中的一或多個處理器亦可以控制系統微處理器元件施行之,以執行被包含於記憶體中之指令的程序。在替代性的實施例中,可使用硬接線電路來取代用以施行本發明的軟體指令或者與其組合使用。是以,實施例不限於用以執行文中所討論之本發明之量測驅動製程之硬體電路及軟體的任何特定組合。
主動阻斷控制系統722可位於站台700的附近或其可位於站台700的遠端。例如,控制器722可利用直接連接、內聯網連接、網際網路連接、或無線連接中的至少一者與站台700交換數據。控制系統722可例如耦合至客戶端(如裝置製造商等)的內聯網、或其可耦合至例如廠商端(即設備製造商)的內聯網。此外,例如控制系統722可經由適當的有線或無線連接而耦合至其他系統或控制。又,另一電腦(即控制器、伺服器等)可接取例如控制系統722以藉由直接有線連接或無線連接如內聯網連接及/或網際網路連接的至少一者交換數據。熟知此項技藝者亦應瞭解,控制系統722會藉由適當的有線或無線連接與共同製造站台700的模組交換數據。製程模組可具有其自己的獨立控制系統(未顯示),此獨立控制系統在製程程序期間取得製程室及設備及模組之子系統之控制用的輸入數據並提供和製程參數有關之原位輸出數據。
特別參考圖7A與7B且根據一實施例,可在量測模組716中獲得量測數據,量測模組716為站台700上耦合至傳送模組710的分離模組。一般而言,傳送模組710具有包含一或多個傳送機構或機器人714的腔室,傳送機構或機器人714會在製程程序中搬運工作件並經由腔室的內部空間將工作件移進及移出製程模組中。
更具體而言,傳送機構714係位於傳送模組710的內部空間713內,傳送模組710可定義受控環境且係用以使工作件移動通過內部空間與環境並選擇性地移入與移出複數製程模組720a-720d及量測模組716或移入或移出內部空間之專用區域中的量測區域讓量測檢視系統能量測數據。根據本發明之一特徵,由於傳送模組710之內部空間713及製程模組720a-720d及量測模組716在共同製造站台700上係耦合在一起,因此可針對工作件大致上經過之大部分或所有的量測及製程程序維持受控環境。此類受控環境可涉及傳送模組或量測模組中的真空環境或惰性氣體氛圍。
傳送模組710包含複數接取接口或側接口,每一接口具有適當的閘門G,工作件通過閘門G而移動往返複數製程模組720a-720d。為了對站台700上的有效率產量提供必要的製程順序,複數製程模組720a-720d包含在共同站台上處理各種工作件製程步驟的模組,其包含一或多個蝕刻模組及一或多個薄膜形成或沉積模組。如圖7A中所示之量測模組716係亦經由適當的閘門G於側接口或接取接口之一者處與傳送模組710耦合。在其他實施例中,量測模組係於形成在傳送模組之上部中之接口處與傳送模組耦合。在文中所述之更其他實施例中,傳送模組具有量測模組的功能,其中用以捕捉量測數據之量測模組的至少一部分係包含於或位於傳送模組之內部空間內。如圖7C-7D中所示,此類實施例中的傳送量測模組(TMM)包含位於傳送模組之內部空間之專用區域內的量測區域。
當基板在一或多個製程模組及量測模組716之間於製程程序中移動時,主動阻斷控制系統722大致上快速收集工作件量測數據。捕捉接著分析及處理數據,以偵測不合規性及缺陷並提供文中所討論的修正製程。主動阻斷控制系統722提供程序之製程步驟的必要控制,以控制對所進行之各種製造製程步驟的調整而修正偵測到的不合規性/缺陷。可對程序中已捕捉之量測數據之前或上游的製程步驟及製程模組及/或量測數據之後或下游的製程步驟進行調整。或者,適合的修正動作或修正製程可包含自站台700逐出工作件以避免在無法救治的工作件上浪費更多時間及材料。
參考圖7B,例示包含檢視系統730之例示性的量測模組716,檢視系統730係用以針對在共同製造站台700上執行之製程程序進行工作件上的實時量測。
如文中所討論的,檢視系統730量測與工作件之特性相關的數據。檢視系統730包含將量測訊號734導向工作件736的一或多個訊號源732。入射訊號734係自工作件736的表面反射及散射,經散射的訊號735係由偵測器740所捕捉。偵測器740產生量測數據750,接著可將量測數據750導向文中所述的主動阻斷控制系統722。在一實施例中,傳送機構714將工作件736放至量測站台738上,量測站台738可如圖7B中的箭頭所示水平側向及垂直上下移動俾使量測訊號734可被導向至工作件736上的各種適合位置上。
即,在圖7B的實施例中,量測模組包含用以支撐被置於量測模組716中之工作件736的分離的支撐機構738。檢視系統和支撐機構738銜合以量測被支撐於支撐機構上之工作件之特性相關的數據。在此情況中,量測模組716中的支撐機構738係大致上與以其他方式移動工作件736並將工作件736置於支撐機構上的傳送機構分離。
分離的支撐機構可水平移動工作件736如使工作件736垂直及/或水平移動,分離的支撐機構亦可旋轉工作件736以針對文中所討論之量測與工作件736之特性的數據提供至少兩自由度。支撐機構中亦可包含溫度控制元件以控制工作件溫度。因此在圖7B的實施例中,在工作件736被傳送機構放置到支撐機構上後,支撐機構提供量測數據所需的工作件736的支撐及移動。在一替代性實施例中,傳送機構可對與檢視系統730銜合提供支撐及移動工作件736的功能,檢視系統730係用以量測與工作件736上之特性相關的數據。
接著可將經捕捉的量測數據750導向至控制系統722進一步評估與分析以判斷對受到量測之工作件的特定動作。若量測數據指示經量測的參數係落在期望之設計與製造製程的規格內及/或並無可採取動作之偵測到的缺陷,可使工作件正常通過站台700內的製程流程。或者,若量測數據 750指示工作件無法修正或改善,可將工作件逐出更進一步的製程處理。或者,根據本發明之一實施例,主動阻斷控制系統722可分析數據並提供修正製程,修正製造例如是針對工作件欲採取之一或多個修正步驟或在整體製程流程的各種製程步驟中欲採取的一或多個修正步驟以修正現行工作件及避免在接續欲於站台700上受到處理之其他工作件中必須採取修正動作。尤其參考圖7B,主動阻斷控制系統722中可包含一或多個製程步驟及製程元件以對製程流程提供修正。首先,可依方塊754捕捉及前處理必要的量測數據750。接著如方塊756所示,在已捕捉到的數據以及與一或多個製程模組與製程步驟相關之原位製程數據上進行模型化及數據分析。模型化及分析可使用人工智慧,其包含深度學習及自主學習程式及元件。接下來,分析可提供修正製程控制,其中控制製程步驟及製程模組中的一或多者係受到控制以修正或改善已識別出或偵測到之超出工作件製造之整體設計之規格之膜層及特徵部中的不合規性或缺陷。可對一或多個製程步驟或製程模組提供方塊758的修正製程控制,且方塊758的修正製程控制可在根據期望設計的整體基板製造內應用至先前時間上(上游)的製程步驟以捕捉量測數據750或可應用至後續(下游)之一或多個製程步驟以捕捉量測數據750。主動阻斷控制系統722及方塊754、756及758所指之製程可被包含在控制系統722之一或多個電腦及/或該系統之元件所執行的軟體中。
根據本發明之實施例,取決於欲量測的特性或量測類型,用以獲得量測數據的檢視系統藉著進行接觸式量測或非接觸式量測而與工作件銜合。可使用接觸式與非接觸式量測的組合。取決於檢視系統的位置,檢視系統的部分可部分或全部位於模組的內部空間或腔室內。在文中所揭露之圖7A的實施例中,專用的量測模組716可完全容納檢視系統。或者,量測模組的一部分可位於腔室之內部空間內如工作件傳送模組之內部空間內而量測模組的另一部分係位於腔室外。例如此類實施例係例示於圖7D中,其中例示傳送量測模組利用位於傳送室內部空間之專用區域內的量測區域且檢視系統係用以與位於量測區域中的工作件銜合以量測與工作件上之特性相關的數據。
支撐工作件736的支撐機構738或傳送機構714可平移及旋轉以提供工作件736上各種區域的量測。以此方式,可在整個工作件之各種部分或區段處捕捉量測數據。是以,連續量測或逐點量測皆可能的,藉此減少總共的量測時間及製程時間。
例如,檢視系統量測工作件之一部分上的數據,該部分係等於或超過1平方公分。或者,檢視系統量測或成像工作件的實質部分,此實質部分係等於或超過工作件之工作表面面積的90%。如所述,檢視系統可在工作件之工作表面上的複數離散位置處進行量測或可在橫跨工作件之一部分的各種進行連續的依序量測。例如,檢視系統可沿著延伸橫跨或部分橫跨工作件的一路徑進行量測。此類路徑可包含線、一系列的線、弧、圓形曲線、螺旋曲線、阿基米德螺旋、對數螺旋、黃金螺旋、或其組合。又,可能有數個檢視系統,其中訊號源/偵測器對732、740的每一者皆可代表來自一檢視系統之一不同檢視訊號且可為不同形式的訊號。例如取決於檢視系統,一訊號源/偵測器對732、740可使用光學訊號而另一訊號源/偵測器對732、740可使用電磁訊號。
檢視系統(複數檢視系統)可在工作件係位於如文中所討論之量測模組中或傳送量測模組之專用區域中時進行工作件上之特性的複數量測。在時間上可同時進行量測。即,不同的檢視系統可在相同時間處進行量測。或者,各種檢視系統可在不同時間處操作。例如,針對一類型的量測或檢視系統可能必須將工作件移動或放置到一位置中,接著針對一相同或不同類型的檢視系統的另一量測移動或放置工作件。
檢視系統(複數檢視系統)可為用以提供非接觸式量測的非接觸式系統。或者,量測模組或傳送量測模組的一或多個檢視系統可使用接觸式感測器,接觸式感測器可被移動並放置到工作件的表面處以進行量測。根據本發明所提供的檢視系統可包含用以收集與工作件之特性相關之量測數據的接觸式檢視系統與非接觸式檢視系統的組合。
如上所述,於量測模組中或於傳送量測模組中施行的檢視系統在支撐機構工作件傳送機構移動工作件以使工作件與檢視系統銜合而在工作件的不同區域中進行量測時可維持固定。或者,檢視系統730或其某些部分相對於工作件支撐機構738、工作件傳送機構714及模組為可移動的。檢視系統可用以針對固定的工作件平移及/或旋轉以自工作件的區域獲得量測數據。
在本發明之其他實施例中,檢視系統可嵌於工作件支撐機構中或為工作件支撐機構的一部分。檢視系統730可安裝或被支撐於支撐機構738上。接著當工作件係置於支撐機構上時,其係位於可與檢視系統銜合的適當位置中。例如,檢視系統730可嵌於支撐機構中俾以位於經放置之工作件的下方或附近以提供與工作件之質量量測或溫度量測相關的量測數據。
圖7C例示根據本發明之一實施例之包含傳送模組710’的共同製造站台700’,傳送模組710’使用專用區域形成量測區域,在量測區域中可在傳遞期間自工作件收集量測數據。以此方式,如文中所述,可在工作件維持在受控環境內如真空環境內時處理及量測工作件。為了判斷製程如何進行以及偵測任何不合規性或缺陷,工作件不必離開站台700’的環境。因此圖7C中所示的實施例形成可與一或多個製程模組一起使用或作為共同製造站台之一部分的傳送量測模組(TMM)。又,可使用及共同交界複數傳送量測模組以協作並形成更大的共同製造站台。
包含在傳送量測模組(TMM)內的檢視系統係類似於文中所述之其他檢視系統且以類似的方式操作。此類如圖7D中的檢視系統例如僅例示某些檢視系統。然而應瞭解,檢視系統及特徵如上面討論者亦可應用至圖7C中所示的傳送機構模組。是以,如前文中所討論的在圖7C-7D中使用某些共同的參考標號。
站台700’包含提供量測數據的工作件傳送模組710’。傳送量測模組(TMM)710’包含在傳送室713之內部空間內的工作件傳送機構如具有搬運機器人714的形式。傳送機構714能在站台700中操作以使一或多個工作件移動通過傳送模組710’及在耦合至共同製造站台中之傳送模組710’的各種製程模組之間移動。根據本發明之一特徵,傳送室713定義包含量測用之專用區域的內部空間。TMM 710’的量測區域715係位於專用區域中。量測區域/區域715係位於一或多個量測用之檢視系統730的附近。
更具體而言,量測區域715係位於傳送室713內俾以不會干擾傳送量測模組使工作件移動通過製程程序及移入與移出各種製程模組的主要目的。量測區域定義用以放置工作件以進行量測的一或多個位置。為達該目的,一或多個檢視系統係用以銜合位於傳送室713之量測區域中的工作件。接著可根據本發明操作檢視系統以量測與工作件上之特性相關的數據。如在文中所揭露之檢視系統注意到的,支撐機構可位於量測區域715內以在檢視系統收集量測數據的期間支撐工作件。或者,傳送機構714可提供工作件在傳送室之量測區域715內的放置及支撐。根據本發明之實施例,在製程程序期間工作件可移動至量測區域715中或移動通過量測區域715,以自與該量測區域相關之一或多個檢視系統獲得量測數據。雖然在例示性的圖7C 中例示單一量測區域,但可將複數量測區域715包含至TMM 710’中。
參考圖7D,TMM模組710’包含位於量測區域 715內之一或多個檢視系統730且提供在製程程序期間獲得實時量測及量測數據的能力。在一實施例中,TMM 710’內的量測區域715包含支撐機構738,支撐機構738可自用以在腔室713內進行量測之機構714接收工作件。當工作件在製程模組之間移動時捕捉量測數據。如上面所討論的,或者,傳送機構或機器人714相對於TMM 710’中的檢視系統730可事實上具有用以移動工作件之支撐機構的功能。又,TMM 710’中的檢視系統730亦可包含固定的工作件,其中檢視系統730本身移動。類似地,檢視系統730可被包含而作為支撐機構的一部分或嵌於支撐機構。
量測模組或檢視系統730可整個被包含於TMM 710’中以進行量測。在其他實施例中,量測模組或檢視系統的至少一部分係位於TMM 710’之內部空間內以如圖7D中所示在內部空間的專用區域內定義量測區域但其他部分可位於TMM 710’的外部。更具體而言,量測區域 715係定義於且位於傳送室713之內部空間的專用區域內。檢視系統730之訊號源及訊號偵測器元件可位於傳送室內部空間713的外部,而用以支撐工作件736之工作件支撐機構738與傳送機構714係被包含於傳送室713內。為達該目的,檢視訊號734通過適合的接取接口742,接取接口742對於來自檢視系統730進入內部空間713以與位於量測區域715中之工作件736銜合的檢視訊號734的通道是有效透明的。如所述,檢視訊號734可包含電磁訊號、光學訊號、粒子束、帶有電荷之粒子束、或此類訊號的某些組合。可適當地形成接取接口742以與特定的檢視系統及檢視訊號的訊號源一起操作。例如,接取接口742可包含窗、開口、閥、遮板、虹膜、或用以形成接取接口以使入射之檢視訊號能與工作件736銜合之不同結構的某些組合。為達該目的,檢視系統730的至少一部分可大致上位於傳送室713之上表面的上方。
雖然已藉由本發明之一或多個實施例的說明例示本發明且已以細節說明此些實施例,但此些實施例不應被視為是限制性的或以任何方式將隨附之申請專利範圍的範疇限制至此類細節。熟知此項技藝者當輕易明白其他額外的優點及修改。因此在其較廣義的態樣上本發明不限於所示及說明的特定細節、代表性設備與方法、及說明性的實例。因此,可在不脫離本發明之大致發明概念範疇的情況下偏離此類細節。
100‧‧‧工作件
110‧‧‧基板
112‧‧‧目標金屬表面
114‧‧‧非目標之介電表面
116‧‧‧平坦層
118‧‧‧金屬添加物材料
120‧‧‧金屬層
122‧‧‧層間介電層
124‧‧‧目標金屬表面
126‧‧‧非目標之介電表面
128‧‧‧金屬添加物材料
130‧‧‧基板
132‧‧‧目標介電表面
134‧‧‧非目標之金屬表面
136‧‧‧平坦層
138‧‧‧介電添加物材料
140‧‧‧介電層
142‧‧‧金屬線
144‧‧‧目標介電表面
146‧‧‧上平坦層
148‧‧‧介電添加物材料
200‧‧‧工作件
208‧‧‧SAM
210‧‧‧基板
220‧‧‧目標表面
230‧‧‧非目標表面
240‧‧‧阻障層
250‧‧‧介電添加物材料
260‧‧‧薄膜核
300‧‧‧製程流程
302‧‧‧操作
304‧‧‧操作
306‧‧‧操作
308‧‧‧操作
310‧‧‧操作
312‧‧‧箭頭
320‧‧‧操作
322‧‧‧操作
324‧‧‧操作
326‧‧‧操作
400‧‧‧共同製造站台
402‧‧‧前端模組
402a-b‧‧‧前端模組
410‧‧‧傳送模組
410a-e‧‧‧傳送模組
415‧‧‧前處理模組
420‧‧‧薄膜形成模組
430‧‧‧蝕刻模組
430a‧‧‧蝕刻模組
440‧‧‧線
450‧‧‧線
500‧‧‧工作件
510‧‧‧基板
520‧‧‧目標表面
530‧‧‧非目標表面
540‧‧‧阻障層
550‧‧‧金屬添加物材料
560‧‧‧薄膜核
600‧‧‧共同製造站台
602‧‧‧前端模組
610‧‧‧傳送模組
612a-d‧‧‧量測模組
620‧‧‧薄膜形成模組
630‧‧‧蝕刻模組
622‧‧‧主動阻斷控制系統
700‧‧‧共同製造站台
700’‧‧‧共同製造站台
702‧‧‧前端模組
704‧‧‧晶圓盒模組
708‧‧‧加載互鎖室
710‧‧‧傳送模組
710’‧‧‧傳送模組
713‧‧‧內部空間/傳送室
714‧‧‧傳送機構/機器人
715‧‧‧量測區域
716‧‧‧量測模組
720a-d‧‧‧製程模組
722‧‧‧控制器/控制系統
730‧‧‧檢視系統
732‧‧‧訊號源
734‧‧‧量測訊號/檢視訊號
735‧‧‧訊號
736‧‧‧工作件
738‧‧‧量測站台/支撐機構
740‧‧‧偵測器
742‧‧‧接取接口
750‧‧‧量測數據
754‧‧‧方塊
756‧‧‧方塊
758‧‧‧方塊
被包含於此成為說明書之一部分的附圖例示本發明之實施例,且與上面之發明內容及下面之實施方式一起解釋本發明。
圖1A-1D為根據本發明之實施例之區域選擇性薄膜形成方法的概略橫剖面圖。
圖2A-2D以概略橫剖面圖例示根據區域選擇性沉積方法之一實施例之製程步驟的整合程序。
圖3之流程圖例示區域選擇性沉積之整合製程流程的一實施例。
圖4之概圖例示用以進行整合區域選擇性沉積方法之共同製造站台的一實施例。
圖5A-5D以概略橫剖面圖例示根據區域選擇性沉積方法之一實施例之製程步驟的整合程序。
圖6之概圖例示用以進行製程步驟之整合程序之共同製造站台的一實施例。
圖7A之概圖以上視圖例示用以進行製程步驟之整合程序之共同製造站台的另一實施例,圖7B為被包含於圖7A之共同製造站台中之量測模組的部分橫剖側圖。
圖7C之概圖以上視圖例示用以進行製程步驟之整合程序之共同製造站台的另一實施例,圖7D為被包含於圖7C之共同製造站台中之量測模組的部分橫剖側圖。
300‧‧‧製程流程
302‧‧‧操作
304‧‧‧操作
306‧‧‧操作
308‧‧‧操作
310‧‧‧操作
312‧‧‧箭頭
320‧‧‧操作
322‧‧‧操作
324‧‧‧操作
326‧‧‧操作
Claims (21)
- 一種於半導體工作件上選擇性沉積的方法,其利用在容納複數製程模組之共同製造站台上所執行之製程步驟的整合程序,該複數製程模組包含一或多個薄膜形成模組、一或多個蝕刻模組、及一或多個傳送模組,該製程步驟的該整合程序包含: 將一工作件接收至該共同製造站台中,該工作件具有一第一材料的一目標表面及不同於該第一材料之一第二材料的一非目標表面; 在該一或多個薄膜形成模組的一者中將一添加物材料沉積至該工作件上,其中該沉積相對於該非目標表面具有選擇比,導致該添加物材料之一膜層相較於該非目標表面上而以較高的沉積速率形成在該目標表面上; 在該一或多個蝕刻模組的一者中蝕刻該工作件以自該非目標表面移除非所欲的添加物材料;及 當該目標表面上之該添加物材料之該膜層的厚度係小於一目標厚度時,重覆該工作件的該沉積及蝕刻步驟; 其中該製程步驟的該整合程序係於該共同製造站台內的一受控環境中執行而未離開該受控環境,其中該一或多個傳送模組係用以在該複數製程模組之間傳送該工作件並使該工作件維持在該受控環境內。
- 如申請專利範圍第1項之於半導體工作件上選擇性沉積的方法,其中該一或多個傳送模組更包含位在該一或多個傳送模組之至少一者的一專用區域內的一工作件量測區域,且該方法更包含: 檢視該目標表面及/或該非目標表面上的該添加物材料,以判斷該工作件上之該添加物材料的缺陷性、厚度、均勻度、及/或選擇比,該檢視步驟在該工作件於該複數製程模組之間傳送的至少一者期間藉著使該工作件傳入該工作件量測區域並獲得與該工作件之一或多個特性相關的量測數據而進行。
- 如申請專利範圍第2項之於半導體工作件上選擇性沉積的方法,更包含: 當該檢視步驟指示該添加物材料的該缺陷性、均勻度及/或選擇比不符合一目標閾值時,藉由下列者在該工作件上進行一修正動作:(i)蝕刻該目標表面、(ii)蝕刻該非目標表面、(iii)將更多添加物材料沉積至該工作件上、(iv)熱處理該工作件、(v)電漿處理該工作件、或上述兩或更多者的任何組合。
- 如申請專利範圍第3項之於半導體工作件上選擇性沉積的方法,其中該一或多個特性包含在沉積該添加物材料之前該目標表面的特性、在沉積該添加物材料之前該非目標表面的特性、在沉積添加物材料之後該添加物材料之膜層的特性、在沉積該添加物材料之後該非目標表面的特性、在蝕刻該工作件之後該添加物材料之膜層的特性、或在蝕刻該工作件之後該非目標表面的特性。
- 如申請專利範圍第4項之於半導體工作件上選擇性沉積的方法,其中該一或多個特性包含該目標表面上的孔洞的量、該非目標表面上之該添加物材料的量、選擇比的損失、該添加物材料的輪廓、該工作件之一區域上之該添加物材料的量相對於該工作件之另一區域上之該添加物材料的量、或其兩或更多的組合。
- 如申請專利範圍第1項之於半導體工作件上選擇性沉積的方法,更包含在沉積該添加物材料之前前處理該工作件,以改變該目標表面之一表面終端、或該非目標表面的一表面終端、或其組合,其中該共同製造站台上所容納之該複數製程模組包含用以在該受控環境中進行該前處理的一或多個前處理模組。
- 如申請專利範圍第3項之於半導體工作件上選擇性沉積的方法,其中該共同製造站台包含一或多個量測模組,其中在不離開該受控環境的情況下藉著將該工作件傳送至該量測模組中並獲得與該工作件之一或多個特性相關的量測數據而進行該檢視步驟,其中該量測數據係在該製程步驟之該整合程序之該製程步驟的一或多者之間或之後獲得。
- 如申請專利範圍第3項之於半導體工作件上選擇性沉積的方法,其中該第一材料為一第一介電材料、該第二材料為一金屬、且該添加物材料為一第二介電材料,該製程步驟之該整合程序更包含: 至少部分基於一自我組裝單層相較於該第一介電材料對該金屬具有較高的反應速率,相對於該目標表面而選擇性地在該非目標表面上形成該自我組裝單層; 其中蝕刻該工作件以暴露該非目標表面包含自該非目標表面移除該自我組裝單層及該第二介電材料之核(若核存在),且該重覆步驟包含重覆該選擇性形成、沉積、蝕刻、及該工作件的檢視步驟直到達到該目標厚度。
- 如申請專利範圍第8項之於半導體工作件上選擇性沉積的方法,其中該檢視步驟包含在該一或多個傳送模組之至少一者的一專用區域內的一工作件量測區域中或在該共同製造站台上所容納的一量測模組中獲得與該工作件之一或多個特性相關的量測數據,其中該修正動作能移除、最小化、或補償與該添加物材料之該缺陷性、均勻度及/或選擇比相關之該一或多個特性中的非合規性。
- 如申請專利範圍第9項之於半導體工作件上選擇性沉積的方法,其中該修正動作包含下列的一或多者: 當該非合規性係至少部分基於該自我組裝單層對該非目標表面的不完全覆蓋、或該非目標表面之暴露區域的量係大於一預定暴露區域閾值時,移除該自我組裝單層; 當該非合規性係至少部分基於該目標表面與該非目標表面之間之一段差高度距離係小於一預定段差高度閾值、或該非目標表面之暴露區域的量係小於該預定暴露區域閾值時,移除至少一部分之該添加物材料之膜層; 當該非合規性係至少部分基於該目標表面上方之該添加物材料的厚度係小於一預定厚度閾值時,將額外的添加物材料添加至該工作件; 當該非合規性係至少部分基於該非目標表面上之剩餘添加物材料或該非目標表面上之剩餘自我組裝單層係大於一預定的剩餘厚度閾值時,蝕刻該工作件;或 當該非合規性係至少部分基於來自該工作件之一反射率係低於一預定的反射率閾值時,熱處理或電漿處理該工作件。
- 如申請專利範圍第8項之於半導體工作件上選擇性沉積的方法,其中該第一及第二介電材料為氧化物,且沉積該第二介電材料增加形成該目標表面之一氧化物圖案的一垂直高度。
- 如申請專利範圍第11項之於半導體工作件上選擇性沉積的方法,其中該目標表面及該非目標表面形成一平坦表面,其中在該目標表面上沉積該第二介電材料會形成高於該平坦表面之一經舉升的氧化物圖案。
- 如申請專利範圍第11項之於半導體工作件上選擇性沉積的方法,其中該目標表面包含形成在定義該非目標表面的金屬線之間之一介電溝槽圖案之暴露底表面,並且在該目標表面上沉積該第二介電材料為一由下而上的氧化物成長製程以至少部分填充該介電溝槽圖案,其中蝕刻該工作件自與該介電溝槽圖案相鄰的該金屬線之上平坦表面移除該自我組裝單層及該第二介電材料的核(若核存在)。
- 如申請專利範圍第8項之於半導體工作件上選擇性沉積的方法,其中該第二介電材料對該目標表面之該第一介電材料相對於對該非目標表面之該金屬的選擇比至少為10:1。
- 如申請專利範圍第3項之於半導體工作件上選擇性沉積的方法,其中該第一材料為一第一金屬、該第二材料為一介電材料、且該添加物材料為一第二金屬,該製程步驟之該整合程序在沉積該添加物材料之前更包含: 蝕刻該目標表面以移除金屬氧化物; 相對於該第一金屬之該目標表面而在該介電材料的該非目標表面上選擇性地形成一阻障層,俾相較於該非目標表面之介電區域而使該目標表面的更多金屬區域受到暴露,並且將該第二金屬之該添加物材料沉積至該工作件上至少部分基於該阻障層的該選擇性形成步驟而改變該目標表面之該金屬區域與該非目標表面之該介電區域之間的一段差高度距離, 其中該重覆步驟包含至少重覆該選擇性形成、沉積、蝕刻該工作件、及檢視步驟直到達到該目標厚度。
- 如申請專利範圍第15項之於半導體工作件上選擇性沉積的方法,其中該檢視步驟包含在該一或多個傳送模組之至少一者的一專用區域內的一工作件量測區域中或在該共同製造站台上所容納的一量測模組中獲得與該工作件之一或多個特性相關的量測數據,其中該修正動作能移除、最小化、或補償與該添加物材料之該缺陷性、均勻度及/或選擇比相關之該一或多個特性中的非合規性。
- 如申請專利範圍第16項之於半導體工作件上選擇性沉積的方法,其中該修正動作包含下列的一或多者: 當該非合規性係至少部分基於該阻障層對該非目標表面的不完全覆蓋、或該非目標表面之暴露區域的量係大於一預定暴露區域閾值時,移除該阻障層; 當該非合規性係至少部分基於該目標表面與該非目標表面之間之該段差高度距離係小於一預定段差高度閾值、或該非目標表面之暴露區域的量係小於該預定暴露區域閾值時,移除至少一部分之該添加物材料之膜層; 當該非合規性係至少部分基於該目標表面上方之該添加物材料的厚度係小於一預定厚度閾值時,將額外的添加物材料添加至該工作件; 當該非合規性係至少部分基於該非目標表面上之剩餘添加物材料或該非目標表面上之剩餘阻障層係大於一預定的剩餘厚度閾值時,蝕刻該工作件;或 當該非合規性係至少部分基於來自該工作件之一反射率係低於一預定的反射率閾值時,熱處理或電漿處理該工作件。
- 如申請專利範圍第15項之於半導體工作件上選擇性沉積的方法,其中該目標表面及該非目標表面形成一平坦表面,其中在該目標表面上沉積該第二金屬會形成高於該平坦表面之一經舉升的金屬圖案。
- 如申請專利範圍第15項之於半導體工作件上選擇性沉積的方法,其中該目標表面為形成在定義該非目標表面之層間介電層中之一凹陷金屬特徵部圖案之暴露底表面,並且在該目標表面上沉積該第二金屬為一由下而上的金屬沉積以至少部分填充該凹陷金屬特徵部圖案,其中蝕刻該工作件包含自與該凹陷金屬特徵部圖案相鄰的該層間介電層之上平坦表面移除該第二金屬的核。
- 如申請專利範圍第15項之於半導體工作件上選擇性沉積的方法,其中該第二金屬對該目標表面之該第一金屬相對於對該非目標表面的選擇比至少為10:1。
- 如申請專利範圍第15項之於半導體工作件上選擇性沉積的方法,其中選擇性地形成該阻障層包含沉積一自我組裝單層或處理一表面以添加表面終端基團。
Applications Claiming Priority (10)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862645685P | 2018-03-20 | 2018-03-20 | |
US62/645,685 | 2018-03-20 | ||
US201862784155P | 2018-12-21 | 2018-12-21 | |
US62/784,155 | 2018-12-21 | ||
US201962787608P | 2019-01-02 | 2019-01-02 | |
US201962787607P | 2019-01-02 | 2019-01-02 | |
US62/787,607 | 2019-01-02 | ||
US62/787,608 | 2019-01-02 | ||
US201962788195P | 2019-01-04 | 2019-01-04 | |
US62/788,195 | 2019-01-04 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202006784A true TW202006784A (zh) | 2020-02-01 |
Family
ID=67984267
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108109424A TW202006784A (zh) | 2018-03-20 | 2019-03-19 | 用於整合型頭尾相接式區域選擇性沉積製程之操作站台及方法 |
TW108109421A TW201947638A (zh) | 2018-03-20 | 2019-03-19 | 用於整合型頭尾相接式區域選擇性沉積製程之操作站台及方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108109421A TW201947638A (zh) | 2018-03-20 | 2019-03-19 | 用於整合型頭尾相接式區域選擇性沉積製程之操作站台及方法 |
Country Status (4)
Country | Link |
---|---|
US (2) | US11302588B2 (zh) |
KR (1) | KR102580108B1 (zh) |
TW (2) | TW202006784A (zh) |
WO (1) | WO2019182955A1 (zh) |
Families Citing this family (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102580108B1 (ko) * | 2018-03-20 | 2023-09-18 | 도쿄엘렉트론가부시키가이샤 | 통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법 |
US10727057B2 (en) * | 2018-03-20 | 2020-07-28 | Tokyo Electron Limited | Platform and method of operating for integrated end-to-end self-aligned multi-patterning process |
JP7353200B2 (ja) * | 2020-02-06 | 2023-09-29 | 東京エレクトロン株式会社 | 成膜方法 |
CN117941028A (zh) * | 2021-08-25 | 2024-04-26 | 杰米纳蒂奥公司 | 自对准的堆建方法 |
KR20240047447A (ko) * | 2021-08-25 | 2024-04-12 | 제미나티오, 인코포레이티드 | 반도체 패터닝의 보조 피처 배치 |
CN114025487B (zh) * | 2021-10-22 | 2024-03-08 | 广州兴森快捷电路科技有限公司 | Pcb的制作方法、pcb的制作系统、电子设备及存储介质 |
TWI824680B (zh) * | 2022-08-25 | 2023-12-01 | 美商杰米納帝歐股份有限公司 | 自對準堆積方法 |
CN116544146B (zh) * | 2023-05-22 | 2024-04-09 | 浙江固驰电子有限公司 | 功率半导体器件真空烧结设备及方法 |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040126482A1 (en) | 2002-12-31 | 2004-07-01 | Chih-I Wu | Method and structure for selective surface passivation |
US8043432B2 (en) * | 2007-02-12 | 2011-10-25 | Tokyo Electron Limited | Atomic layer deposition systems and methods |
US20080199504A1 (en) | 2007-02-15 | 2008-08-21 | Syed Faiyaz Ahmed Hossainy | Dynamers for therapeutic agent delivery applications |
US9822460B2 (en) * | 2014-01-21 | 2017-11-21 | Lam Research Corporation | Methods and apparatuses for electroplating and seed layer detection |
US9887097B2 (en) * | 2014-12-04 | 2018-02-06 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US10428421B2 (en) * | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US20170092533A1 (en) * | 2015-09-29 | 2017-03-30 | Applied Materials, Inc. | Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor |
EP3363038B1 (en) * | 2015-10-14 | 2022-06-01 | Exogenesis Corporation | Method for ultra-shallow etching using neutral beam processing based on gas cluster ion beam technology |
US10580644B2 (en) | 2016-07-11 | 2020-03-03 | Tokyo Electron Limited | Method and apparatus for selective film deposition using a cyclic treatment |
US10329683B2 (en) * | 2016-11-03 | 2019-06-25 | Lam Research Corporation | Process for optimizing cobalt electrofill using sacrificial oxidants |
US10211318B2 (en) * | 2016-11-29 | 2019-02-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US20190131130A1 (en) * | 2017-10-31 | 2019-05-02 | Lam Research Corporation | Etching metal oxide substrates using ale and selective deposition |
KR102580108B1 (ko) * | 2018-03-20 | 2023-09-18 | 도쿄엘렉트론가부시키가이샤 | 통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법 |
-
2019
- 2019-03-18 KR KR1020207029982A patent/KR102580108B1/ko active IP Right Grant
- 2019-03-18 WO PCT/US2019/022711 patent/WO2019182955A1/en active Application Filing
- 2019-03-18 US US16/356,388 patent/US11302588B2/en active Active
- 2019-03-18 US US16/356,404 patent/US11152268B2/en active Active
- 2019-03-19 TW TW108109424A patent/TW202006784A/zh unknown
- 2019-03-19 TW TW108109421A patent/TW201947638A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
US20190295845A1 (en) | 2019-09-26 |
US11302588B2 (en) | 2022-04-12 |
WO2019182955A1 (en) | 2019-09-26 |
US11152268B2 (en) | 2021-10-19 |
KR20200124313A (ko) | 2020-11-02 |
US20190295903A1 (en) | 2019-09-26 |
KR102580108B1 (ko) | 2023-09-18 |
TW201947638A (zh) | 2019-12-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW202006784A (zh) | 用於整合型頭尾相接式區域選擇性沉積製程之操作站台及方法 | |
CN112189255B (zh) | 自对准多重图案化的方法和半导体加工方法 | |
US11594451B2 (en) | Platform and method of operating for integrated end-to-end fully self-aligned interconnect process | |
KR102711640B1 (ko) | 통합된 단부-대-단부 게이트 콘택 프로세스를 위한 플랫폼 및 동작 방법 |