CN108369897A - 用于钨原子层沉积工艺的作为成核层的共形非晶硅 - Google Patents

用于钨原子层沉积工艺的作为成核层的共形非晶硅 Download PDF

Info

Publication number
CN108369897A
CN108369897A CN201680072766.8A CN201680072766A CN108369897A CN 108369897 A CN108369897 A CN 108369897A CN 201680072766 A CN201680072766 A CN 201680072766A CN 108369897 A CN108369897 A CN 108369897A
Authority
CN
China
Prior art keywords
layer
amorphous silicon
angstroms
substrate
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680072766.8A
Other languages
English (en)
Other versions
CN108369897B (zh
Inventor
陈宏�
陈一宏
K·陈
S·冈迪科塔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN108369897A publication Critical patent/CN108369897A/zh
Application granted granted Critical
Publication of CN108369897B publication Critical patent/CN108369897B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

用于沉积金属膜的方法,包括:在基板上形成非晶硅层以作为成核层和/或胶黏层。一些实施例进一步包括并入胶黏层,以增加非晶硅层与金属层黏着到该基板的能力。

Description

用于钨原子层沉积工艺的作为成核层的共形非晶硅
技术领域
本公开大体上关于沉积薄膜的方法。尤其,本公开关于沉积包括非晶硅的膜的工艺。
背景技术
非晶硅广泛地用在半导体器件、平板显示器、及太阳能电池上。对于开发高深宽比特征中有共形度(conformality,即良好阶梯覆盖率)或缝隙填充(gap-fill)表现的非晶硅沉积工艺而言,依然有关键性的技术挑战。常规的LPCVD工艺被限制在高温(大于摄氏550度)及低压,且因此呈现不良的阶梯覆盖率和/或缝隙填充表现;PECVD工艺也无法提供良好的阶梯覆盖率和/或缝隙填充表现。
钨薄膜的原子层沉积(ALD)在硅、二氧化硅、及氮化钛服务方面呈现非常长的培养延迟,这是由于不良的成核表现所致。成核层通常用于减轻此问题。常规地,ALD的WSix或WBx分别由WF6/Si2H6及WF6/B2H6沉积。然而,WF6直接暴露至基板表面(例如,Si、SiO2)且使基板损坏。
此外,ALD钨膜直接在硅或氧化硅基板表面上不会黏着得很好。为了改善附着,使用氮化钛胶黏(glue)层。但是,氮化钛胶黏层与WSix/WBx成核层无法良好地传导,造成非常高的堆栈(W/WSix/TiN)电阻率。
因此,本领域中有对沉积具有高共形度的金属膜的方法的需要。
发明内容
本公开的一或多个实施例针对处理方法,此类处理方法包括将基板表面暴露至硅前体以形成具有一厚度的非晶硅层。金属层形成于非晶硅层上。
本公开的额外实施例针对包括具氧化物表面的基板的堆栈。胶黏层位于该氧化物表面上。该胶黏层包括TiN,厚度在约5埃至约30埃的范围内。非晶硅层位于该胶黏层上,且具有在约5埃至约50埃的范围内的厚度。金属层位于非晶硅层上且包括钨与钼的一或多者。
本公开的进一步实施例针对处理方法,该等处理方法包括提供具氧化硅表面的硅基板。胶黏层形成于该硅基板上。该胶黏层包括TiN,厚度在约1埃至约30埃的范围内。通过将该胶黏层暴露至包括乙硅烷的硅前体而使非晶硅层形成于该胶黏层上。该非晶硅层具有在约30埃至约40埃的范围内的厚度。金属层形成于该非晶硅层上。
附图说明
通过参考实施例(其中一些实施例描绘于附图中),可得到上文简要总结的本公开的更详细的叙述,如此可详细地了解本公开的上文所记载的特征。然而,应注意附图所说明的仅为本公开的典型实施例,因此不应被视为限制本公开的范围,因为本公开可允许其他等效实施例。
图1显示根据本公开的一或多个实施例的膜堆栈的示意图;
图2A显示根据本公开的一或多个实施例的工艺方案;
图2B显示根据图2A的工艺方案的膜堆栈的示意图;
图3显示根据本公开的一或多个实施例的工艺方案;
图4A显示根据本公开的一或多个实施例的工艺方案;以及
图4B显示根据图4A的工艺方案的膜堆栈的示意图。
具体实施方式
描述本公开的数个示例性实施例之前,应了解本公开不限于下文的叙述中提出的构造或工艺步骤的细节。本公开能实现其他实施例且以各种方式实行或执行。
如本文所用的“基板”是指任何基板或形成在基板上的材料表面,制造工艺期间,膜处理是在该基板或该材料表面上执行的。例如,取决于应用,上面可执行处理的基板表面包括诸如下述材料:硅、氧化硅、应变硅、绝缘体上硅(SOI)、掺杂碳的氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石、及任何其他诸如金属、金属氮化物、金属合金及其他导电材料的材料。基板包括但不限于半导体晶片。基板可暴露至预处理工艺,以研磨、蚀刻、还原、氧化、羟化、退火和/或烘烤基板表面。除了直接在基板本身的表面上膜处理之外,在本公开中,所公开的膜处理步骤中的任一者也可在形成于该基板上的下层上执行,如下文中将更详细公开,且用语“基板表面”旨在包括如上下文所指的此类下层。因此,例如,其中膜/层或部分膜/层已沉积到基板表面上时,新沉积的膜/层的暴露表面变成该基板表面。
如在此说明书中及所附权利要求中所用,用语“前体”、“反应物”、“反应性气体”、及类似者是可替换使用的,以指可与基板表面反应的任何气态物种。
本公开的一或多个实施例针对沉积共形非晶硅薄膜的方法,该共形非晶硅薄膜作为下述的一或多者:胶黏层、氟扩散阻挡物和/或金属ALD(例如,钨沉积)的成核层。虽然在许多实施例中涉及钨沉积,但本领域技术人员会了解,通过所公开的工艺可沉积其他金属,例如钼。本公开不限于ALD钨沉积。
本公开的实施例包括用于金属ALD工艺的工艺整合方案。例如,钨通过原子层沉积而沉积在硅基板上。参考图1,堆栈10可包括基板12(例如SiO2),具有胶黏层14(例如TiN)、成核层16(例如WSix及WBx)及金属18(例如W)。工艺方案的一或多个实施例中,成核层被非晶硅层置换。一些实施例中,成核层被非晶硅层置换且将TiN胶黏层做得较薄。一或多个实施例中,成核层与胶黏层两者被非晶硅层置换。
参考图2A与图2B,本公开的一或多个实施例针对处理方法100与膜堆栈200。于步骤110,提供具有基板表面222的基板220。于步骤120,该基板220暴露至硅前体而在基板表面222上形成非晶硅层240。
一些实施例中,非晶硅(a-Si)形成可通过使硅前体的分压最大化同时使晶片温度最小化而达成。适合的硅前体包括但不限于聚硅烷(SixHy)。例如,聚硅烷包括乙硅烷(Si2H6)、丙硅烷(Si3H8)、丁硅烷(Si4H10)、异丁硅烷、新戊硅烷(Si5H12)、环戊硅烷(Si5H10)、己硅烷(Si6H14)、环己硅烷(Si6H12),或一般而言SixHy且x=2或更大,及上述前体的组合。例如,具有适中的处理温度与高的蒸气压的乙硅烷可单独用作硅前体,或与其他物种相组合地用作硅前体。
一些实施例中,硅前体实质上仅包括乙硅烷。如在此说明书及所附权利要求中所用,词汇“实质上仅……乙硅烷”意味着至少95%的活性物种是乙硅烷。可包括任何量的其他气体,诸如载气及惰性气体。
非晶硅层240的厚度可取决于例如基板表面及后续的膜与工艺而有所不同。一些实施例中,非晶硅层240具有范围在约1埃至约100埃的厚度。一或多个实施例中,非晶硅层240具有范围在约1埃至约50埃、或范围在约10埃至约40埃、或范围在约30埃至约40埃的厚度。一些实施例中,非晶硅层240的厚度大于0埃且小于或等于约50埃、45埃、或40埃。
于步骤130,金属层280形成在非晶硅层240上。金属层280可通过任何适合的技术形成,该技术包括但不限于:原子层沉积(ALD)、等离子体增强原子层沉积(PE-ALD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PE-CVD)与物理气相沉积(PVD)。
金属层280可包括任何适合的金属。一些实施例中,金属层280包括钨或钼的一或多者。一些实施例中,金属层280基本上由钨组成。一些实施例中,金属层280基本上由钼组成。如在此方面所用,“基本上由……组成”意味着金属层280有大于或等于约80、85、90、或95原子%的指定成分。例如,基本上由钨组成的金属层280具有大于或等于约例如90原子%的钨的组成。
一些实施例中,金属层280通过CVD沉积。金属前体与反应物可共同流进处理腔室,以在基板上沉积层。该前体与反应物被允许在气相中反应。
一些实施例中,金属层280通过ALD沉积。在时域(time-domain)ALD工艺中,金属前体流进处理腔室而与表面反应。对腔室进行净化除去过多前体及副产物,并且使反应物流进腔室中。该前体及反应物并非同时在处理腔室中,所以极少或无气相反应。空间ALD工艺中,金属前体流进处理腔室的第一区段,而反应物同时流进处理腔室的第二区段。该第一区段与该第二区段被气帘分开,以防止前体与反应物之间的气相反应。基板在第一区段及第二区段之间移动,以依序地将表面暴露至前体及反应物。一些实施例中,金属层280是通过依序将非晶硅层240暴露至金属前体及反应物而沉积的。
金属前体可以是可用于沉积金属膜的任何适合的前体。一些实施例中,金属前体包含一金属,该金属选自钨、钼、及它们的组合。一或多个实施例中,金属前体包括WF6及MoF6的一或多者。一些实施例中,该金属前体是含氟前体。已知氟可蚀刻硅表面。本公开的一些实施例有利地允许使用氟前体,因为该非晶硅层240可形成至足以确保该前体不移除所有a-Si膜的厚度。
该反应物可以是能够与表面上形成的物种反应的任何适合的反应物。例如,若使用WF6作为前体,则表面上会有-WFx物种。该反应物能够与-WFx物种反应,而产生钨膜。
图3显示本公开的另一实施例的工艺流程,其中在形成非晶硅层240之后包括去气(degas)工艺125。一些实施例中,非晶硅层240暴露至去气环境,以在形成该金属层280之前移除释气(outgas)物种。
沉积的非晶硅层240可散发或释气一物种,该物种例如氢。去气环境提供气态物种散发的机会,从而将最终膜的起泡减至最小。去气环境可包括任何条件,允许或激励膜去气。例如,去气环境可基本上由惰性气体组成。如在这方面所用,“基本上由……组成”意味着没有干扰沉积的膜的释气的气态物种。可存在其他反应性物种而不抑制膜的去气且同时仍基本上由惰性气体组成。
适合的惰性气体包括但不限于:氩、氦、氮、和/或其混合物的一或多者。
一或多个实施例中,释气物种包括氢、SiH2、SiH3、SiH4、和/或其他低阶硅烷。
处理腔室中或处理腔室的区域中的压力可独立受控以用于前体暴露及去气环境。在一些实施例中,对于硅前体及去气环境的各者的暴露发生在约10毫托至约100托的范围内的压力下。一些实施例中,硅前体在大于或等于约500毫托、或者大于或等于约1托、或者大于或等于约5托、或者大于或等于约10托、或者大于或等于约20托、或者大于或等于约30托的压力下暴露至基板。
基板表面暴露至前体或去气环境时的温度可取决于例如前体及正在形成的器件的热预算而有所不同。一些实施例中,对于前体及去气环境的各者的暴露发生在约摄氏100度至约摄氏700度的范围内的温度下。一或多个实施例中,卤化硅前体在约摄氏250度至约摄氏600度的范围内、或约摄氏400度至约摄氏550度的范围内的温度下暴露至基板。
可修改暴露至去气环境之前用硅前体形成的a-Si膜的厚度。一些实施例中,对于硅前体及去气环境的各暴露生长厚度在约5埃至约20埃的范围内的膜。
前体与去气环境可重复、依序地暴露至基板表面,而形成具预定厚度的膜。一些实施例中,非晶硅膜具有在约100埃至约1微米的范围内的总厚度。
一些实施例中,a-Si是由乙硅烷在低于约摄氏450度的晶片温度沉积的,且乙硅烷分压大于或等于约20托。示例性实施例中,基板在大于或等于约20托的压力下且在约摄氏400度至约摄氏550度的范围内的温度下暴露至硅前体。
参考图4A与图4B,本公开的一些实施例进一步包括步骤160,其中于形成非晶硅层240之前将胶黏层260沉积在基板上。该胶黏层260是非晶硅可黏着的层,且相较于非晶硅层直接沉积于基板220上的情况,该非晶硅黏着至该胶黏层是较不可能剥落的。一些实施例中,该胶黏层包括TiN。一或多个实施例中,基板220具有氧化硅表面,且胶黏层包括TiN。
胶黏层260的厚度可取决于待沉积的非晶硅的厚度及基板而有所不同。一些实施例中,该胶黏层260的厚度在约1埃至约30埃的范围内,或在约5埃至约30埃的范围内。一些实施例中,该胶黏层260的厚度小于或等于约20埃、或者小于或等于约15埃,或者小于或等于约10埃,或者小于或等于约5埃。
参考图4B,本公开的一或多个实施例针对堆栈200,该堆栈200包括基板220,该基板220具有氧化物表面222。胶黏层260位于该氧化物表面222上。一些实施例的胶黏层包括TiN,且厚度在约5埃至约30埃的范围内。非晶硅层240位于胶黏层260上,且具有在约5埃至约50埃的范围内的厚度。金属层280位于非晶硅层240上且包括钨与钼的一或多者。
根据一或多个实施例,在形成该层之前和/或之后,该基板经受处理。此处理可在相同腔室中或在一或多个分开(separate)的处理腔室中执行。一些实施例中,基板从第一腔室移动到分开的第二腔室以进一步处理。该基板可直接从第一腔室移动到该分开的处理腔室,或该基板可从第一腔室移动到一或多个移送腔室,然后移动到该分开的处理腔室。相应地,处理设备可包括与移送站连通的多个腔室。此类的设备可称为“群集工具”或“群集系统”等。
大体上,群集工具是包括多个腔室的模块化系统,该等腔室执行各种功能,包括基板定心(center-finding)及定向、去气、退火、沉积和/或蚀刻。根据一或多个实施例,群集工具包括至少第一腔室与中央移送腔室。该中央移送腔室可容置机器人,该机器人能够使基板在处理腔室及装载锁腔室之间穿梭。该移送腔室一般被维持在真空条件,且提供中间平台以使基板从一个腔室穿梭至另一腔室和/或装载锁腔室,该装载锁腔室定位在群集工具的前端。可适于本公开的两种已知的群集工具是上述两者皆可得自美国加利福尼亚圣克拉拉的应用材料公司。然而,可改变确切的腔室排列及组合,以执行如本文所述的工艺的特定步骤。可使用的其他处理腔室包括但不限于:循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、热处理(诸如RTP)、等离子体氮化、去气、定向、羟化、及其他基板工艺。通过在群集工具上的腔室中执行工艺,可避免因大气杂质造成的基板表面污染而无须在沉积后续膜之前氧化。
根据一或多个实施例,基板连续地处于真空或“装载锁定”条件下,且当从一个腔室移动到下一个时不暴露至环境空气。从而,移送腔室处于真空下且于真空压力下泵送降压(pump down)。惰性气体可存在于处理腔室或移送腔室中。一些实施例中,惰性气体用作为净化气体,以移除一些或所有反应物。根据一或多个实施例,于沉积腔室的出口处注射净化气体,以防止反应物从该沉积腔室移动到移送腔室和/或额外的处理腔室。从而,惰性气体流在该腔室的出口处形成帘幕。
可在单一基板沉积腔室中处理基板,其中装载、处理、及卸除单一基板,之后再处理另一基板。也可用连续方式(类似传送带(conveyer)系统)处理基板,其中多个基板个体地装载到腔室的第一部分中,移动穿过该腔室,并从该腔室的第二部分卸除。该腔室及相关联的传送带系统的形状可形成笔直路径或弯曲路径。此外,该处理腔室可以是转盘(carousel),其中多个基板绕中心轴移动,且在整个转盘路径中暴露至沉积、蚀刻、退火、清洁等工艺。
处理期间,可加热或冷却基板。这样的加热或冷却可通过任何适合的手段完成,该手段包括但不限于:改变基板支撑件的温度以及将经加热或经冷却的气体流至基板表面。一些实施例中,该基板支撑件包括加热器/冷却器,可控制该加热器/冷却器以传导式地改变基板温度。一或多个实施例中,所采用的气体(无论是反应性气体或是惰性气体)经加热或冷却以局部改变基板温度。一些实施例中,加热器/冷却器定位在腔室内邻近基板表面,以对流式地改变基板温度。
此外,该基板在处理期间可以是静止的或旋转的。旋转的基板可连续地或以分离的步长旋转。例如,基板可贯穿整个工艺而旋转,或该基板可在暴露至不同反应性气体或净化气体之间旋转少量。在处理期间旋转基板(无论是连续式或分步式)可通过将例如气流几何中的局部变化性的效应减至最小而帮助产生更均匀的沉积或蚀刻。
在原子层沉积类型的腔室中,无论是空间上或时间上分开的工艺,基板可暴露至第一前体与第二前体。时间式ALD是其中第一前体流进腔室以与表面反应的传统工艺。在使第二前体流入之前,先从腔室净化该第一前体。在空间式ALD中,第一前体与第二前体两者同时流至该腔室,但在空间上分开,使得流之间有防止前体混合的区域。在空间式ALD中,基板相对于气体分配板移动,反之亦然。
在其中该等方法的多个部分的一或多者发生在一个腔室中的实施例中,该工艺可以是空间式ALD工艺。尽管上文描述的化学条件的一或多者可能不兼容(即,造成基板表面上以外的反应和/或腔室上的沉积),但空间上的分开确保试剂不会暴露至气相中的各者。例如,时间式ALD涉及净化沉积腔室。但是,在实践中,有时不可能在以另外的试剂流动之前先将过量试剂净化出腔室。因此,在该腔室中的任何残存试剂可能反应。利用空间上的分开,过量试剂不需要被净化掉,且限制了交叉污染。再者,可能会使用许多时间来净化腔室,所以可通过消除净化步骤而增加产率。
这整份说明书中对“一个实施例”、“某些实施例”、“一或多个实施例”、或“实施例”的参考意味着在本公开的至少一个实施例中包括了结合该实施例所描述的特定的特征、结构、材料、或特性。因此这整份说明书中多处出现的诸如“在一或多个实施例中”、“在某些实施例中”、“在一或多个实施例中”、或“在实施例中”的词汇并非必然指本公开的相同实施例。再者,于一或多个实施例中可以任何适合的方式组合特定的特征、结构、材料、或特性。
尽管已参考特定实施例描述本文的公开内容,但应了解这些实施例仅是说明本公开的原理与应用。对本领域技术人员而言可明了,能够对本公开的方法与设备制作各种修改例与变化例而不背离本公开的精神与范围。因此,旨在本公开包括在所附的权利要求及其等效例的范围内的修改例及变化例。

Claims (15)

1.一种处理方法,包括下述步骤:
将基板表面暴露至硅前体,以形成具有一厚度的非晶硅层;以及
在所述非晶硅层上形成金属层。
2.如权利要求1所述的方法,其中所述硅前体包括聚硅烷。
3.如权利要求2所述的方法,其中所述聚硅烷包括下述的一或多者:乙硅烷、丙硅烷、丁硅烷、异丁硅烷、新戊硅烷、环戊硅烷、己硅烷、或环己硅烷。
4.如权利要求2所述的方法,其中所述硅前体实质上仅包括乙硅烷。
5.如权利要求1至4中任一项所述的方法,进一步包括下述步骤:在形成所述金属层之前,将所述非晶硅层暴露至去气环境,以移除释气物种。
6.如权利要求5所述的方法,其中所述去气环境基本上由惰性气体组成。
7.如权利要求6所述的方法,其中所述惰性气体包括氩、氦、与氮的一或多者。
8.如权利要求1至4中任一项所述的方法,其中所述非晶硅层的厚度是在约1埃至约100埃的范围内。
9.如权利要求1至4中任一项所述的方法,进一步包括下述步骤:在形成所述非晶硅层之前,在所述基板上沉积胶黏层。
10.如权利要求9所述的方法,其中所述胶黏层包括TiN。
11.如权利要求10所述的方法,其中所述基板表面包括氧化硅。
12.如权利要求11所述的方法,其中所述TiN层具有在约5埃至约30埃的范围内的厚度,且所述非晶硅层具有在约5埃至约50埃的范围内的厚度。
13.如权利要求1至4中任一项所述的方法,其中所述金属层包括钨与钼的一或多者。
14.如权利要求13所述的方法,其中通过将所述非晶硅层依序暴露至金属前体及反应物而沉积所述金属层,所述金属前体包括WF6及MoF6的一或多者,且所述反应物包括氢。
15.一种堆栈,包括:
基板,具有氧化物表面;
胶黏层,位于所述氧化物表面上,所述胶黏层包括TiN,且厚度在约5埃至约30埃的范围内;
非晶硅层,位于所述胶黏层上,所述非晶硅层具有在约5埃至约50埃的范围内的厚度;以及
金属层,位于所述非晶硅层上,所述金属层包括钨与钼的一或多者。
CN201680072766.8A 2015-12-19 2016-12-16 用于钨原子层沉积工艺的作为成核层的共形非晶硅 Active CN108369897B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562269974P 2015-12-19 2015-12-19
US62/269,974 2015-12-19
PCT/US2016/067204 WO2017106660A1 (en) 2015-12-19 2016-12-16 Conformal amorphous silicon as nucleation layer for w ald process

Publications (2)

Publication Number Publication Date
CN108369897A true CN108369897A (zh) 2018-08-03
CN108369897B CN108369897B (zh) 2022-09-16

Family

ID=59057786

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680072766.8A Active CN108369897B (zh) 2015-12-19 2016-12-16 用于钨原子层沉积工艺的作为成核层的共形非晶硅

Country Status (5)

Country Link
US (1) US9978685B2 (zh)
KR (1) KR20180087441A (zh)
CN (1) CN108369897B (zh)
TW (1) TWI716511B (zh)
WO (1) WO2017106660A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113463066A (zh) * 2020-03-30 2021-10-01 应用材料公司 在没有阻挡层的情况下的原位钨沉积

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10480066B2 (en) 2015-12-19 2019-11-19 Applied Materials, Inc. Metal deposition methods
US10991586B2 (en) 2015-12-19 2021-04-27 Applied Materials, Inc. In-situ tungsten deposition without barrier layer
US10468263B2 (en) 2015-12-19 2019-11-05 Applied Materials, Inc. Tungsten deposition without barrier layer
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) * 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019074877A1 (en) * 2017-10-09 2019-04-18 Applied Materials, Inc. DOPED AMORPHOUS SILICON CONFORMS AS A METAL DEPOSITION NUCLEATION LAYER
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
JP7072399B2 (ja) * 2018-02-21 2022-05-20 東京エレクトロン株式会社 タングステン膜の成膜方法、成膜システム及び記憶媒体
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
SG11202009514WA (en) * 2018-04-24 2020-11-27 Applied Materials Inc Tungsten deposition without barrier layer
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
SG11202101796RA (en) * 2018-10-29 2021-05-28 Applied Materials Inc Linerless continuous amorphous metal films
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN113348532A (zh) * 2018-11-13 2021-09-03 应用材料公司 金属硅化物的选择性沉积和选择性氧化物移除
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11476267B2 (en) 2019-05-24 2022-10-18 Applied Materials, Inc. Liner for V-NAND word line stack
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
KR20220099116A (ko) * 2019-11-12 2022-07-12 어플라이드 머티어리얼스, 인코포레이티드 감소된 수소 증착 프로세스들
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
JP2022045700A (ja) 2020-09-09 2022-03-22 キオクシア株式会社 半導体装置およびその製造方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022146815A (ja) 2021-03-22 2022-10-05 キオクシア株式会社 半導体記憶装置及びその製造方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240006109A1 (en) * 2022-06-30 2024-01-04 Western Digital Technologies, Inc. Highly Textured 001 BiSb And Materials for Making Same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002368224A (ja) * 2001-06-04 2002-12-20 Sony Corp 機能性デバイスおよびその製造方法
US6524932B1 (en) * 1998-09-15 2003-02-25 National University Of Singapore Method of fabricating group-III nitride-based semiconductor device
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
CN102041552A (zh) * 2011-01-21 2011-05-04 湖南师范大学 一种制备多晶硅薄膜的方法
US20110263105A1 (en) * 2010-04-27 2011-10-27 Tokyo Electron Limited Amorphous silicon film formation method and amorphous silicon film formation apparatus
CN102560410A (zh) * 2010-10-22 2012-07-11 通用汽车环球科技运作有限责任公司 采用原子层沉积的超薄、连续、共形金属膜的成核以及作为燃料电池催化剂的用途
TW201533259A (zh) * 2014-02-26 2015-09-01 Eugene Technology Co Ltd 多晶矽膜之形成方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
EP0651436A1 (en) 1993-10-22 1995-05-03 AT&T Corp. Tungsten conductors formation process for semiconductor integrated circuits
DE4404757C2 (de) 1994-02-15 1998-08-20 Siemens Ag Verfahren zur Herstellung eines einem Graben benachbarten Diffusionsgebietes in einem Substrat
JP2616554B2 (ja) * 1994-04-22 1997-06-04 日本電気株式会社 半導体装置の製造方法
US6352755B1 (en) 1994-10-04 2002-03-05 Ppg Industries Ohio, Inc. Alkali metal diffusion barrier layer
US6849471B2 (en) 2003-03-28 2005-02-01 Reflectivity, Inc. Barrier layers for microelectromechanical systems
US6492694B2 (en) 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
WO2000006795A1 (en) 1998-07-27 2000-02-10 Applied Materials, Inc. Cvd tungsten deposition on oxide substrates
US6730584B2 (en) 1999-06-15 2004-05-04 Micron Technology, Inc. Methods for forming wordlines, transistor gates, and conductive interconnects, and wordline, transistor gate, and conductive interconnect structures
US6642092B1 (en) 2002-07-11 2003-11-04 Sharp Laboratories Of America, Inc. Thin-film transistors formed on a metal foil substrate
KR100883041B1 (ko) 2002-11-08 2009-02-09 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
EP1647046A2 (en) 2003-07-23 2006-04-19 ASM America, Inc. DEPOSITION OF SiGE ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
US7544576B2 (en) 2005-07-29 2009-06-09 Freescale Semiconductor, Inc. Diffusion barrier for nickel silicides in a semiconductor fabrication process
US7630114B2 (en) 2005-10-28 2009-12-08 Idc, Llc Diffusion barrier layer for MEMS devices
JP2007297150A (ja) 2006-04-28 2007-11-15 Gunze Ltd シート材取り出し供給装置及びシート材取り出し供給方法
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20120012170A1 (en) 2010-07-19 2012-01-19 Institutt For Energiteknikk Processed silicon wafer, silicon chip, and method and apparatus for production thereof
US8187945B2 (en) 2010-10-27 2012-05-29 Crossbar, Inc. Method for obtaining smooth, continuous silver film
US8466073B2 (en) * 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
WO2012172617A1 (ja) * 2011-06-17 2012-12-20 パナソニック株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
US8883603B1 (en) 2012-08-01 2014-11-11 Crossbar, Inc. Silver deposition method for a non-volatile memory device
US9786762B2 (en) * 2012-08-29 2017-10-10 Longitude Semiconductor S.A.R.L. Gate electrode of a semiconductor device, and method for producing same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6524932B1 (en) * 1998-09-15 2003-02-25 National University Of Singapore Method of fabricating group-III nitride-based semiconductor device
JP2002368224A (ja) * 2001-06-04 2002-12-20 Sony Corp 機能性デバイスおよびその製造方法
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
US20110263105A1 (en) * 2010-04-27 2011-10-27 Tokyo Electron Limited Amorphous silicon film formation method and amorphous silicon film formation apparatus
CN102560410A (zh) * 2010-10-22 2012-07-11 通用汽车环球科技运作有限责任公司 采用原子层沉积的超薄、连续、共形金属膜的成核以及作为燃料电池催化剂的用途
CN102041552A (zh) * 2011-01-21 2011-05-04 湖南师范大学 一种制备多晶硅薄膜的方法
TW201533259A (zh) * 2014-02-26 2015-09-01 Eugene Technology Co Ltd 多晶矽膜之形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113463066A (zh) * 2020-03-30 2021-10-01 应用材料公司 在没有阻挡层的情况下的原位钨沉积

Also Published As

Publication number Publication date
TW201728775A (zh) 2017-08-16
US20170179036A1 (en) 2017-06-22
WO2017106660A1 (en) 2017-06-22
KR20180087441A (ko) 2018-08-01
US9978685B2 (en) 2018-05-22
CN108369897B (zh) 2022-09-16
TWI716511B (zh) 2021-01-21

Similar Documents

Publication Publication Date Title
CN108369897A (zh) 用于钨原子层沉积工艺的作为成核层的共形非晶硅
US10854461B2 (en) Tungsten deposition without barrier layer
CN101308794B (zh) 钨材料的原子层沉积
CN108140562B (zh) 共形且间隙填充非晶硅薄膜的沉积
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
JP6968701B2 (ja) 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法
TWI808049B (zh) 處理基板的方法
CN107533962A (zh) 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
CN109983155A (zh) 用于选择性沉积的选择性改良的原位预清洁
TW201812999A (zh) 使用沉積/蝕刻技術之無接縫溝道填充
US11244824B2 (en) Conformal doped amorphous silicon as nucleation layer for metal deposition
JP2021534572A (ja) グラフェン拡散バリア
TWI753250B (zh) 選擇性蝕刻方法及改善蝕刻選擇性的方法
US10991586B2 (en) In-situ tungsten deposition without barrier layer
KR102489425B1 (ko) 장벽 층이 없는 텅스텐 증착
KR20210122178A (ko) 장벽 층이 없는 인-시튜 텅스텐 증착
TW202117056A (zh) 使用鹵化矽烷基醯胺之SiCO(N)原子層沉積的方法
CN116334576A (zh) 外延反应器系统及其使用方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant