TW201728775A - 用於鎢原子層沉積製程作為成核層之正形非晶矽 - Google Patents

用於鎢原子層沉積製程作為成核層之正形非晶矽 Download PDF

Info

Publication number
TW201728775A
TW201728775A TW105141140A TW105141140A TW201728775A TW 201728775 A TW201728775 A TW 201728775A TW 105141140 A TW105141140 A TW 105141140A TW 105141140 A TW105141140 A TW 105141140A TW 201728775 A TW201728775 A TW 201728775A
Authority
TW
Taiwan
Prior art keywords
layer
angstroms
substrate
amorphous germanium
precursor
Prior art date
Application number
TW105141140A
Other languages
English (en)
Other versions
TWI716511B (zh
Inventor
陳一宏
勁文 陳
史林尼維斯 干德可塔
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201728775A publication Critical patent/TW201728775A/zh
Application granted granted Critical
Publication of TWI716511B publication Critical patent/TWI716511B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

多種用於沉積金屬膜之方法,包括在基材上形成非晶矽層以作為成核層及/或膠黏層。一些實施例進一步包括併入膠黏層,以增加非晶矽層與金屬層黏著該基材的能力。

Description

用於鎢原子層沉積製程作為成核層之正形非晶矽
本案揭露內容大體上關於沉積薄膜的方法。尤其,本案揭露內容關於沉積包括非晶矽之膜的製程。
非晶矽廣泛地用在半導體元件、平板顯示器、及太陽能電池上。對於開發高深寬比特徵中有正形度(conformality,即良好階梯覆蓋率)或縫隙填充(gap-fill)表現的非晶矽沉積製程而言,一直有關鍵性的技術挑戰。習知的LPCVD製程被限制在高溫(大於攝氏550度)及低壓,且因此呈現不良的階梯覆蓋率及/或縫隙填充表現;PECVD製程也無法提供良好的階梯覆蓋率及/或縫隙填滿表現。
鎢薄膜的原子層沉積(ALD)在矽、二氧化矽、及氮化鈦服務方面呈現非常長的培養延遲,這是由於不良的成核表現所致。成核層通常用於減輕此問題。習知上,ALD的WSix 或WBx 是分別由WF6 /Si2 H6 及WF6 /B2 H6 沉積。然而,WF6 直接暴露至基材表面(例如,Si、SiO2 )且使基材損壞。
此外,ALD鎢膜不會相當直接地黏著於矽或氧化矽基材表面上。為了改善附著,使用氮化鈦膠黏(glue)層。但是,氮化鈦膠黏層與WSix /WBx 成核層無法良好地傳導,造成非常高的堆疊(W/WSix /TiN)電阻率。
因此,此技術中需要以高正形度沉積金屬膜的方法。
本案揭露內容的一或多個實施例是針對多種處理方法,此類處理方法包括將基材表面暴露至矽前驅物以形成具有一厚度的非晶矽層。金屬層形成於非晶矽層上。
本案揭露內容的額外實施例針對多種包括具氧化物表面之基材的堆疊。膠黏層位於該氧化物表面上。該膠黏層包括TiN,厚度範圍為約5埃至約30埃。非晶矽層位於該膠黏層上,且具有範圍為約5埃至約50埃的厚度。金屬層位在非晶矽層上且包括鎢與鉬之一或多者。
本案揭露內容的進一步實施例針對多種處理方法,該等處理方法包括提供具氧化矽表面的矽基材。膠黏層形成於該矽基材上。該膠黏層包括TiN,厚度範圍為約1埃至約30埃。透過將該膠黏層暴露至包括乙矽烷的矽前驅物,而使非晶矽層形成於該膠黏層上。該非晶矽層具有範圍為約30埃至約40埃的厚度。金屬層形成於該非晶矽層上。
描述本案揭露內容的數個示範性實施例之前,應了解本案揭露內容不限於下文的敘述中提出的構造或製程步驟之細節。本案揭露內容能實現其他實施例且以各種方式實行或執行。
如本文所用之「基材」是指任何基材或形成在基材上的材料表面,製造製程期間,膜處理是在該基材或該材料表面上執行。例如,取決於應用,上面可執行處理的基材表面包括諸如下述材料:矽、氧化矽、應變矽、絕緣體上覆矽(SOI)、摻雜碳的氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、及任何其他諸如金屬、金屬氮化物、金屬合金及其他導電材料之材料。基材包括半導體晶圓(此非限制)。基材可暴露至預處理製程,以研磨、蝕刻、還原、氧化、羥化、退火及/或烘烤基材表面。除了直接在基材本身表面上膜處理之外,在本案揭露內容中,所揭露的膜處理步驟中的任一者也可在下層上執行,該下層形成於該基材上,如下文中將更詳細揭露,且希望「基材表面」之用語包括如上下文所指之此下層。因此,例如,其中膜/層或部分膜/層已沉積在基材表面上時,新沉積的膜/層之暴露表面變成該基材表面。
如在此說明書中及申請專利範圍中所用,用語「前驅物」、「反應物」、「反應性氣體」、及類似物是可替換使用,以指可與基材表面反應的任何氣態物種。
本案揭露內容之一或多個實施例是針對沉積正形非晶矽薄膜之方法,該正形非晶矽薄膜作為下述之一或多者:膠黏層、氟擴散阻擋物及/或金屬ALD(例如,鎢沉積)的成核層。雖然在許多實施例中指涉鎢沉積,但發明所屬技術領域中具有通常知識者會了解,藉由揭露之製程可沉積其他金屬,例如鉬。本案揭露內容不限於ALD鎢沉積。
本案揭露內容之實施例包括用於金屬ALD製程的製程整合方案。例如,藉由原子層沉積而在矽基材上所沉積的鎢。參考第1圖,堆疊10可包括基材12(例如SiO2 ),具有膠黏層14(例如TiN)、成核層16(例如WSix 及WBx )及金屬18(例如W)。製程方案的一或多個實施例中,成核層被非晶矽層置換。一些實施例中,成核層被非晶矽層置換且將TiN膠黏層做得較薄。一或多個實施例中,成核層與膠黏層兩者被非晶矽層置換。
參考第2A圖與第2B圖,本案揭露內容之一或多個實施例是針對處理方法100與膜堆疊200。於步驟110,提供具有基材表面222的基材220。於步驟120,該基材220暴露至矽前驅物而在基材表面222上形成非晶矽層240。
一些實施例中,非晶矽(a-Si)形成可藉由使矽前驅物的分壓最大化,同時使晶圓溫度最小化而達成。適合的矽前驅物包括(但不限於)聚矽烷(Six Hy )。例如,聚矽烷包括乙矽烷(Si2 H6 )、丙矽烷(Si3 H8 )、丁矽烷(Si4 H10 )、異丁矽烷、新戊矽烷(Si5 H12 )、環戊矽烷(Si5 H10 )、己矽烷(C6 H14 )、環己矽烷(Si6 H12 )、或一般而言Six Hy 且x=2或更大,及上述前驅物之組合。例如,乙矽烷具有適中的處理溫度與高蒸氣壓,乙矽烷可單獨用作矽前驅物,或與其他物種相組合。
一些實施例中,矽前驅物實質上僅包括乙矽烷。如在此說明書及所附申請專利範圍中所用,詞彙「實質上僅……乙矽烷」意味至少95%的活性物種是乙矽烷。可納入任何量的其他氣體,諸如載氣及惰性氣體。
非晶矽層240的厚度可取決於例如基材表面及後續的膜與製程而有所不同。一些實施例中,非晶矽層240具有範圍在約1埃至約100埃的厚度。一或多個實施例中,非晶矽層240具有範圍在約1埃至約50埃、或範圍在約10埃至約40埃、或範圍在約30埃至約40埃的厚度。一些實施例中,非晶矽層240的厚度大於0埃且小於或等於約50埃、45埃、或40埃。
於步驟130,金屬層280形成在非晶矽層240上。金屬層280可藉由任何適合的技術形成,該技術包括(但不限於)原子層沉積(ALD)、電漿增強原子層沉積(PE-ALD)、化學氣相沉積(CVD)、電漿增強化學氣相沉積(PE-CVD)與物理氣相沉積(PVD)。
金屬層280可包括任何適合的材料。一些實施例中,金屬層280包括鎢或鉬之一或多者。一些實施例中,金屬層280基本上由鎢組成。一些實施例中,金屬層280基本上由鉬組成。如在此方面所用,「基本上由……組成」意味著該金屬層280大於或等於指定成分的約80、85、90、或95原子%。例如,基本上由鎢組成的金屬層280具有大於或等於約例如90原子%的鎢的組成物。
一些實施例中,金屬層280由CVD沉積。金屬前驅物與反應物可共同流進處理腔室,以在基材上沉積層。該前驅物與反應物得以在氣相中反應。
一些實施例中,金屬層280由ALD沉積。在時域(time-domain)ALD製程中,金屬前驅物流進處理腔室而與表面反應。沖洗腔室除去過多前驅物及副產物,且該反應物流進腔室中。該前驅物及反應物並非同時在處理腔室中,所以極少(或無)氣相反應。空間ALD製程中,金屬前驅物流進處理腔室的第一區段,而反應物同時流進處理腔室的第二區段。該第一區段與該第二區段被氣簾分開,以防止前驅物與反應物之間的氣相反應。基材在第一區段及第二區段之間移動,以依序地將表面暴露至前驅物及反應物。一些實施例中,金屬層280是透過依序將非晶矽層240暴露至金屬前驅物及反應物而沉積。
金屬前驅物可以是可用於沉積金屬膜的任何適合的前驅物。一些實施例中,金屬前驅物包括一金屬,該金屬是選自鎢、鉬、及前述金屬之組合。一或多個實施例中,金屬前驅物包括WF6 及MoF6 之一或多者。一些實施例中,該金屬前驅物是含氟前驅物。已知氟可蝕刻矽表面。本案揭露內容之一些實施例有利地容許使用氟前驅物,因為該非晶矽層240可形成至足以確保該前驅物不移除所有a-Si膜的厚度。
該反應物可為能夠與表面上形成之物種反應的任何適合的反應物。例如,若使用WF6 作為前驅物,則表面上會有WFx 物種。該反應物能夠與-WFx 物種反應,而產生鎢膜。
第3圖顯示本案揭露內容之另一實施例的流程,其中在形成非晶矽層240之後納入去氣(degas)製程125。一些實施例中的非晶矽層240暴露至去氣環境,以在形成該金屬層280之前移除釋氣(outgas)的物種。
沉積的非晶矽層240可散發或釋氣一物種,該物種例如氫。去氣環境提供氣態物種散發的機會,從而將最終膜的起泡減至最小。去氣環境可包括任何條件,容許或激勵膜去氣。例如,去氣環境可基本上由惰性氣體組成。如在這方面所用,「基本上由……組成」意味著沒有干擾沉積之膜的釋氣的氣態物種。可存在其他反應性物種,只要不抑制膜之去氣且同時仍基本上由惰性氣體組成即可。
適合的惰性氣體包括(但不限於)氬、氦、氮、及/或前述氣體之混合物的一或多者。
一或多個實施例中,釋氣的物種包括氫、SiH2 、SiH3 、SiH4 、及/或其他低階矽烷。
處理腔室中或處理腔室的區域中的壓力可獨立受控以用於前驅物暴露及去氣環境。在一些實施例中,對於矽前驅物及去氣環境之各者的暴露發生在範圍為約10毫托至約100托的壓力下。一些實施例中,矽前驅物於大於或等於約500毫托的壓力暴露至基材,該壓力或者為大於或等於約1托、或者為大於或等於約5托、或者為大於或等於約10托、或者為大於或等於約20托、或者為大於或等於約30托。
基材表面暴露至前驅物或去氣環境的溫度可取決於前驅物及形成的元件之熱預算而有所不同。一些實施例中,對於前驅物及去氣環境之各者的暴露發生在範圍為約攝氏100度至約攝氏700度的溫度下。一或多個實施例中,鹵化矽前驅物於範圍為約攝氏250度至約攝氏600度、或範圍為約攝氏400度至約攝氏550度的溫度暴露至基材。
可修改暴露至去氣環境之前以矽前驅物形成的a-Si膜之厚度。一些實施例中,對於矽前驅物及去氣環境之各者的暴露生長範圍為約5埃至約20埃的厚度的膜。
前驅物與去氣環境可重複、依序地暴露至基材表面,而形成具預定厚度的膜。一些實施例中,非晶矽膜具有範圍為約100埃至約1微米之總厚度。
一些實施例中,a-Si是由乙矽烷於低於約攝氏450度的晶圓溫度沉積,且乙矽烷分壓大於或等於約20托。示範性實施例中,基材於大於或等於約20托的壓力及範圍為約攝氏400度至約攝氏550度的溫度暴露至矽前驅物。
參考第4A圖與第4B圖,本案揭露內容之一些實施例進一步包括步驟160,其中於形成非晶矽層240之前將膠黏層160沉積在基材上。該膠黏層260是非晶矽可黏著之層,且相較於該非晶矽直接沉積於基材220上的情況,該非晶矽黏著至該膠黏層是較不可能剝落的。一些實施例中,該膠黏層包括TiN。一或多個實施例中,基材220具有氧化物表面,且膠黏層包括TiN。
膠黏層260之厚度可取決於待沉積之非晶矽之厚度及基材而有所不同。一些實施例中,該膠黏層260之厚度在約1埃至約30埃之範圍內,或在約5埃至約30埃之範圍內。一些實施例中,該膠黏層260之厚度小於或等於約20埃、或者小於或等於約15埃,或者小於或等於約10埃,或者小於或等於約5埃。
參考第4B圖,本案揭露內容之一或多個實施例是針對堆疊200,該堆疊200包括基材220,該基材220具有氧化物表面222。膠黏層260位在該氧化物表面222上。一些實施例的膠黏層包括TiN,且厚度在約5埃至約30埃之範圍內。非晶矽層240位於膠黏層260上,且具有範圍為約5埃至約50埃的厚度。金屬層280位在非晶矽層240上且包括鎢與鉬之一或多者。
根據一或多個實施例,在形成該層之前及/或之後,該基材經受處理。此處理可在相同腔室中或在一或多個分開(separate)的處理腔室中執行。一些實施例中,基材從第一腔室移動到分開的第二腔室以進一步處理。該基材可直接從第一腔室移動到分開的處理腔室,或該基材可從第一腔室移動到一或多個移送腔室,然後移動到分開的處理腔室。因此,處理設備可包括與移送站相連的多個腔室。此類的設備可稱為「群集工具」或「群集的系統」等。
大體上,群集工具是包括多個腔室的模組系統,該等腔室執行各種功能,包括基材定心(center-finding)及定向、去氣、退火、沉積及/或蝕刻。根據一或多個實施例,群集工具包括至少第一腔室與中央移送腔室。該中央移送腔室可容置機器人,該機器人能夠在處理腔室及裝載閘腔室之間來回傳送基材。該移送腔室一般是維持在真空條件,且提供中繼平台以將基材從一個腔室來回傳送至另一腔室及/或裝載閘腔室,該裝載閘腔室定位在群集工具的前端。可適於本案揭露內容的兩種已知的群集工具是Centura®及Endura®,上述兩者皆可得自美國加州Santa Clara的應用材料公司。然而,可調整確切的腔室排列及組合,以執行如本文所述之製程的特定步驟。可使用的其他處理腔室包括(但不限於)循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理(諸如RTP)、電漿氮化、去氣、定向、羥化、及其他基材製程。透過在群集工具上的腔室中執行製程,可避免具大氣雜質的基材表面污染而無須在沉積後續膜之前氧化。
根據一或多個實施例,基材連續地處於真空下或「裝載鎖定」條件,且當從一個腔室移動到下一個時不暴露至周圍空氣。從而移送腔室處於真空下且於真空壓力下泵送降壓(pump down)。惰性氣體可存在於處理腔室或移送腔室中。一些實施例中,惰性氣體用作為沖洗氣體,以移除一些或所有反應物。根據一或多個實施例,於沉積腔室之出口注射沖洗氣體,以防止反應物從該沉積腔室移動到移送腔室及/或額外的處理腔室。從而惰性氣體流在該腔室之出口形成簾幕。
可在單一基材沉積腔室中處理基材,其中裝載、處理、及卸載單一基材,之後再處理另一基材。也可用連續方式(類似輸送器(conveyor)系統)處理該基材,其中多個基材個別裝載到腔室的第一部分中,移動通過該腔室,從該腔室的第二部分卸載。該腔室及相關輸送器系統的形狀可形成筆直路徑或彎曲路徑。此外,該處理腔室可以是旋轉料架(carousel),其中多個基材繞中心軸移動,且在整個旋轉料架路徑中暴露至沉積、蝕刻、清潔等製程。
處理期間,可加熱或冷卻基材。此加熱或冷卻可透過任何適合的手段完成,該手段包括(但不限於)改變基材支撐件的溫度且將經加熱或冷卻之氣體流至基材表面。一些實施例中,該基材支撐件包括加熱器/冷卻器,可控制該加熱器/冷卻器以傳導式改變基材溫度。一或多個實施例中,所運用的該等氣體(無論是反應性氣體或是惰性氣體)經加熱或冷卻以局部改變基材溫度。一些實施例中,加熱器/冷卻器定位在腔室內鄰近基材表面,以對流式改變基材溫度。
該基材在處理期間也可為靜態或旋轉。旋轉基材可連續地或以多步驟旋轉。例如,基材可在整個製程中旋轉,或該基材可在暴露至不同反應性氣體或沖洗氣體之間旋轉少量。處理期間旋轉基材(無論是連續式或多步式)可透過將例如氣流幾何中的局部變化性之效應減至最小而助於產生更均勻的沉積或蝕刻。
原子層沉積類型的腔室中,無論是空間上或時間上分開的製程,基材可暴露至第一前驅物與第二前驅物。時間式ALD是其中第一前驅物流進腔室與表面反應的傳統製程。使第二前驅物流入之前,先從腔室沖洗該第一前驅物。在空間式ALD中,第一前驅物與第二前驅物同時流至該腔室但在空間上分開,使得在該等前驅物流之間有防止前驅物混合的區域。在空間式ALD中,基材相對於氣體分配板移動,反之亦然。
其中該等方法的多個部分的一或多者發生在一個腔室中的多個實施例中,該製程可以是空間式ALD製程。儘管上文描述的化學條件的一或多者可能不相容(即,造成基材表面上以外的反應及/或腔室上的沉積),空間上的分開確保試劑不會暴露至氣相中的各者。例如,時間式ALD涉及沖洗沉積腔室。但是,在實務上,有時不可能在以另外的試劑流動之前先將過量試劑沖洗出腔室。因此,在該腔室中的任何殘存試劑可能反應。以空間上的分開,則過量試劑不需要被沖洗掉,且限制了交叉污染。再者,可能會使用許多時間沖洗腔室,所以可透過消除沖洗步驟而增加產率。
這整份說明書中對「一個實施例」、「某些實施例」、「一或多個實施例」、或「一實施例」的參考意味著在本案揭露內容的至少一個實施例中包括了與該實施例相關的所述特定特徵、結構、材料、或特性。因此這整份說明書中多處出現的諸如「在一或多個實施例中」、「在某些實施例中」、「在一或多個實施例中」、或「在一實施例中」之詞彙並非必然指本案揭露內容的相同實施例。再者,於一或多個實施例中可以任何適合的方式組合特定特徵、結構、材料、或特性。
儘管已參考特定實施例描述本文的揭露,但應了解這些實施例僅是說明本案揭露內容的原理與應用。對發明所屬技術領域中具有通常知識者而言可明瞭,能夠對本案揭露內容之方法與設備製作各種修飾例與變化例但不可背離本案揭露內容之精神與範疇。因此,希望本案揭露內容包括在所附之申請專利範圍與其等效例的範疇內的修飾例及變化例。
10‧‧‧堆疊
12‧‧‧基材
14‧‧‧膠黏層
16‧‧‧成核層
18‧‧‧金屬
100‧‧‧處理方法
110、120、130、160‧‧‧步驟
125‧‧‧去氣製程
200‧‧‧膜堆疊
220‧‧‧基材
222‧‧‧基材表面
240‧‧‧非晶矽層
260‧‧‧膠黏層
280‧‧‧金屬層
透過參考實施例(其中一些實施例繪示於附圖中),可得到上文簡要總結的本案揭露內容之更詳細之敘述,如此可詳細地瞭解本案揭露內容之上文所記載之特徵。然而,應注意附圖所說明的僅為本案揭露內容之典型實施例,因此不應被視為限制本案揭露內容之範疇,因為本案揭露內容可容許其他等效實施例。
第1圖顯示根據本案揭露內容之一或多個實施例的膜堆疊之示意圖;
第2A圖顯示根據本案揭露內容之一或多個實施例的製程方案;
第2B圖顯示根據第2A圖之製程方案的膜堆疊之示意圖;
第3圖顯示根據本案揭露內容之一或多個實施例的製程方案;
第4A圖顯示根據本案揭露內容之一或多個實施例的製程方案;以及
第4B圖顯示根據第4A圖之製程方案的膜堆疊之示意圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
200‧‧‧膜堆疊
220‧‧‧基材
222‧‧‧基材表面
240‧‧‧非晶矽層
260‧‧‧膠黏層
280‧‧‧金屬層

Claims (20)

  1. 一種處理方法,包括下述步驟: 將一基材表面暴露至一矽前驅物,以形成具有一厚度的一非晶矽層;以及在該非晶矽層上形成一金屬層。
  2. 如請求項1所述之方法,其中該矽前驅物包括一聚矽烷。
  3. 如請求項2所述之方法,其中該聚矽烷包括下述之一或多者:乙矽烷、丙矽烷、丁矽烷、異丁矽烷、新戊矽烷、環戊矽烷、己矽烷、或環己矽烷。
  4. 如請求項2所述之方法,其中該矽前驅物實質上僅包括乙矽烷。
  5. 如請求項1所述之方法,進一步包括下述步驟:在形成該金屬層之前,將該非晶矽層暴露至一去氣(degas)環境,以移除釋氣(outgassed)物種。
  6. 如請求項5所述之方法,其中該去氣環境基本上由一惰性氣體組成。
  7. 如請求項6所述之方法,其中該惰性氣體包括氬、氦、與氮之一或多者。
  8. 如請求項1所述之方法,其中該非晶矽層的厚度是在約1埃至約100埃之範圍內。
  9. 如請求項1所述之方法,進一步包括下述步驟:在形成該非晶矽層之前,在該基材上沉積一膠黏(glue)層。
  10. 如請求項9所述之方法,其中該膠黏層包括TiN。
  11. 如請求項10所述之方法,其中該基材表面包括氧化矽。
  12. 如請求項11所述之方法,其中該TiN層具有範圍為約5埃至約30埃的厚度,且該非晶矽層具有範圍為約5埃至約50埃的厚度。
  13. 如請求項1所述之方法,其中該金屬層包括鎢與鉬之一或多者。
  14. 如請求項13所述之方法,其中該金屬層是透過將該非晶矽層依序暴露至一金屬前驅物及一反應物而沉積,該金屬前驅物包括WF6 及MoF6 之一或多者,且該反應物包括氫。
  15. 一種堆疊,包括: 一基材,具有一氧化物表面;一膠黏層,位在該氧化物表面上,該膠黏層包括TiN,且厚度在約5埃至約30埃之範圍內;一非晶矽層,位在該膠黏層上,該非晶矽層具有範圍為約5埃至約50埃之厚度;以及一金屬層,位在該非晶矽層上,該金屬層包括鎢與鉬之一或多者。
  16. 一種處理方法,包括下述步驟: 提供一矽基材,該矽基材具有一氧化矽表面;在該矽基材上形成一膠黏層,該膠黏層包括TiN,且厚度在範圍約1埃至約30埃內;透過將該膠黏層暴露至一矽前驅物,而在該膠黏層上形成一非晶矽層,該矽前驅物包括乙矽烷,該非晶矽具有範圍為約30埃至約40埃的厚度;以及在該非晶矽層上形成一金屬層。
  17. 如請求項16所述之方法,進一步包括下述步驟:在形成該金屬層之前,將該非晶矽層暴露至一去氣環境,以移除釋氣物種。
  18. 如請求項17所述之方法,其中該去氣環境基本上由一惰性氣體組成。
  19. 如請求項16所述之方法,其中該金屬層包括鎢與鉬之一或多者。
  20. 如請求項19所述之方法,其中該金屬層是透過將該非晶矽層依序暴露至一金屬前驅物及一反應物而沉積,該金屬前驅物包括WF6 及MoF6 之一或多者,且該反應物包括氫。
TW105141140A 2015-12-19 2016-12-13 用於鎢原子層沉積製程作為成核層之正形非晶矽 TWI716511B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562269974P 2015-12-19 2015-12-19
US62/269,974 2015-12-19

Publications (2)

Publication Number Publication Date
TW201728775A true TW201728775A (zh) 2017-08-16
TWI716511B TWI716511B (zh) 2021-01-21

Family

ID=59057786

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105141140A TWI716511B (zh) 2015-12-19 2016-12-13 用於鎢原子層沉積製程作為成核層之正形非晶矽

Country Status (5)

Country Link
US (1) US9978685B2 (zh)
KR (1) KR20180087441A (zh)
CN (1) CN108369897B (zh)
TW (1) TWI716511B (zh)
WO (1) WO2017106660A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833831B (zh) * 2018-11-13 2024-03-01 美商應用材料股份有限公司 金屬矽化物的選擇性沉積以及選擇性氧化物移除

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10480066B2 (en) 2015-12-19 2019-11-19 Applied Materials, Inc. Metal deposition methods
US10991586B2 (en) 2015-12-19 2021-04-27 Applied Materials, Inc. In-situ tungsten deposition without barrier layer
US10468263B2 (en) 2015-12-19 2019-11-05 Applied Materials, Inc. Tungsten deposition without barrier layer
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) * 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019074877A1 (en) * 2017-10-09 2019-04-18 Applied Materials, Inc. DOPED AMORPHOUS SILICON CONFORMS AS A METAL DEPOSITION NUCLEATION LAYER
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
JP7072399B2 (ja) * 2018-02-21 2022-05-20 東京エレクトロン株式会社 タングステン膜の成膜方法、成膜システム及び記憶媒体
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
SG11202009514WA (en) * 2018-04-24 2020-11-27 Applied Materials Inc Tungsten deposition without barrier layer
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
SG11202101796RA (en) * 2018-10-29 2021-05-28 Applied Materials Inc Linerless continuous amorphous metal films
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11476267B2 (en) 2019-05-24 2022-10-18 Applied Materials, Inc. Liner for V-NAND word line stack
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
KR20220099116A (ko) * 2019-11-12 2022-07-12 어플라이드 머티어리얼스, 인코포레이티드 감소된 수소 증착 프로세스들
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP2021167466A (ja) * 2020-03-30 2021-10-21 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated バリア層のないインシトゥタングステン堆積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
JP2022045700A (ja) 2020-09-09 2022-03-22 キオクシア株式会社 半導体装置およびその製造方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022146815A (ja) 2021-03-22 2022-10-05 キオクシア株式会社 半導体記憶装置及びその製造方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240006109A1 (en) * 2022-06-30 2024-01-04 Western Digital Technologies, Inc. Highly Textured 001 BiSb And Materials for Making Same

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
EP0651436A1 (en) 1993-10-22 1995-05-03 AT&T Corp. Tungsten conductors formation process for semiconductor integrated circuits
DE4404757C2 (de) 1994-02-15 1998-08-20 Siemens Ag Verfahren zur Herstellung eines einem Graben benachbarten Diffusionsgebietes in einem Substrat
JP2616554B2 (ja) * 1994-04-22 1997-06-04 日本電気株式会社 半導体装置の製造方法
US6352755B1 (en) 1994-10-04 2002-03-05 Ppg Industries Ohio, Inc. Alkali metal diffusion barrier layer
US6849471B2 (en) 2003-03-28 2005-02-01 Reflectivity, Inc. Barrier layers for microelectromechanical systems
US6492694B2 (en) 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
WO2000006795A1 (en) 1998-07-27 2000-02-10 Applied Materials, Inc. Cvd tungsten deposition on oxide substrates
SG94712A1 (en) * 1998-09-15 2003-03-18 Univ Singapore Method of fabricating group-iii nitride-based semiconductor device
US6730584B2 (en) 1999-06-15 2004-05-04 Micron Technology, Inc. Methods for forming wordlines, transistor gates, and conductive interconnects, and wordline, transistor gate, and conductive interconnect structures
JP2002368224A (ja) * 2001-06-04 2002-12-20 Sony Corp 機能性デバイスおよびその製造方法
US6642092B1 (en) 2002-07-11 2003-11-04 Sharp Laboratories Of America, Inc. Thin-film transistors formed on a metal foil substrate
KR100883041B1 (ko) 2002-11-08 2009-02-09 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
EP1647046A2 (en) 2003-07-23 2006-04-19 ASM America, Inc. DEPOSITION OF SiGE ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
US7544576B2 (en) 2005-07-29 2009-06-09 Freescale Semiconductor, Inc. Diffusion barrier for nickel silicides in a semiconductor fabrication process
US7630114B2 (en) 2005-10-28 2009-12-08 Idc, Llc Diffusion barrier layer for MEMS devices
JP2007297150A (ja) 2006-04-28 2007-11-15 Gunze Ltd シート材取り出し供給装置及びシート材取り出し供給方法
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
TWI493058B (zh) * 2007-05-15 2015-07-21 Applied Materials Inc 鎢材料的原子層沈積法
JP4967066B2 (ja) * 2010-04-27 2012-07-04 東京エレクトロン株式会社 アモルファスシリコン膜の成膜方法および成膜装置
US20120012170A1 (en) 2010-07-19 2012-01-19 Institutt For Energiteknikk Processed silicon wafer, silicon chip, and method and apparatus for production thereof
US8647723B2 (en) * 2010-10-22 2014-02-11 GM Global Technology Operations LLC Nucleation of ultrathin, continuous, conformal metal films using atomic layer deposition and application as fuel cell catalysts
US8187945B2 (en) 2010-10-27 2012-05-29 Crossbar, Inc. Method for obtaining smooth, continuous silver film
CN102041552A (zh) * 2011-01-21 2011-05-04 湖南师范大学 一种制备多晶硅薄膜的方法
US8466073B2 (en) * 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
WO2012172617A1 (ja) * 2011-06-17 2012-12-20 パナソニック株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
US8883603B1 (en) 2012-08-01 2014-11-11 Crossbar, Inc. Silver deposition method for a non-volatile memory device
US9786762B2 (en) * 2012-08-29 2017-10-10 Longitude Semiconductor S.A.R.L. Gate electrode of a semiconductor device, and method for producing same
KR101507381B1 (ko) * 2014-02-26 2015-03-30 주식회사 유진테크 폴리실리콘 막의 성막 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833831B (zh) * 2018-11-13 2024-03-01 美商應用材料股份有限公司 金屬矽化物的選擇性沉積以及選擇性氧化物移除

Also Published As

Publication number Publication date
US20170179036A1 (en) 2017-06-22
WO2017106660A1 (en) 2017-06-22
CN108369897A (zh) 2018-08-03
KR20180087441A (ko) 2018-08-01
US9978685B2 (en) 2018-05-22
CN108369897B (zh) 2022-09-16
TWI716511B (zh) 2021-01-21

Similar Documents

Publication Publication Date Title
TWI716511B (zh) 用於鎢原子層沉積製程作為成核層之正形非晶矽
TWI737859B (zh) 金屬表面上之氧化鋁的選擇性沉積
TWI630281B (zh) 沉積金屬合金膜之方法
CN108463870B (zh) Pecvd含钨硬掩模膜及制造方法
TWI715645B (zh) 正形及縫隙填充非晶矽薄膜的沉積
TWI808049B (zh) 處理基板的方法
TWI744522B (zh) 高深寬比結構中的間隙填充的方法
TW201725627A (zh) 藉由表面毒化處理由下而上的間隙填充
TWI733850B (zh) 使用沉積/蝕刻技術之無接縫溝道填充
KR102361468B1 (ko) 핵형성을 사용하지 않는 갭 충전 ald 프로세스
US11545354B2 (en) Molecular layer deposition method and system
US10480066B2 (en) Metal deposition methods
US11244824B2 (en) Conformal doped amorphous silicon as nucleation layer for metal deposition
TW202009135A (zh) 石墨烯擴散阻障
CN110678972B (zh) 降低字线电阻的方法
US20170016113A1 (en) Deposition of Metal Films Using Beta-Hydrogen Free Precursors
TWI757478B (zh) 形成鎢支柱的方法
TW202117056A (zh) 使用鹵化矽烷基醯胺之SiCO(N)原子層沉積的方法
WO2024025765A1 (en) Methods of selective deposition of molybdenum