TWI744522B - 高深寬比結構中的間隙填充的方法 - Google Patents

高深寬比結構中的間隙填充的方法 Download PDF

Info

Publication number
TWI744522B
TWI744522B TW107113848A TW107113848A TWI744522B TW I744522 B TWI744522 B TW I744522B TW 107113848 A TW107113848 A TW 107113848A TW 107113848 A TW107113848 A TW 107113848A TW I744522 B TWI744522 B TW I744522B
Authority
TW
Taiwan
Prior art keywords
film
feature
substrate
thin film
plasma
Prior art date
Application number
TW107113848A
Other languages
English (en)
Other versions
TW201842579A (zh
Inventor
程睿
愛柏亥吉巴蘇 馬里克
帕拉米特 曼納
陳一宏
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201842579A publication Critical patent/TW201842579A/zh
Application granted granted Critical
Publication of TWI744522B publication Critical patent/TWI744522B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Recrystallisation Techniques (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

此處說明用於無縫間隙填充之方法,包含以下步驟:在特徵中沉積薄膜,處理薄膜以改變某些薄膜特性,且從頂部表面選擇性地蝕刻薄膜。重複沉積、處理及蝕刻之步驟以在特徵中形成無縫間隙填充。

Description

高深寬比結構中的間隙填充的方法
本揭露案大致關於沉積薄膜之方法。具體而言,本揭露案關於用於填充狹窄溝道之製程。
在微電子裝置製作中,對於許多應用需要填充具有大於10:1之深寬比(AR)的狹窄溝道,使其無空洞。一個應用為用於淺溝道隔離(STI)。對此應用,薄膜的全部溝道必須具有高品質(例如,具有小於2的濕式蝕刻速率比)而具有非常低的滲漏。已成功之一種方法為可流動CVD。在此方法中,低聚物在氣相中小心地形成而凝結在表面上,且接著「流動」至溝道中。所沉積的薄膜為非常不良的品質,且需要諸如蒸氣退火及UV固化之製程步驟。
隨著結構的尺寸降低且深寬比增加,所沉積之可流動薄膜的後期固化方法變得困難。導致在薄膜中的所填充的溝道具有各種組成物。
非晶型矽在半導體製作製程中已廣泛地使用作為犧牲層,因為此相對於其他薄膜(例如,氧化矽、非晶型碳等等)可提供良好的蝕刻選擇性。隨著半導體製作中關鍵尺寸(CD)的減少,填充高深寬比的間隙對於先進晶圓製作變得更加敏感。當前的金屬替換閘極製程牽涉高爐多晶矽或非晶型矽假性閘極。因為製程的天性而在Si假性閘極的中間形成狹縫。此狹縫在後期製程期間可能開啟,且造成結構的損壞。
傳統的非晶型矽(a-Si)之電漿強化的化學氣相沉積(PECVD)在狹窄溝道的頂部上形成「蘑菇形狀」的薄膜。此歸因於電漿無法穿透至深的溝道中。從頂部捏合狹窄溝道的結果導致於溝道的底部處形成空洞。
傳統熱CVD/高爐製程可透過矽前驅物(例如,矽烷)的熱裂解而成長a-Si。然而,因為不適當的前驅物供應或裂解副產品的存在,在溝道頂部的沉積率相較於底部更高。而在溝道中可觀察到狹窄的狹縫或空洞。
因此,需要一種用於在高深寬比結構中間隙填充而可提供無狹縫的薄膜成長之方法。
本揭露案的一或更多實施例導向製程方法,包含提供在其上具有至少一個特徵的基板表面。至少一個特徵以一深度從基板表面延伸至底部表面。至少一個特徵具有藉由第一側壁及第二側壁所界定的寬度。在基板表面及至少一個特徵的第一側壁、第二側壁及底部表面上形成薄膜。薄膜具有在特徵的頂部處的厚度,及在特徵的底部處的厚度。處理薄膜以改變薄膜之結構、組成物或型態之一或更多者,來形成經處理的薄膜。蝕刻經處理的薄膜,以從特徵的頂部移除實質上所有的經處理的薄膜,且在特徵的底部處留下至少某些經處理的薄膜。
本揭露案的額外實施例導向製程方法,包含提供在其上具有特徵的基板表面,特徵具有側壁及底部。在特徵中且在基板表面上沉積矽薄膜。將矽薄膜暴露至處理,以修改矽薄膜的結構、組成物或型態。處理包含暴露至Ar、He或H2 之一或更多者。使用H2 、HCl或Cl2 之一或更多者從基板表面蝕刻薄膜,以從基板表面移除實質上所有的薄膜,且在特徵中留下至少某些薄膜。重複沉積、處理及蝕刻之步驟,以填充特徵。
本揭露案的進一步實施例導向製程方法,包含提供在其上具有特徵的基板表面,特徵具有側壁及底部。在基板表面上形成SiN、SiO或SiON之一或更多者,使得在特徵的底部處實質上不具有SiN、SiO或SiON。在特徵中及基板表面上沉積實質上非晶型的矽薄膜。實質上非晶型的矽薄膜具有約1 nm至約50 nm之範圍中的厚度。將矽薄膜暴露至處理,以結晶化大於或等於約50%的非晶型的矽薄膜。處理包含暴露至Ar、He或H2 之一或更多者。使用H2 、HCl或Cl2 之一或更多者從基板表面蝕刻薄膜,以從基板表面移除實質上所有的薄膜,且在特徵中留下至少某些薄膜。重複沉積、處理及蝕刻之步驟,以填充特徵。
在說明本發明之數個範例實施例之前,應理解本發明並非限於以下說明書中提及的構造或製程步驟之細節。本發明含括其他實施例且可以各種方式實施或執行。
如此處所使用的「基板」代表任何基板,或形成於基板上而在製造製程期間實行薄膜製程於其上的材料表面。舉例而言,取決於應用,製程可實行於其上的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜的氧化矽、非晶型矽、摻雜矽、鍺、砷化鎵、玻璃,藍寶石的材料,及諸如金屬、金屬氮化物、合金的任何其他材料,及其他導電材料。基板非限制性地包括半導體晶圓。基板可暴露至預處理製程,以拋光、蝕刻、還原、氧化、羥化、退火、UV固化、e束固化及/或烘烤基板表面。除了在基板本身的表面上直接進行薄膜製程之外,在本發明中,所揭露的薄膜製程之任何步驟亦可如以下更加詳細地揭露而實行在基板上所形成的下層上,且「基板表面」一詞意圖包括如上下文所指示的此下層。因此,舉例而言,當薄膜/層或部分的薄膜/層已沉積於基板表面上時,新沉積的薄膜/層之暴露的表面變成基板表面。
本揭露案的實施例提供在具有小尺寸的高的深寬比(AR)結構中沉積薄膜(例如,非晶型矽)之方法。某些實施例有益地提供牽涉可在集成工具環境中實行的循環沉積蝕刻處理製程之方法。某些實施例有益地提供不具狹縫的摻雜或合金化的高質量非晶型的矽薄膜以填滿具有小尺寸的高AR溝道。
第1圖顯示具有特徵110之基板100的部分剖面視圖。為了說明之目的,圖式顯示基板具有單一特徵,然而,本領域中技藝人士將理解可具有不只一個的特徵。特徵110的形狀可為任何適合的形狀,包括但非限於溝道及結晶化通孔。如此處所使用,「特徵」一詞代表任何有意的表面不平整。特徵的適當範例包括但非限於具有頂部、兩個側壁及底部的溝道、具有頂部及兩個側壁的尖凸。特徵可具有任何適合的深寬比(特徵的深度對特徵的寬度之比率)。在某些實施例中,深寬比大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。
基板100具有基板表面120。至少一個特徵110在基板表面120中形成開口。特徵110從基板表面120以深度D延伸至底部表面112。特徵110具有界定特徵110之寬度W的第一側壁114及第二側壁116。藉由側壁及底部所形成的開口區域亦稱作間隙。
在間隙填充製程期間,於填充材料中形成狹縫為常有的。狹縫的尺寸及寬度可影響間隙填充部件的整體可操作性。狹縫的尺寸及寬度亦可藉由製程條件及所沉積的材料而影響。本揭露案之一或更多實施例有益地提供循環沉積處理蝕刻製程,以形成無縫間隙填充。某些實施例有益地提供形成無縫非晶型矽之方法,以填充具有小尺寸之高深寬比的溝道。
在不會被操作之任何特定理論限制之下,相信材料(例如,Si)的晶核沉積在不同表面上為不同的。因此,在薄膜上具有不同程度的結晶化之晶核將為不同的。此外,材料(例如,Si)的蝕刻率在不同表面上將為不同的。某些實施例有益地提供使用電漿而比結構之底部處更快蝕刻表面結構的頂部之材料(例如,Si)的方法。某些實施例有益地在不同的表面及不同的地點使用不同的蝕刻率,以藉由沉積處理蝕刻製程的循環而建立由底部向上的成長。
第2A圖至第2E圖及第3圖根據本揭露案的一或更多實施例,顯示範例製程方法200。於210處,提供具有特徵於其上的基板用於製程。如此處所使用,「提供」一詞代表放置基板至位置或環境中用於進一步的製程。在第2A圖中圖示的實施例中,基板具有兩個不同的表面:第一表面150及第二表面160。第一表面150及第二表面160可為不同的材料。舉例而言,一個表面可為金屬且另一表面為介電質。在某些實施例中,第一表面及第二表面具有相同的化學組成物,但為不同的物理特性(例如,結晶化)。
基板表面具有形成於其上的特徵110。在第2A圖中圖示的實施例中,特徵110受到第一表面150及第二表面160的壓迫。圖示的特徵110為溝道,其中第一表面150形成特徵的底部且第二表面160形成側壁及頂部。
於220處,形成薄膜170使得薄膜在基板表面174、特徵110的側壁176及底部172上形成。在某些實施例中,薄膜130保形地形成於至少一個特徵上。如此處所使用,「保形」或「保形地」一詞代表黏著至且均勻地覆蓋暴露的表面之層,而相對於薄膜的平均厚度具有小於1%的厚度變化。舉例而言,1000Å厚的薄膜將具有小於10Å的厚度變化。此厚度及變化包括凹槽的邊緣、角落、側面及底部。舉例而言,在本揭露案的各種實施例中藉由ALD沉積的保形層將提供複雜表面上本質上均勻厚度之沉積區域的覆蓋。
在某些實施例中,薄膜170為連續薄膜。如此處所使用,「連續」一詞代表覆蓋整個暴露的表面而不具有顯現沉積的層之下方材料的間隙或裸露點的層。連續層可具有小於薄膜之整體表面面積約1%的表面面積之間隙或裸露點。
沉積在基板上的薄膜170將在特徵的頂部處(即,基板的表面上)具有薄膜厚度Tt ,且在特徵110的底部處具有薄膜厚度Tb 。特徵的頂部處之薄膜厚度Tt 通常小於特徵的底部處之薄膜厚度Tb 。然而,沉積薄膜170之方法可影響頂部及底部的厚度。在某些實施例中,特徵的頂部處的厚度大於特徵的底部處的厚度。在某些實施例中,特徵的底部處的厚度大於特徵的頂部處的厚度。特徵的底部處的厚度可為較大,因為薄膜在表面150上能夠比在表面160上更快結核(nucleate)。此舉導致薄膜在底部上比特徵的側壁或頂部更快地成長薄膜。
一旦薄膜170開始在特徵的側壁及頂部上形成之後,介於特徵的底部及側壁/頂部之間的沉積驅動力之差異則差別較小。在某些實施例中,於停止沉積之前或於移動至處理製程之前,薄膜170沉積至約1 nm至約50 nm之範圍中的厚度。在某些實施例中,薄膜170沉積至約5 nm至約40 nm之範圍中的厚度,或約10 nm至約30 nm之範圍中。
薄膜170可為任何適合的薄膜,而可選擇性地相對於第二表面160沉積在第一表面150上。在某些實施例中,薄膜170包含矽。在某些實施例中,薄膜170本質上以矽組成。如此處所使用,「本質上之組成」一詞代表在原子量的基礎上,薄膜為大於或等於約95%、98%或99%的矽(或所述的物質)。在某些實施例中,薄膜包含非晶型矽。在某些實施例中,薄膜僅包含實質上非晶型矽。如此處所使用,「僅為實質上非晶型矽」一詞代表薄膜為大於或等於約95%、98%或99%的非晶型矽。
薄膜可藉由適合的製程形成,包括但非限於化學氣相沉積、電漿強化的化學氣相沉積、原子層沉積及電漿強化的原子層沉積。適合的矽前驅物包括但非限於矽烷、乙矽烷、二氯矽烷(DCS)、丙矽烷、四矽烷等等。前驅物可在熱罐中加熱以增加蒸氣壓,且使用承載氣體(例如,超高純度(UHP)的Ar、He、H2 、N2 等等)傳送至腔室。
沉積220期間的溫度,取決於例如所使用的前驅物,而可為任何適合的溫度。在某些實施例中,沉積溫度為在約100ºC至550ºC之範圍中,或在約150ºC至約450ºC之範圍中,或在約200ºC至約400ºC之範圍中。
沉積220可在有或沒有電漿的情況下發生。電漿可為傳導耦合電漿(CCP)或電感耦合電漿(ICP),且可為直接電漿或遠端電漿。在某些實施例中,電漿具有在約0W至約2000W之範圍中的功率。在某些實施例中,最小電漿功率為大於0W。
於沉積220期間的製程腔室壓力可在約100 mTorr至300 Torr之範圍中,或在約200 mTorr至約250 Torr之範圍中,或在約500 mTorr至約200 Torr之範圍中,或在約1 Torr至約150 Torr之範圍中。
參照第2B圖及第3圖,於230處,一旦薄膜170已沉積至預定厚度之後,可處理薄膜。處理薄膜170造成薄膜170的結構、組成物或型態之一或更多者的改變,以形成經處理的薄膜180。
薄膜的處理可藉由任何適合的製程完成,包括但非限於在有或沒有電漿之情況下的化學暴露、UV、溫度、或其他製程。在某些實施例中,處理薄膜包含將薄膜170暴露至包含Ar、He或H2 之一或更多者的電漿。
在某些實施例中,在頂部184處之經處理的薄膜180之厚度小於在特徵的底部182處之經處理的薄膜180之厚度。處理可造成薄膜之厚度的改變。在某些實施例中,處理造成薄膜結晶化的改變,使得薄膜至少部分地由非晶型轉換成結晶化,或從結晶化轉換成非晶型。換句話說,某些實施例的處理結晶化至少一部分的薄膜170。在某些實施例中,薄膜170為實質上非晶型矽,且經處理的薄膜180具有增加的結晶化內含物。在某些實施例中,沉積的薄膜僅包含實質上非晶型矽,且經處理的薄膜具有大於或等於約50%、60%、70%、80%、90%或95%的結晶化矽。
在某些實施例中,處理發生於約100 mTorr至約300 Torr之範圍的壓力下,或在約200 mTorr至約250 Torr之範圍中,或在約500 mTorr至約200 Torr之範圍中,或在約1 Torr至約150 Torr之範圍中。
在某些實施例中,處理以電漿暴露而發生,此電漿暴露可為CCP或ICP之任一者。在某些實施例中,電漿具有在約0W至約2000W之範圍中的功率。在某些實施例中,最小功率為大於0W。處理期間的溫度可為在約100ºC至550ºC之範圍中,或在約150ºC至約450ºC之範圍中,或在約200ºC至約400ºC之範圍中。
參照第3圖,於240處,到達流程圖中的決定點。若已完全填充特徵或間隙,則可停止製程且基板可遭受可選地後期製程260。若並未填充特徵或間隙,則方法移動至250之蝕刻製程。
參照第2C圖,經處理的薄膜180遭受蝕刻製程。蝕刻經處理的薄膜180從頂部184移除實質上所有的經處理的薄膜180,而在特徵的底部182處留下至少某些經處理的薄膜180。如此處所使用,「實質上所有的」一詞代表在頂部184上已移除足夠的經處理的薄膜180,以提供晶核延遲用於後續的沉積製程。在某些實施例中,從頂部184移除實質上所有的經處理的薄膜代表第二基板160的至少約95%、98%或99%之表面區域上的經處理的薄膜180被蝕刻。第2C圖中圖示的實施例顯示在側壁上的經處理的薄膜180的量,使得在特徵中此量在越深處越增加。此梯度圖示為線性關係,然而,本領域中技藝人士將理解此僅為代表性的。
在某些實施例中,蝕刻經處理的薄膜180包含將經處理的薄膜180暴露至包含Cl2 、H2 或HCl之一或更多者的蝕刻化學物。在某些實施例中,蝕刻化學物包含電漿。電漿可為CCP或ICP類型的電漿。在某些實施例中,蝕刻電漿為傳導耦合電漿,具有在約0W至約2000W之範圍中的功率,或在約100W至約2000W之範圍中。在某些實施例中,電漿為電感耦合電漿,具有在約0W至約5000W之範圍中的功率,或在約100W至約5000W之範圍中。在某些實施例中,電漿的最小功率為大於0W。
在某些實施例中,蝕刻發生於約100 mTorr至約300 Torr之範圍的壓力下,或在約200 mTorr至約250 Torr之範圍中,或在約500 mTorr至約200 Torr之範圍中,或在約1 Torr至約150 Torr之範圍中。蝕刻期間的溫度可為在約100ºC至550ºC之範圍中,或在約150ºC至約450ºC之範圍中,或在約200ºC至約400ºC之範圍中。
在某些實施例中,於經處理的薄膜180之頂部184處的蝕刻率大於特徵之中經處理的薄膜180之底部182處的蝕刻率。此選擇性蝕刻可藉由從底部182移除較少的經處理的薄膜180,而增加填充特徵的速率。
在蝕刻250之後製程流程移動回到沉積製程220。重複沉積製程220及處理230,且若特徵並未被填充,則實行蝕刻250。持續此製程流程直到特徵被填充。第2D圖顯示在特徵之底部172及頂部174上沉積的薄膜170覆蓋經處理的薄膜180。於底部172處薄膜的厚度Tb2 大於特徵之頂部處薄膜的厚度Tt ,因為在蝕刻之後於底部182處經處理的薄膜180的存在提供比不具有剩餘的經處理的薄膜180之特徵的頂部處更大的沉積率。此外,經處理的薄膜的第一循環於底部172處薄膜的厚度Tb2可大於底部182處的厚度Tb。此舉可能來自於底部182處經處理的薄膜180提供比初始第一基板150更快的薄膜成長。第2E圖顯示在處理之後的薄膜170導致經處理的薄膜180及增加經處理的薄膜180之整體厚度。本領域中技藝人士將理解可在第2E圖之後持續循環,使得對經處理的薄膜持續蝕刻及沉積及處理循環直到特徵被填充。
在某些實施例中,於沉積薄膜170之前修改第二表面160以增加沉積的選擇性。舉例而言,第二表面160可塗佈材料,造成相對於特徵的底部之較長的晶核延遲。在某些實施例中,於形成薄膜170之前在基板表面上沉積SiN、SiO或SiON之一或更多者。沉積的薄膜可接著作為第二表面160用於選擇性沉積之目的。在某些實施例中,於特徵的頂部上形成SiN、SiO或SiON之一或更多者導致在特徵的底部處實質上不具有SiN、SiO或SiON。
某些實施例包括可選的後期製程260之製程。後期製程260可用以修改經處理的薄膜以改良薄膜的某些參數。在某些實施例中,後期製程260包含薄膜的退火。在某些實施例中,後期製程260可藉由在沉積220、處理230及/或蝕刻250所使用的相同的製程腔室中的原位退火來實行。適合的退火製程包括但非限於快速熱處理(RTP)或快速熱退火(RTA)、尖峰式退火、或UV固化、或e束固化及/或雷射退火。退火的溫度可在約500ºC至900ºC的範圍中。退火期間環境的組成物可包括H2 、Ar、He、N2 、NH3 、SiH4 等等之一或更多者。退火期間的壓力可在約100 mTorr至約1 atm之範圍中。
根據一或更多實施例,基板在形成層之前及/或之後遭受製程。此製程可在相同的腔室或在一或更多分開的製程腔室中實行。在某些實施例中,基板從第一腔室移動至分開的第二腔室用於進一步的製程。基板可直接從第一腔室移動至分開的製程腔室,或可從第一腔室移動至一或更多傳送腔室,且接著移動至分開的製程腔室。因此,製程裝置可包含與傳送站連通的多重腔室。此類的裝置可稱為「集叢工具」或「集叢系統」及類似者。
一般而言,集叢工具為模組化系統,包含實行各種功能的多重腔室,包括基板置中及定向、除氣、退火、沉積及/或蝕刻。根據一或更多實施例,集叢工具包括至少第一腔室及中央傳送腔室。中央傳送腔室可裝載機械手臂而將基板在製程腔室及裝載閘腔室之間及之中穿梭。傳送腔室通常維持於真空條件下,且提供中介階段用於從一個腔室穿梭基板至另一腔室及/或至定位於集叢工具之前端的裝載閘腔室。可適於本發明的兩個已知集叢工具為Centura®及Endura®,此兩者可由美國加州之聖克拉拉市的應用材料公司取得。然而,腔室的實際安排及結合可改變用於實行此處所述之製程的特定步驟之目的。可使用的其他製程腔室包括但非限於循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、例如RTP的熱處理、電漿氮化、除氣、定向、羥化及其他基板製程。藉由在集叢工具上的腔室中執行製程,無須在沉積後續薄膜之前進行氧化而可避免大氣雜質對基板的表面污染。
根據一或更多實施例,基板持續在真空或「裝載閘」的條件下,且當從一個腔室移動至下一個腔室時並未暴露至周遭空氣。傳送腔室因此在真空下且被「抽吸」於真空壓力下。鈍氣可存在於製程腔室或傳送腔室中。在某些實施例中,鈍氣使用作為清洗氣體,以移除某些或所有的反應物。根據一或更多實施例,於沉積腔室之出口處注入清洗氣體以防止反應物從沉積腔室移動至傳送腔室及/或額外的製程腔室。因此,鈍氣的流動在腔室的出口處形成幕簾。
基板可在單一基板沉積腔室中製程,其中對另一基板製程之前裝載、製程且卸載單一基板。基板亦可以連續的方式製程,類似於傳輸系統,其中多重基板個別地裝載至腔室的第一部分、移動通過腔室且從腔室的第二部分卸載。腔室的形狀及相關聯的傳輸系統可形成直的路徑或彎曲的路徑。此外,製程腔室可為圓盤式的,其中多重基板在中心軸四周移動且於圓盤式路徑上暴露至沉積、蝕刻、退火、清潔等等的製程。
於製程期間,可加熱或冷卻基板。此等加熱或冷卻可藉由任何適合的手段完成,包括但非限於改變基板支撐件的溫度及將加熱的或冷卻的氣體流至基板表面。在某些實施例中,基板支撐件包括可控制的加熱器/冷卻器以改變基板溫度的傳導。在一或更多實施例中,所利用的氣體(反應氣體或者鈍氣)經加熱或冷卻以局部地改變基板溫度。在某些實施例中,加熱器/冷卻器定位於腔室之中鄰接基板表面,以對流式地改變基板溫度。
在製程期間基板亦可為固定或旋轉的。旋轉基板可連續地旋轉或在某些步驟中旋轉。舉例而言,基板可在整個製程中旋轉,或基板可在暴露至不同的反應或清洗氣體之間小量旋轉。在製程期間旋轉基板(連續性或者在步驟中)可藉由最小化例如氣體流動幾何中局部變化的效應,而幫助產生更均勻的沉積或蝕刻。
此說明書全篇所述之「一個實施例」、「某些實施例」、「一或更多實施例」或「一實施例」代表與實施例連結說明的特定特徵、結構、材料或特性包括在本發明的至少一個實施例中。因此,在此處整篇說明書中各處諸如「在一或更多實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」的詞彙的存在並非必須代表本發明的相同實施例。再者,特定特徵、結構、材料或特性可以任何適合的方式結合於一或更多實施例中。
儘管此處已參考特定實施例說明本發明,應理解此等實施例僅為原理的說明及本發明的應用。對本領域中技藝人士而言,可對本發明之方法及裝置作成各種修改及改變,而不會悖離本發明的精神及範疇。因此,本發明意圖包括在隨附申請專利範圍之範疇之中的修改及改變,及其均等。
100‧‧‧基板110‧‧‧特徵112‧‧‧底部表面114‧‧‧第一側壁116‧‧‧第二側壁120‧‧‧基板表面150‧‧‧第一表面160‧‧‧第二表面170‧‧‧薄膜172‧‧‧底部174‧‧‧基板表面176‧‧‧側壁180‧‧‧薄膜182‧‧‧底部184‧‧‧頂部200‧‧‧範例製程方法210-260‧‧‧步驟
以上所載本發明之特徵,如以上簡要地概述,而本發明之更特定說明可更詳細地參考實施例而理解,其中某些實施例圖示於隨附圖式中。然而,應理解隨附圖式僅圖示本發明的通常實施例,且因此不應考量為對其範疇之限制,因為本發明認可其他均等效果的實施例。
第1圖根據本揭露案的一或更多實施例,顯示基板特徵的剖面視圖;
第2A圖至第2E圖根據本揭露案的一或更多實施例,顯示間隙填充製程的剖面概要示意圖;及
第3圖根據本揭露案的一或更多實施例,顯示製程流程圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
150‧‧‧第一表面
160‧‧‧第二表面
172‧‧‧底部
174‧‧‧基板表面
182‧‧‧底部

Claims (17)

  1. 一種製程方法,包含以下步驟:在一基板表面及至少一個特徵的一第一側壁、一第二側壁及一底部表面上形成一薄膜,該特徵自該基板表面至該底部表面延伸一深度,該特徵具有由該第一側壁與該第二側壁所界定之一寬度,該薄膜具有在該特徵的頂部處的一厚度、以及在該特徵的底部處的一厚度;處理該薄膜,以改變該薄膜之結構、組成物或型態之一或更多者,來形成一經處理的薄膜,該經處理的薄膜在該基板表面處的蝕刻速率比在該底部表面處的蝕刻速率高;及將該經處理的薄膜暴露至一蝕刻化學,該蝕刻化學包含Cl2、H2或HCl之一或更多者的電漿,藉此蝕刻該經處理的薄膜,以從該特徵的該頂部移除實質上所有的該經處理的薄膜,且在該特徵的該底部處留下至少部分該經處理的薄膜。
  2. 如請求項1所述之方法,進一步包含以下步驟:重複形成該薄膜之步驟、處理該薄膜之步驟,且若該特徵並未被填滿,則重複蝕刻該經處理的薄膜之步驟直到該特徵被填滿。
  3. 如請求項1所述之方法,其中處理該薄膜之 步驟包含以下步驟:將該薄膜暴露至一電漿,該電漿包含Ar、He或H2之一或更多者。
  4. 如請求項3所述之方法,其中該薄膜為實質上非晶型的,且處理該薄膜之步驟結晶化該薄膜之至少一部分。
  5. 如請求項1所述之方法,其中該薄膜包含矽。
  6. 如請求項1所述之方法,其中在處理之前,該薄膜沉積至在約1nm至約50nm之範圍中的一厚度。
  7. 如請求項1所述之方法,其中該電漿為一傳導耦合電漿,具有在約100W至約2000W之範圍中的一功率。
  8. 如請求項1所述之方法,其中該電漿為一電感耦合電漿,具有在約100W至約5000W之範圍中的一功率。
  9. 如請求項1所述之方法,其中該基板包含矽。
  10. 如請求項9所述之方法,進一步包含以下步驟:在形成該薄膜之前,於該基板表面上沉積SiN、SiO或SiON之一或更多者,使得在該特徵的該底部處實質上不具有SiN、SiO或SiON。
  11. 一種製程方法,包含以下步驟:在一基板表面上之一特徵中沉積一矽薄膜,該特徵 具有複數個側壁及一底部;將該矽薄膜暴露至一處理,以修改該矽薄膜的結構、組成物或型態,以使其在該基板表面處的蝕刻速率比在該底部處的蝕刻速率高,該處理包含暴露至Ar、He或H2之一或更多者;使用包含H2、HCl或Cl2之一或更多者的電漿從該基板表面蝕刻該薄膜,以從該基板表面移除實質上所有的該薄膜,且在該特徵中留下至少部分該薄膜;及重複該等沉積、處理及蝕刻之步驟,以填充該特徵。
  12. 如請求項11所述之方法,其中該矽薄膜為實質上非晶型的,且暴露至該處理以結晶化該薄膜之至少一部分。
  13. 如請求項12所述之方法,其中在將該矽薄膜暴露至該處理之後,大於或等於約50%的該矽薄膜結晶化。
  14. 如請求項11所述之方法,其中在處理之前,該矽薄膜沉積至在約1nm至約50nm之範圍中的一厚度。
  15. 如請求項11所述之方法,其中該電漿為一傳導耦合電漿,具有在約100W至約2000W之範圍中的一功率,或者該電漿為一電感耦合電漿,具有 在約100W至約5000W之範圍中的一功率。
  16. 如請求項11所述之方法,進一步包含以下步驟:在形成該薄膜之前,於該基板表面上形成SiN、SiO或SiON之一或更多者,使得在該特徵的該底部處實質上不具有SiN、SiO或SiON。
  17. 一種製程方法,包含以下步驟:提供在其上具有一特徵的一基板表面,該特徵具有側壁及一底部;在該基板表面上形成SiN、SiO或SiON之一或更多者,使得在該特徵的該底部處實質上不具有SiN、SiO或SiON;在該特徵中及該基板表面上沉積一實質上非晶型的矽薄膜,該實質上非晶型的矽薄膜具有約1nm至約50nm之範圍中的一厚度;將該矽薄膜暴露至一處理,以結晶化大於或等於約50%的該非晶型的矽薄膜,該處理包含暴露至Ar、He或H2之一或更多者;使用H2、HCl或Cl2之一或更多者從該基板表面蝕刻該薄膜,以從該基板表面移除實質上所有的該薄膜,且在該特徵中留下至少部份該薄膜;及重複該等沉積、處理及蝕刻之步驟,以填充該特徵。
TW107113848A 2017-04-24 2018-04-24 高深寬比結構中的間隙填充的方法 TWI744522B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762489417P 2017-04-24 2017-04-24
US62/489,417 2017-04-24

Publications (2)

Publication Number Publication Date
TW201842579A TW201842579A (zh) 2018-12-01
TWI744522B true TWI744522B (zh) 2021-11-01

Family

ID=63920384

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113848A TWI744522B (zh) 2017-04-24 2018-04-24 高深寬比結構中的間隙填充的方法

Country Status (6)

Country Link
US (1) US10615050B2 (zh)
JP (1) JP7213827B2 (zh)
KR (1) KR102271729B1 (zh)
CN (1) CN110546753B (zh)
TW (1) TWI744522B (zh)
WO (1) WO2018200211A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019013891A1 (en) * 2017-07-12 2019-01-17 Applied Materials, Inc. CYCLIC CONFORMAL DEPOSITION / REINFORCEMENT / ETCHING FOR FILLING INS
US10504747B2 (en) * 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of gap filling using conformal deposition-annealing-etching cycle for reducing seam void and bending
FR3103315B1 (fr) 2019-11-19 2021-12-03 St Microelectronics Tours Sas Procédé de fabrication de puces électroniques
US11955370B2 (en) * 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
JP2022095463A (ja) * 2020-12-16 2022-06-28 東京エレクトロン株式会社 半導体装置の製造方法及び基板処理装置
TW202345205A (zh) * 2021-12-17 2023-11-16 美商蘭姆研究公司 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法
WO2023114870A1 (en) * 2021-12-17 2023-06-22 Lam Research Corporation High pressure plasma inhibition
US20230420295A1 (en) * 2022-06-22 2023-12-28 Applied Materials, Inc. Treatment of tungsten surface for tungsten gap-fill
WO2024044373A1 (en) * 2022-08-26 2024-02-29 Applied Materials, Inc. High aspect ratio gap fill using cyclic deposition and etch

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130260555A1 (en) * 2012-03-28 2013-10-03 Bhushan N. ZOPE Method of enabling seamless cobalt gap-fill
US20170092508A1 (en) * 2015-09-24 2017-03-30 Tokyo Electron Limited Method for bottom-up deposition of a film in a recessed feature

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US6635335B1 (en) * 1999-06-29 2003-10-21 Micron Technology, Inc. Etching methods and apparatus and substrate assemblies produced therewith
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
KR100389034B1 (ko) * 2000-11-30 2003-06-25 삼성전자주식회사 반도체 장치의 상하층 접속 형성 방법 및 그 방법에 의해형성된 반도체 장치
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US7476621B1 (en) * 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7157327B2 (en) 2004-07-01 2007-01-02 Infineon Technologies Ag Void free, silicon filled trenches in semiconductors
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
CN101192559A (zh) * 2006-11-28 2008-06-04 中芯国际集成电路制造(上海)有限公司 隔离沟槽的填充方法
KR100881728B1 (ko) * 2007-05-04 2009-02-06 주식회사 하이닉스반도체 루테늄전극을 구비한 반도체소자 및 그 제조 방법
CN101369553B (zh) * 2007-08-17 2010-04-14 联华电子股份有限公司 减少气相成核缺陷的高密度等离子体沟填方法
US7956411B2 (en) 2008-01-15 2011-06-07 Fairchild Semiconductor Corporation High aspect ratio trench structures with void-free fill material
US8274777B2 (en) * 2008-04-08 2012-09-25 Micron Technology, Inc. High aspect ratio openings
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20160108515A1 (en) * 2013-05-23 2016-04-21 Evatec Advanced Technologies Ag Method for filling vias and substrate-via filling vacuum processing system
JP6150724B2 (ja) * 2013-12-27 2017-06-21 東京エレクトロン株式会社 凹部を充填する方法
CN104821277B (zh) 2014-01-30 2018-11-16 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
JP5710819B2 (ja) * 2014-03-28 2015-04-30 東京エレクトロン株式会社 アモルファスシリコン膜の成膜方法および成膜装置
US9633917B2 (en) 2015-08-20 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit structure and method of manufacturing the same
US10084040B2 (en) * 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
WO2017161236A1 (en) 2016-03-17 2017-09-21 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
TWI733850B (zh) * 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130260555A1 (en) * 2012-03-28 2013-10-03 Bhushan N. ZOPE Method of enabling seamless cobalt gap-fill
US20170092508A1 (en) * 2015-09-24 2017-03-30 Tokyo Electron Limited Method for bottom-up deposition of a film in a recessed feature

Also Published As

Publication number Publication date
US20190172723A1 (en) 2019-06-06
JP2020518134A (ja) 2020-06-18
CN110546753B (zh) 2023-08-11
WO2018200211A1 (en) 2018-11-01
KR102271729B1 (ko) 2021-06-30
KR20190129146A (ko) 2019-11-19
US10615050B2 (en) 2020-04-07
TW201842579A (zh) 2018-12-01
JP7213827B2 (ja) 2023-01-27
CN110546753A (zh) 2019-12-06

Similar Documents

Publication Publication Date Title
TWI744522B (zh) 高深寬比結構中的間隙填充的方法
TWI680535B (zh) 金屬及含金屬化合物之氧化體積膨脹
TWI734907B (zh) 使用反應性退火的間隙填充
US11236418B2 (en) Bottom-up growth of silicon oxide and silicon nitride using sequential deposition-etch-treat processing
US20170372919A1 (en) Flowable Amorphous Silicon Films For Gapfill Applications
KR102312824B1 (ko) 고 종횡비 구조들에서의 갭충전을 위한 방법들
JP7118511B2 (ja) シリコン間隙充填のための二段階プロセス
TWI733850B (zh) 使用沉積/蝕刻技術之無接縫溝道填充
CN116982139A (zh) 使用脉冲高频射频(hfrf)等离子体的间隙填充工艺
TWI792005B (zh) 可流動cvd薄膜之表面粗糙度
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma
TWI780922B (zh) 形成鎢支柱的方法