JP2020518134A - 高アスペクト比構造における間隙充填方法 - Google Patents

高アスペクト比構造における間隙充填方法 Download PDF

Info

Publication number
JP2020518134A
JP2020518134A JP2019557396A JP2019557396A JP2020518134A JP 2020518134 A JP2020518134 A JP 2020518134A JP 2019557396 A JP2019557396 A JP 2019557396A JP 2019557396 A JP2019557396 A JP 2019557396A JP 2020518134 A JP2020518134 A JP 2020518134A
Authority
JP
Japan
Prior art keywords
film
feature
substrate
etching
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019557396A
Other languages
English (en)
Other versions
JP7213827B2 (ja
Inventor
ルイ チェン,
ルイ チェン,
アブヒジット バス マリック,
アブヒジット バス マリック,
プラミット マンナ,
プラミット マンナ,
イーホン チェン,
イーホン チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020518134A publication Critical patent/JP2020518134A/ja
Application granted granted Critical
Publication of JP7213827B2 publication Critical patent/JP7213827B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

特徴内に膜を堆積させること、該膜を処理して幾つかの膜特性を変化させること、及び上面から膜を選択的にエッチングすることを含む、継ぎ目のない間隙充填のための方法が記載される。堆積、処理、及びエッチングを繰り返して、特徴内に継ぎ目のない間隙充填を形成する。【選択図】図2D

Description

本開示は、概して、薄膜を堆積する方法に関する。詳細には、本開示は、狭いトレンチを埋めるためのプロセスに関する。
マイクロエレクト二クスデバイスの製造では、多くの用途で、ボイドを発生させることなく、10:1を超えるアスペクト比(AR)を有する狭いトレンチを埋めることが必要とされる。用途の1つはシャロートレンチアイソレーション(STI)のためのものである。この用途では、膜が、トレンチ全体を通じて高品質(例えば、2を下回る湿式エッチング速度比を有する)で、漏れが非常に少ないことが必要とされる。過去に成功した方法の1つは流動性CVDである。この方法では、オリゴマーが気相で慎重に形成され、これが表面に凝集し、その後トレンチ内へと「流れる」。堆積したままの膜は、非常に不十分な品質のものであり、水蒸気アニール及びUV硬化などの処理工程が必要となる。
構造の寸法が低下し、アスペクト比が増加すると、堆積したままの流動性膜の後硬化方法が困難になる。結果的に、埋められたトレンチ全体にわたり、さまざまな組成の膜が生じる。
アモルファスシリコンは、他の膜(例えば、酸化シリコン、アモルファスカーボン等)に対して良好なエッチング選択性を提供できることから、犠牲層として半導体製造プロセスに広く使用されている。半導体製造における限界寸法(CD)の低下に伴い、高アスペクト比の間隙を埋めることは、高度なウエハ製造においてますます敏感になっている。現在の金属置換ゲートプロセスには、炉のポリシリコン又はアモルファスシリコンのダミーゲートが含まれる。プロセスの性質に起因して、Siダミーゲートの中央に継ぎ目が形成される。この継ぎ目は、後処理中に開いてしまい、構造の破損を引き起こす可能性がある。
アモルファスシリコン(a−Si)の従来のプラズマ化学気相堆積(PECVD)は、狭いトレンチの上部に「マッシュルーム形状」の膜を形成する。これは、プラズマが深いトレンチ内に侵入できないことに起因する。その結果、上部から狭いトレンチがピンチオフされ、トレンチの底部にボイドが形成される。
従来の熱CVD/炉プロセスでは、シリコン前駆体(例えば、シラン)の熱分解によってa−Siを成長させることができる。しかしながら、前駆体の供給が不十分であるか、あるいは分解副産物が存在することによって、トレンチの上部の堆積速度は、下部での堆積速度と比較して高くなる。トレンチ内には、狭い継ぎ目又はボイドが観察される場合がある。
したがって、継ぎ目のない膜成長をもたらすことができる高アスペクト比構造の間隙充填方法が必要とされている。
本開示の1つ以上の実施形態は、その上に少なくとも1つの特徴を備えた基板表面を提供することを含む、処理方法を対象とする。少なくとも1つの特徴は、基板表面から底面までの深さにわたって延びる。少なくとも1つの特徴は、第1の側壁及び第2の側壁によって画定された幅を有する。基板表面、並びに少なくとも1つの特徴の第1の側壁、第2の側壁、及び底面の上に、膜が形成される。膜は、特徴の上部の厚さと、特徴の底部の厚さとを有する。膜は、該膜の構造、組成、又は形態のうちの1つ以上を変更して、処理された膜を形成するように処理される。処理された膜はエッチングされ、特徴の上部から処理された膜の実質的にすべてが除去され、処理された膜の少なくとも一部が特徴の底部に残される。
本開示の追加の実施形態は、側壁と底部とを有する特徴をその上に備えた基板表面を提供することを含む、処理方法を対象とする。特徴内及び基板表面上にシリコン膜が堆積される。シリコン膜は、該シリコン膜の構造、組成、又は形態を修正するための処理に曝露される。処理は、Ar、He、又はHのうちの1種以上に曝露することを含む。膜は、H、HCl、又はClのうちの1種以上を使用して基板表面からエッチングされ、基板表面から膜の実質的にすべてが除去され、特徴内に膜の少なくとも一部が残される。特徴を埋めるために、堆積、処理、及びエッチングが繰り返される。
本開示のさらなる実施形態は、側壁と底部とを有する特徴をその上に有する基板表面を提供することを含む、処理方法を対象とする。特徴の底部にSiN、SiO、又はSiONが実質的に存在しないように、SiN、SiO、又はSiONのうちの1つ以上が基板表面に形成される。実質的にアモルファスのシリコン膜が特徴内及び基板表面上に堆積される。実質的にアモルファスのシリコン膜は、約1から約50nmの範囲の厚さを有する。シリコン膜は、アモルファスシリコン膜の約50%以上を結晶化するための処理に曝露される。処理は、Ar、He又はHのうちの1種以上に曝露することを含む。膜は、H、HCl、又はClのうちの1種以上を使用して基板表面からエッチングされ、基板表面から膜の実質的にすべてが除去され、特徴内に膜の少なくとも一部が残る。特徴を埋めるために、堆積、処理、エッチングが繰り返される。
本発明の上記の特徴を詳細に理解できるように、先に簡単に要約した本発明のより具体的な説明は、その幾つかが添付の図面に示されている実施形態を参照することにより得ることができる。しかしながら、添付の図面は、本発明の典型的な実施形態のみを示しており、したがって、その範囲を限定するものとみなされるべきではないことに留意されたい。なぜなら、本発明は、他の同等に有効な実施形態を認めうるからである。
本開示の1つ以上の実施形態による基板特徴の断面図を示す。 本開示の1つ以上の実施形態による間隙充填プロセスの概略的な断面図を示す。 本開示の1つ以上の実施形態による間隙充填プロセスの概略的な断面図を示す。 本開示の1つ以上の実施形態による間隙充填プロセスの概略的な断面図を示す。 本開示の1つ以上の実施形態による間隙充填プロセスの概略的な断面図を示す。 本開示の1つ以上の実施形態による間隙充填プロセスの概略的な断面図を示す。 本開示の1つ以上の実施形態によるプロセスフローを示す。
本発明の幾つかの例示的な実施形態について説明する前に、本発明は、以下の説明に記載されている構造物又はプロセス工程の詳細に限定されないことが理解されるべきである。本発明は、他の実施形態が可能であり、さまざまな方法で実施又は実行可能である。
本書で用いられる「基板」とは、製造プロセス中にその上に膜処理が行われる、任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理を行うことができる基板表面としては、用途に応じて、シリコン、酸化シリコン、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及び他の導電材料など、他の任意の材料が挙げられる。基板には半導体ウエハが含まれるが、これに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、UV硬化、電子ビーム硬化、及び/又はベーキングするために前処理プロセスに曝露されうる。基板自体の表面上での直接的な膜処理に加えて、本発明では、開示された膜処理工程のいずれかを、以下により詳細に開示されるように基板上に形成された下層に対して行うことができ、「基板表面」という用語は、文脈が示すように、このような下層を含むことが意図されている。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合には、新たに堆積される膜/層の露出面が基板表面となる。
本開示の実施形態は、小さい寸法を有する高アスペクト比(AR)構造の膜(例えば、アモルファスシリコン)を堆積させる方法を提供する。幾つかの実施形態は、クラスタツール環境で実行できる周期的な堆積−エッチング−処理プロセスを含む方法を有利に提供する。幾つかの実施形態は、小さい寸法を有する高ARトレンチを埋めるために、継ぎ目のないドープされた又は合金の高品質アモルファスシリコン膜を有利に提供する。
図1は、特徴110を有する基板100の部分断面図を示している。図面は例示目的で単一の特徴を有する基板を示しているが、当業者は、1つより多くの特徴が存在してよいことを理解するであろう。特徴110の形状は、限定はしないが、トレンチ及び円筒形のビアを含む、任意の適切な形状でありうる。この関連で使用する場合、「特徴」という用語は、あらゆる意図的な表面の不規則性を意味する。特徴の適切な例には、限定はしないが、上部、2つの側壁、及び底部を有するトレンチ、並びに上部及び2つの側壁を有するピークが含まれる。特徴は、任意の適切なアスペクト比(特徴の幅に対する特徴の深さの比)を有しうる。一部の実施形態では、アスペクト比は、約5:1、10:1、15:1、20:1、25:1、30:1、35:1、又は40:1以上である。
基板100は基板表面120を有する。少なくとも1つの特徴110は、基板表面120に開口部を形成する。特徴110は、基板表面120から底面112へと深さDまで延びる。特徴110は、該特徴110の幅Wを画定する第1の側壁114及び第2の側壁116を有する。側壁と底部によって形成される開口領域は、間隙とも称される。
間隙充填プロセス中に、充填材料に継ぎ目が形成されるのが一般的である。継ぎ目のサイズ及び幅は、間隙充填成分の全体的な操作性に影響を与える場合がある。継ぎ目のサイズ及び幅は、プロセス条件と堆積される材料によっても影響を受ける可能性がある。本開示の1つ以上の実施形態は、継ぎ目のない間隙充填を形成するための周期的な堆積−処理−エッチングプロセスを有利に提供する。幾つかの実施形態は、小さい寸法を有する高アスペクト比のトレンチを埋めるために、継ぎ目のないアモルファスシリコンを形成する方法を有利に提供する。
動作の特定の理論に縛られることなく、材料(例えば、Si)堆積の核形成は、異なる表面では異なっていると考えられている。したがって、結晶化度が異なる膜上での核形成は、異なってくる。さらに、材料(例えばSi)のエッチング速度は、異なる表面では異なる。幾つかの実施形態は、プラズマを使用して材料(例えば、Si)を、表面構造の底部よりも表面構造の上部において、より速くエッチングする方法を有利に提供する。幾つかの実施形態は、有利には、異なる表面及び異なる位置で異なるエッチング速度を使用して、堆積−処理−エッチングプロセスを繰り返すことによりボトムアップ成長を生成する。
図2Aから2E及び図3は、本開示の1つ以上の実施形態による例示的な処理方法200を示している。210での処理のために、その上に特徴を有する基板が提供される。これに関連して用いられる「提供される」という用語は、さらなる処理のために、基板がある位置又は環境に置かれることを意味する。図2Aに示される実施形態では、基板は2つの異なる表面、すなわち、第1の表面150及び第2の表面160を有する。第1の表面150及び第2の表面160は異なる材料であってもよい。例えば、表面の一方は金属であり、他方は誘電体であってもよい。幾つかの実施形態では、第1の表面及び第2の表面は、同じ化学組成を有するが、異なる物理的特性(例えば、結晶化度)を有する。
基板表面は、その上に形成された特徴110を有する。図2Aに示される実施形態では、特徴110は、第1の表面150及び第2の表面160から力を受ける。図示される特徴110は、第1の表面150が特徴の底部を形成し、第2の表面160が側壁及び上部を形成する、トレンチである。
220では、膜が基板表面174上、並びに、特徴110の側壁176及び底部172上に形成されるように、膜170が形成される。幾つかの実施形態では、膜130は、少なくとも1つの特徴上に共形的に形成される。本明細書で用いられる「共形的な」又は「共形的に」という用語は、膜の平均的な厚さに対して、1%未満の変形を有する厚さで露出面に付着し、それを均一に覆う層のことを指す。例えば、1,000Åの厚さの膜は、厚さにおいて10Å未満の変形を有することになる。この厚さ及び変動は、凹部の端部、隅部、側部、及び底部を含む。例えば、本開示のさまざまな実施形態においてALDによって堆積された共形層は、複雑な表面上の本質的に均一な厚さの堆積領域の上にカバレッジをもたらすことになる。
幾つかの実施形態では、膜170は連続的な膜である。本明細書で用いられる「連続」という用語は、堆積層の下にある材料を露出させる間隙又は剥き出しの部分のない、露出表面全体を覆う層を指す。連続層は、表面積が膜の総表面積の約1%未満である、間隙又は剥き出しの部分を有しうる。
基板上に堆積された膜170は、特徴の上部(すなわち、基板の表面上)に膜厚T及び特徴110の底部に膜厚Tを有する。特徴の上部の膜厚Tは、概して、特徴の底部の膜厚Tよりも小さい。しかしながら、膜170を堆積させる方法は、上部及び底部の厚さに影響を及ぼしうる。幾つかの実施形態では、特徴の上部の厚さは、特徴の底部の厚さより大きい。幾つかの実施形態では、特徴の底部の厚さは、特徴の上部の厚さより大きい。膜は表面160よりも表面150上で速く核生成することができることから、特徴の底部の厚さはより大きくなりうる。これにより、特徴の側壁又は上部よりも底部での膜成長の方が速くなる。
膜170が特徴の側壁及び上部に形成され始めると、特徴の底部と側壁/上部との間の堆積駆動力の差にはほとんど差がなくなる。幾つかの実施形態では、膜170は、堆積を停止する前、又は処理プロセスに移行する前に、約1から約50nmの範囲の厚さに堆積される。幾つかの実施形態では、膜170は、約5nmから約40nmの範囲、又は約10nmから約30nmの範囲の厚さに堆積される。
膜170は、第2の表面160に対して第1の表面150上に選択的に堆積されうる、任意の適切な膜でありうる。幾つかの実施形態では、膜170はシリコンを含む。幾つかの実施形態では、膜170は本質的にシリコンからなる。この態様で用いられる場合、「本質的に〜からなる」という用語は、原子基準で、膜の約95%以上、98%以上、又は99%以上がシリコン(又は指定された核種)であることを意味する。幾つかの実施形態では、膜はアモルファスシリコンを含む。幾つかの実施形態では、膜は実質的にアモルファスシリコンのみからなる。この態様で用いられる場合、「実質的にアモルファスシリコンのみ」という用語は、膜の約95%以上、98%以上、又は99%以上がアモルファスシリコンであることを意味する。
膜は、限定はしないが、化学気相堆積、プラズマ強化化学気相堆積、原子層堆積、及びプラズマ強化原子層堆積を含む適切なプロセスによって形成されうる。適切なシリコン前駆体としては、限定はしないが、シラン、ジシラン、ジクロロシラン(DCS)、トリシラン、テトラシランなどが挙げられる。前駆体は、蒸気圧を上昇させるためにホットカン内で加熱され、キャリアガス(例えば、超高純度(UHP)のAr、He、H、Nなど)を使用してチャンバに送給することができる。
堆積220中の温度は、例えば用いられる前駆体に応じて決まる、任意の適切な温度でありうる。幾つかの実施形態では、堆積温度は、約100℃から550℃の範囲、又は約150℃から約450℃の範囲、又は約200℃から約400℃の範囲である。
堆積220は、プラズマあり又はなしで行うことができる。プラズマは、導電結合プラズマ(CCP)又は誘導結合プラズマ(ICP)であってよく、直接プラズマでもリモートプラズマでもよい。幾つかの実施形態では、プラズマは、約0から約2000Wの範囲の出力を有する。幾つかの実施形態では、最小プラズマ出力は0Wより大きい。
堆積220中の処理チャンバ圧力は、約100ミリトルから300トルの範囲、又は約200ミリトルから約250トルの範囲、又は約500ミリトルから約200トルの範囲、又は約1トルから約150トルの範囲でありうる。
図2B及び図3の230を参照すると、ひとたび膜170が所定の厚さに堆積されると、膜は処理されうる。膜170を処理することにより、膜170の構造、組成、又は形態のうちの1つ以上を変更して、処理された膜180が形成される。
膜の処理は、プラズマ、UV、温度、又は他のプロセスを伴う又は伴わない化学的曝露を含むがこれらに限定されない、任意の適切なプロセスによって達成することができる。幾つかの実施形態では、膜の処理は、膜170を、Ar、He、又はHのうちの1種以上を含むプラズマに曝露することを含む。
幾つかの実施形態では、上部184における処理された膜180の厚さは、特徴の底部182における処理された膜180の厚さよりも小さい。処理によって、膜の厚さに変化が生じうる。幾つかの実施形態では、処理によって、膜がアモルファスから結晶性に、又は結晶性からアモルファスに少なくとも部分的に変換されるように、膜の結晶化度を変化させる。言い換えれば、幾つかの実施形態の処理によって、膜170の少なくとも一部が結晶化する。幾つかの実施形態では、膜170は実質的にアモルファスシリコンであり、処理された膜180は結晶含有量が増加する。幾つかの実施形態では、堆積された膜は、実質的にアモルファスシリコンのみを含み、処理された膜は、結晶シリコンを約50%以上、60%以上、70%以上、80%以上、90%以上、又は95%以上、有する。
幾つかの実施形態では、処理は、約100ミリトルから約300トルの範囲、又は約200ミリトルから約250トルの範囲、又は約500ミリトルから約200トルの範囲、又は約1トルから約150トルの範囲の圧力で行われる。
幾つかの実施形態では、処理は、CCP又はICPのいずれかでありうる、プラズマ曝露を用いて行われる。幾つかの実施形態では、プラズマは、約0から約2000Wの範囲の出力を有する。幾つかの実施形態では、最小出力は0Wより大きい。処理中の温度は、約100℃から550℃の範囲、又は約150℃から約450℃の範囲、又は約200℃から約400℃の範囲でありうる。
図3の240を参照すると、フローチャートの決定点に到達している。特徴又は間隙が完全に埋まった場合には、プロセスを停止して、基板を任意選択的な後処理260に供することができる。特徴又は間隙が埋まっていない場合、本方法は、エッチングプロセスのために250へと移行する。
図2Cを参照すると、処理された膜180は、エッチングプロセスに供される。処理された膜180をエッチングすることにより、処理された膜の実質的にすべてが上部184から除去され、処理された膜180の少なくとも一部が特徴の底部182に残される。この態様で用いられる場合、「実質的にすべて」という用語は、上部184上の処理された膜180が十分に除去され、後続の堆積プロセスのための核生成遅延をもたらすことを意味する。幾つかの実施形態では、処理された膜の実質的にすべてを上部184から除去するとは、処理された膜180の第2の基板160の表面積の少なくとも約95%、98%、又は99%がエッチングされたことを意味する。図2Cに示される実施形態は、特徴の深さが大きくなるにつれて量が増加する、側壁上の処理された膜180の量を示している。この勾配は線形関係として示されているが、当業者は、これが単なる代表例であることを理解するであろう。
幾つかの実施形態では、処理された膜180のエッチングは、処理された膜180を、Cl、H、又はHClのうちの1種以上を含む化学エッチングに曝露することを含む。幾つかの実施形態では、化学エッチングはプラズマを含む。プラズマは、CCP又はICP型のプラズマでありうる。幾つかの実施形態では、エッチングプラズマは、約0Wから約2000Wの範囲、又は約100Wから約2000Wの範囲の出力を有する導電結合プラズマである。幾つかの実施形態では、プラズマは、約0Wから約5000Wの範囲、又は約100Wから約5000Wの範囲の出力を有する誘導結合プラズマである。幾つかの実施形態では、プラズマの最小出力は0Wより大きい。
幾つかの実施形態では、エッチングは、約100ミリトルから約300トルの範囲、又は約200ミリトルから約250トルの範囲、又は約500ミリトルから約200トルの範囲、又は約1トルから約150トルの範囲の圧力で行われる。エッチング中の温度は、約100℃から550℃の範囲、又は約150℃から約450℃の範囲、又は約200℃から約400℃の範囲でありうる。
幾つかの実施形態では、処理された膜180の上部184におけるエッチング速度は、特徴内の処理された膜180の底部182におけるエッチング速度より大きい。この選択的なエッチングは、処理された膜180をより少量で底部182から除去することにより、特徴を埋める速度を増加させることができる。
エッチング250の後、プロセスフローは堆積プロセス220に戻る。堆積プロセス220及び処理230が繰り返され、特徴が埋まっていない場合には、エッチング250が行われる。このプロセスフローは、特徴が埋まるまで継続される。図2Dは、処理された膜180を覆う、特徴の底部172及び上部174上に堆積された膜170を示している。エッチング後の底部182の処理された膜180の存在は、処理された膜180が残っていない特徴の上部よりも大きい堆積速度をもたらすため、底部172における膜の厚さTb2は、特徴の上部における膜の厚さTよりも大きい。さらには、底部172の膜の厚さTb2は、処理された膜の第1のサイクルの底部182の厚さTよりも大きくなりうる。これは、底部182における処理された膜180が、初期の第1の基板150より速い膜成長をもたらすことに起因しうる。図2Eは、処理された膜180を結果的にもたらし、処理された膜180の全体の厚さを増加させる、処理後の膜170を示している。当業者は、処理された膜がエッチングされて、特徴が埋まるまで堆積及び処理のサイクルが続くように、図2Eの後もサイクルを継続させることができることを理解するであろう。
幾つかの実施形態では、第2の表面160は、堆積の選択性を高めるために、膜170の堆積の前に修正される。例えば、第2の表面160は、特徴の底部と比較して比較的長い核生成遅延を生じる材料でコーティングすることができる。幾つかの実施形態では、膜170の形成前に、基板表面にSiN、SiO、又はSiONのうちの1つ以上が堆積される。次に、堆積された膜は、選択的堆積の目的で、第2の表面160として機能することができる。幾つかの実施形態では、特徴の上部でのSiN、SiO、又はSiONのうちの1つ以上の形成は、特徴の底部にSiN、SiO、又はSiONを実質的に生じさせない。
幾つかの実施形態は、任意選択的な後処理260プロセスを含む。後処理260を使用して、処理された膜を修正し、膜の幾つかのパラメータを改善することができる。幾つかの実施形態では、後処理260は、膜のアニーリングを含む。幾つかの実施形態では、後処理260は、堆積220、処理230、及び/又はエッチング250に用いられるのと同じ処理チャンバ内でその場でアニーリングすることによって行うことができる。適切なアニーリング処理には、限定はしないが、急速熱処理(RTP)又は急速熱アニール(RTA)、スパイクアニール、又はUV硬化、又は電子ビーム硬化及び/又はレーザアニールが含まれる。アニール温度は、約500℃から900℃の範囲内でありうる。アニール中の環境の組成には、H、Ar、He、N、NH、SiH等のうちの1種以上が含まれうる。アニール中の圧力は、約100ミリトルから約1atmの範囲でありうる。
1つ以上の実施形態によれば、基板は、層の形成前及び/又は形成後に処理に供される。この処理は、同じチャンバ内、又は1つ以上の別々の処理チャンバ内で行うことができる。幾つかの実施形態では、基板は、さらなる処理のために、第1のチャンバから別個の第2のチャンバへと移される。基板は、第1のチャンバから別個の処理チャンバへと直接移されてもよく、あるいは、第1のチャンバから1つ以上の移送チャンバへと移され、その後、別個の処理チャンバへと移されてもよい。したがって、処理装置は、移送ステーションに通じている複数のチャンバを備えうる。この種の装置は、「クラスタツール」又は「クラスタシステム」などと称されうる。
概して、クラスタツールは、基板の中心検出と方向付け、ガス抜き、アニーリング、堆積、及び/又はエッチングを含むさまざまな機能を実行する複数のチャンバを備えたモジュラーシステムである。1つ以上の実施形態によれば、クラスタツールは、少なくとも第1のチャンバと中央移送チャンバを含む。中央移送チャンバは、処理チャンバとロードロックチャンバとの間で基板を往復させることができるロボットを収容していてもよい。移送チャンバは、通常、減圧条件に維持され、基板を一方のチャンバから別のチャンバへ、及び/又はクラスタツールの前端に位置するロードロックチャンバへと往復させる中間段階を提供する。本発明に適合することができる2つのよく知られているクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、いずれも、カリフォルニア州サンタクララ所在のアプライドマテリアルズ社から入手可能である。しかしながら、チャンバの厳密な配置及び組合せは、本明細書に記載されたプロセスの特定の工程を実行する目的で変更する場合がある。使用されうる他の処理チャンバは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、脱ガス、配向決め、ヒドロキシル化、及びその他の基板処理を含むが、それらに限定されるわけではない。クラスタツールのチャンバ内でプロセスを実行することにより、大気中の不純物による基板の表面汚染を、後続の膜を堆積する前に酸化することなく回避することができる。
1つ以上の実施形態によれば、基板は連続的減圧下又は「ロードロック」状態にあり、あるチャンバから次のチャンバへと移動する際に周囲空気に曝露されない。したがって、移送チャンバは減圧下にあり、減圧下で「ポンプダウン」される。処理チャンバ又は移送チャンバ内には、不活性ガスが存在しうる。幾つかの実施形態では、不活性ガスは、反応物の一部又はすべてを除去するために、パージガスとして使用される。1つ以上の実施形態によれば、パージガスは、反応物が堆積チャンバから移送チャンバ及び/又は追加の処理チャンバに移動するのを防ぐために、堆積チャンバの出口に注入される。よって、不活性ガスの流れは、チャンバの出口にカーテンを形成する。
基板は、単一基板堆積チャンバ内で処理することができ、そこで、別の基板を処理する前に、単一の基板がロード、処理、及びアンロードされる。基板は、複数の基板が個々にチャンバの第1の部分にロードされ、チャンバ内を移動し、チャンバの第2の部分からアンロードされるコンベアシステムと同様に、連続的な態様で処理することもできる。チャンバ及び関連するコンベヤシステムの形状は、直線経路又は曲線経路を形成することができる。加えて、処理チャンバはカルーセルであってもよく、そこで、複数の基板が、中心軸の周りを移動し、カルーセル経路全体を通じて堆積、エッチング、アニーリング、洗浄などのプロセスにさらされる。
処理中、基板を加熱又は冷却してもよい。このような加熱又は冷却は、限定はしないが、基板支持体の温度を変化させること、及び加熱又は冷却されたガスを基板表面に流すことを含む、任意の適切な手段によって達成することができる。幾つかの実施形態では、基板支持体は、伝導的に基板温度を変化させるように制御することができる、ヒータ/クーラを含む。1つ以上の実施形態では、基板温度を局所的に変化させるため、使用するガス(反応性ガス又は不活性ガス)が加熱又は冷却される。幾つかの実施形態では、基板温度を対流によって変化させるために、ヒータ/クーラが、基板表面に隣接してチャンバ内に配置される。
基板はまた、処理中に静止していても回転していてもよい。回転する基板は、連続的に又は個別の工程で回転させることができる。例えば、プロセス全体を通して基板を回転させてもよいし、あるいは、異なる反応性ガス又はパージガスへの曝露の合間に基板を少しだけ回転させてもよい。処理中に基板を回転させると(連続的又は段階的のいずれか)、例えば、ガス流の幾何学的形状の局所的なばらつきの影響を最小限に抑えることにより、より均一な堆積又はエッチングの実現に役立てることができる。
本明細書を通して「一実施形態」、「ある特定の実施形態」、「1つ以上の実施形態」、又は「ある実施形態」への言及は、実施形態に関連して記載される特定の特徴、構造、材料、又は特性が本発明の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体のさまざまな箇所での「1つ以上の実施形態」、「ある特定の実施形態」、「一実施形態」、又は「ある実施形態」などの文言の表出は、必ずしも本発明の同一の実施形態を指すものではない。さらには、特定の特徴、構造、材料、又は特性は、1つ以上の実施形態において、任意の適切な方法で組み合わせることができる。
本明細書では発明は特定の実施形態を参照して説明されているが、これらの実施形態は本発明の原理及び用途の単なる例示であることが理解されるべきである。本発明の趣旨及び範囲から逸脱することなく、本発明の方法及び装置にさまざまな修正及び変形がなされうることは、当業者にとって明らかであろう。よって、本発明は、添付の特許請求の範囲及びそれらの等価物の範囲内にある修正及び変形を含むことが意図されている。

Claims (15)

  1. 処理方法において、
    基板表面から底面までの深さにわたって延びる少なくとも1つの特徴を備えた基板表面を提供することであって、前記少なくとも1つの特徴が第1の側壁及び第2の側壁によって画定された幅を有する、提供すること;
    前記基板表面、並びに前記少なくとも1つの特徴の前記第1の側壁、第2の側壁、及び底面に、前記特徴の上部の厚さ及び前記特徴の底部の厚さを有する膜を形成すること;
    前記膜を処理して、前記膜の構造、組成、又は形態のうちの1つ以上を変更して、処理された膜を形成すること;及び
    前記処理された膜をエッチングして、前記特徴の上部から前記処理された膜を実質的にすべて除去し、前記処理された膜の少なくとも一部を前記特徴の底部に残すこと
    を含む、方法。
  2. 前記膜を形成すること、前記膜を処理すること、及び前記特徴が満たされていない場合に、前記特徴が満たされるまで前記処理された膜をエッチングすることを繰り返すことをさらに含む、請求項1に記載の方法。
  3. 前記膜を処理することが、前記膜をAr、He、又はHのうちの1種以上を含むプラズマに曝露することを含む、請求項1に記載の方法。
  4. 前記膜が実質的にアモルファスであり、前記膜を処理することにより、前記膜の少なくとも一部が結晶化する、請求項3に記載の方法。
  5. 前記膜がシリコンを含む、請求項1に記載の方法。
  6. 前記膜が、処理前に約1から約50nmの範囲の厚さに堆積される、請求項1に記載の方法。
  7. 前記処理された膜をエッチングすることが、前記膜を、Cl、H、又はHClのうちの1種以上を含む化学エッチングに曝露することを含む、請求項1に記載の方法。
  8. 前記化学エッチングがプラズマを含む、請求項7に記載の方法。
  9. 前記基板がシリコンを含む、請求項1に記載の方法。
  10. 処理方法であって、
    側壁と底部とを有する特徴をその上に有する基板表面を提供すること;
    前記特徴内及び前記基板表面上にシリコン膜を堆積させること;
    前記シリコン膜を、前記シリコン膜の構造、組成、又は形態を修正するための処理に曝露することであって、前記処理が、Ar、He、又はHのうちの1種以上への曝露を含む、曝露すること;
    、HCl、又はClのうちの1種以上を使用して前記基板表面から前記膜をエッチングし、前記基板表面から前記膜の実質的にすべてを除去し、前記特徴内に前記膜の少なくとも一部を残すこと;及び
    前記堆積、処理、及びエッチングを繰り返して、前記特徴を埋めること
    を含む、方法。
  11. 前記シリコン膜が実質的にアモルファスであり、前記処理に曝露することにより、前記膜の少なくとも一部が結晶化する、請求項10に記載の方法。
  12. 前記シリコン膜の約50%以上が、前記シリコン膜を前記処理に曝露した後に結晶化する、請求項11に記載の方法。
  13. 前記シリコン膜が、処理前に、約1から約50nmの範囲の厚さに堆積される、請求項10に記載の方法。
  14. 前記膜をエッチングすることがプラズマをさらに含む、請求項10に記載の方法。
  15. 前記特徴の底部にSiN、SiO、又はSiONが実質的に存在しないように、前記膜の形成前に、前記基板表面にSiN、SiO、又はSiONのうちの1つ以上を形成することをさらに含む、請求項1から14のいずれか一項に記載の方法。
JP2019557396A 2017-04-24 2018-04-12 高アスペクト比構造における間隙充填方法 Active JP7213827B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762489417P 2017-04-24 2017-04-24
US62/489,417 2017-04-24
PCT/US2018/027283 WO2018200211A1 (en) 2017-04-24 2018-04-12 Methods for gapfill in high aspect ratio structures

Publications (2)

Publication Number Publication Date
JP2020518134A true JP2020518134A (ja) 2020-06-18
JP7213827B2 JP7213827B2 (ja) 2023-01-27

Family

ID=63920384

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019557396A Active JP7213827B2 (ja) 2017-04-24 2018-04-12 高アスペクト比構造における間隙充填方法

Country Status (6)

Country Link
US (1) US10615050B2 (ja)
JP (1) JP7213827B2 (ja)
KR (1) KR102271729B1 (ja)
CN (1) CN110546753B (ja)
TW (1) TWI744522B (ja)
WO (1) WO2018200211A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110892505B (zh) * 2017-07-12 2023-05-16 应用材料公司 用于硅间隙填充的循环保形沉积/退火/蚀刻
US10504747B2 (en) * 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of gap filling using conformal deposition-annealing-etching cycle for reducing seam void and bending
FR3103315B1 (fr) * 2019-11-19 2021-12-03 St Microelectronics Tours Sas Procédé de fabrication de puces électroniques
US11955370B2 (en) * 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
JP2022095463A (ja) * 2020-12-16 2022-06-28 東京エレクトロン株式会社 半導体装置の製造方法及び基板処理装置
WO2023114870A1 (en) * 2021-12-17 2023-06-22 Lam Research Corporation High pressure plasma inhibition
WO2023114898A1 (en) * 2021-12-17 2023-06-22 Lam Research Corporation Method to smooth sidewall roughness and maintain reentrant structures during dielectric gap fill
WO2023249683A1 (en) * 2022-06-22 2023-12-28 Applied Materials, Inc. Treatment of tungsten surface for tungsten gap-fill
WO2024044373A1 (en) * 2022-08-26 2024-02-29 Applied Materials, Inc. High aspect ratio gap fill using cyclic deposition and etch

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198427A (ja) * 2000-11-30 2002-07-12 Samsung Electronics Co Ltd 半導体装置の上下層の接続形成方法及びその方法によって形成された半導体装置
JP2015126161A (ja) * 2013-12-27 2015-07-06 東京エレクトロン株式会社 凹部を充填する方法及び処理装置
US20170092508A1 (en) * 2015-09-24 2017-03-30 Tokyo Electron Limited Method for bottom-up deposition of a film in a recessed feature

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US6635335B1 (en) * 1999-06-29 2003-10-21 Micron Technology, Inc. Etching methods and apparatus and substrate assemblies produced therewith
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US7476621B1 (en) * 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7157327B2 (en) 2004-07-01 2007-01-02 Infineon Technologies Ag Void free, silicon filled trenches in semiconductors
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
CN101192559A (zh) * 2006-11-28 2008-06-04 中芯国际集成电路制造(上海)有限公司 隔离沟槽的填充方法
KR100881728B1 (ko) * 2007-05-04 2009-02-06 주식회사 하이닉스반도체 루테늄전극을 구비한 반도체소자 및 그 제조 방법
CN101369553B (zh) * 2007-08-17 2010-04-14 联华电子股份有限公司 减少气相成核缺陷的高密度等离子体沟填方法
US7956411B2 (en) * 2008-01-15 2011-06-07 Fairchild Semiconductor Corporation High aspect ratio trench structures with void-free fill material
US8274777B2 (en) 2008-04-08 2012-09-25 Micron Technology, Inc. High aspect ratio openings
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
TW201503286A (zh) 2013-05-23 2015-01-16 Oerlikon Advanced Technologies Ag 用於塡充通孔的方法及基板通孔塡充真空處理系統
CN104821277B (zh) 2014-01-30 2018-11-16 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
JP5710819B2 (ja) * 2014-03-28 2015-04-30 東京エレクトロン株式会社 アモルファスシリコン膜の成膜方法および成膜装置
US9633917B2 (en) * 2015-08-20 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit structure and method of manufacturing the same
US10084040B2 (en) * 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
TWI733850B (zh) * 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198427A (ja) * 2000-11-30 2002-07-12 Samsung Electronics Co Ltd 半導体装置の上下層の接続形成方法及びその方法によって形成された半導体装置
JP2015126161A (ja) * 2013-12-27 2015-07-06 東京エレクトロン株式会社 凹部を充填する方法及び処理装置
US20170092508A1 (en) * 2015-09-24 2017-03-30 Tokyo Electron Limited Method for bottom-up deposition of a film in a recessed feature

Also Published As

Publication number Publication date
CN110546753A (zh) 2019-12-06
US20190172723A1 (en) 2019-06-06
CN110546753B (zh) 2023-08-11
JP7213827B2 (ja) 2023-01-27
TWI744522B (zh) 2021-11-01
US10615050B2 (en) 2020-04-07
KR102271729B1 (ko) 2021-06-30
WO2018200211A1 (en) 2018-11-01
KR20190129146A (ko) 2019-11-19
TW201842579A (zh) 2018-12-01

Similar Documents

Publication Publication Date Title
JP7213827B2 (ja) 高アスペクト比構造における間隙充填方法
US10319624B2 (en) Oxidative volumetric expansion of metals and metal containing compounds
US11236418B2 (en) Bottom-up growth of silicon oxide and silicon nitride using sequential deposition-etch-treat processing
US11488856B2 (en) Methods for gapfill in high aspect ratio structures
JP7118512B2 (ja) 反応性アニールを使用する間隙充填
US20170372919A1 (en) Flowable Amorphous Silicon Films For Gapfill Applications
JP7118511B2 (ja) シリコン間隙充填のための二段階プロセス
CN116982139A (zh) 使用脉冲高频射频(hfrf)等离子体的间隙填充工艺
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210405

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220906

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221220

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230117

R150 Certificate of patent or registration of utility model

Ref document number: 7213827

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150