JP2021534572A - グラフェン拡散バリア - Google Patents

グラフェン拡散バリア Download PDF

Info

Publication number
JP2021534572A
JP2021534572A JP2021506675A JP2021506675A JP2021534572A JP 2021534572 A JP2021534572 A JP 2021534572A JP 2021506675 A JP2021506675 A JP 2021506675A JP 2021506675 A JP2021506675 A JP 2021506675A JP 2021534572 A JP2021534572 A JP 2021534572A
Authority
JP
Japan
Prior art keywords
barrier layer
graphene barrier
substrate
graphene
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021506675A
Other languages
English (en)
Other versions
JPWO2020036819A5 (ja
Inventor
ヨン ウー,
シュリーニヴァース ガンディコッタ,
アブヒジット バス マリック,
シュリニヴァス ディ. ネマニ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021534572A publication Critical patent/JP2021534572A/ja
Publication of JPWO2020036819A5 publication Critical patent/JPWO2020036819A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Bipolar Transistors (AREA)

Abstract

グラフェンバリア層が開示される。いくつかの実施形態は、充填層から基板表面への拡散及び/又はその逆を防止することができるグラフェンバリア層に関する。いくつかの実施形態は、タングステン層から下にある基板へのフッ素の拡散を防止するグラフェンバリア層に関する。更なる実施形態は、グラフェンバリア層を含む電子デバイスに関する。【選択図】図1

Description

[0001]本開示の実施形態は、概して、境界を横切る元素の拡散を防止するためのグラフェン拡散バリアの使用に関する。本開示の更なる実施形態は、グラフェン拡散バリアを含む電子デバイスに関する。
[0002]電子デバイス内のある材料から別の材料への元素の移動を防止することは、半導体技術分野において長い間認識されてきた問題である。拡散バリアは、金属のような大きな原子の拡散を防止するために開発されてきた。
[0003]半導体分野が進歩するにつれて、多くの製造技術が、ホウ素及びフッ素のような、より小さな元素を含む材料に依存するプロセスを利用する。これらの原子は、1つの材料から別の材料に容易に拡散する可能性があり、それらが拡散する材料の特性を潜在的に損傷させるか、又は不利に変化させる。
[0004]したがって、より小さな原子の拡散を妨げる新しい拡散バリアが必要とされている。
[0005]本開示の1つ又は複数の実施形態は、電子デバイスを形成する方法を対象とする。この方法は、基板表面上にグラフェンバリア層を形成することを含む。充填層がグラフェンバリア層の上に堆積される。グラフェンバリア層は、充填層と基板表面との間の少なくとも1つの元素の拡散を防止する。
[0006]本開示の更なる実施形態は、電子デバイスを形成する方法を対象とする。この方法は、Alを含む基板表面上にグラフェンバリア層を形成することを含む。グラフェンバリア層は、約15Åから約100Åの範囲の厚さを有する。グラフェンバリア層上にアモルファスシリコン層が形成される。アモルファスシリコン層は、タングステン前駆体に曝露され、原子置換によってタングステン層を形成する。タングステン前駆体はWFを含む。グラフェンバリア層は、基板表面へのフッ素の拡散を防止する。
[0007]本開示の更なる実施形態は、第1の材料と第2の材料との間にグラフェンバリア層を備える電子デバイスを対象とする。グラフェンバリア層は、第1の材料と第2の材料との間の少なくとも1つの元素の拡散を防止する。
[0008]本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本開示のより具体的な説明を、実施形態を参照することによって行うことができ、それらのいくつかを添付図面に示す。しかし、本開示は他の等しく有効な実施形態を許容しうることから、添付図面が本開示の典型的な実施形態を例示しているにすぎず、よって本開示の範囲を限定すると見なされるべきではないことに留意されたい。
[0009]本明細書に記載の1つ又は複数の実施形態による処理中の基材の断面図を図解する。 [0010]本開示の1つ又は複数の実施形態による、基板を処理するために使用できるシステムを図解する。
[0011]本開示のいくつかの例示的な実施形態を説明する前に、本開示は、以下の説明に記載される構成又はプロセスステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態が可能であり、様々な方法で実施又は実行できる。
[0012]本明細書及び添付の特許請求の範囲で使用される際に、「基板」という用語は、プロセスが作用する表面又は表面の一部を指す。また、基板への言及は、文脈が特に明確に示さない限り、基板の一部のみを指すこともありうると当業者には理解されよう。加えて、基板上に堆積することへの言及は、曝露した基板と、その上に堆積又は形成された1つ又は複数の膜又はフィーチャを有する基板との両方を意味する可能性がある。
[0013]本明細書で使用される「基板表面」は、製造プロセス中に膜処理が実行される基板上に形成される任意の基板又は材料表面を指す。例えば、処理が実行されうる基板表面は、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属合金、及びその他の導電性材料などの任意の他の材料を含む。基板は、半導体ウエハを含むが、これらに限定されない。基板は、基板表面を研磨し、エッチングし、還元し、酸化し、ヒドロキシル化し、アニールし、UV硬化し、電子ビーム硬化し、及び/又はベークするために、前処理プロセスに曝露されうる。基板自体の表面上に直接膜処理することに加えて、本開示では、開示される膜処理ステップのいずれもが、以下により詳細に開示されるように、基板上に形成される下層上で実行されてもよく、「基板表面」という用語は、文脈が示すように、そのような下層を含むことが意図される。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合、新たに堆積される膜/層の露出された表面が基板表面になる。
[0014]本開示のいくつかの実施形態は、バリア層としてのグラフェンの使用に関する。本開示の更なる実施形態は、グラフェンバリア層を含む電子デバイスを形成する方法に関する。本開示の更なる実施形態は、グラフェンバリア層を含む電子デバイスに関する。本開示のいくつかの実施形態は、有利には、ホウ素及びフッ素のような小さい原子の拡散を阻止することができるバリア層を提供する。本開示のいくつかの実施形態は、有利には、等しい又は優れた阻止能力を有するより薄いバリア層を提供する。本開示のいくつかの実施形態は、有利には、抵抗がより低い、より多くの量の充填材料を可能にする、より薄いバリア層を提供する。
[0015]本明細書及び添付の特許請求の範囲で使用される際に、「前駆体」、「反応物」、「反応性ガス」などの用語は、基板表面と反応しうる任意のガス種を指すために交換可能に使用される。
[0016]本明細書で使用される「原子層堆積」又は「周期的堆積」は、基板表面上に材料の層を堆積させるために2つ以上の反応性化合物を順次曝露することを指す。基板又は基板の一部は、処理チャンバの反応ゾーンに導入される2つ以上の反応性化合物に別々に曝露される。時間領域ALDプロセスでは、各反応性化合物への曝露は、各化合物が基板表面上に付着及び/又は反応し、次いで処理チャンバからパージされることができるように、時間遅延によって分離される。これらの反応性化合物は、基板に順次曝露されると言われる。空間ALDプロセスでは、基板表面の異なる部分が2つ以上の反応性化合物に同時に曝露されるので、基板上の所与の点が2つ以上の反応性化合物に同時に曝露されることはない。本明細書及び添付の特許請求の範囲で使用される際に、この点で使用される「実質的に」という用語は、当業者によって理解されるように、基板の小さな部分が拡散によって同時に複数の反応性ガスに曝露される可能性があり、同時の曝露が意図されないことを意味する。
[0017]時間領域ALDプロセスの1つの態様では、第1の反応性ガス(すなわち、第1の前駆体又は化合物A)がパルス状にされ反応ゾーンに進入し、続いて第1の時間遅延を行う。次に、第2の反応性ガス(すなわち、第2の前駆体又は化合物B)がパルス状にされ反応ゾーンに進入し、続いて第2の遅延を行う。各時間遅延の間に、アルゴン又はヘリウムなどのパージガスが処理チャンバに導入されて、反応ゾーンをパージ化するか、そうでなければ、反応ゾーンから残留反応性化合物又は反応副生成物を除去する。あるいは、パージガスは、反応性化合物のパルス間の時間遅延中にパージガスのみが流れるように、堆積プロセス全体にわたって連続的に流れうる。反応性ガスは、あるいは、基板表面上に所望の膜又は膜の厚さが形成されるまで、パルス状にされる。いずれの概要においても、化合物A、パージガス、化合物B及びパージガスをパルス状にするALDプロセスが、サイクルと呼ばれる。サイクルは、化合物A又は化合物Bのいずれかで開始し、所定の厚さを有する膜が得られるまでサイクルのそれぞれの順序を継続しうる。
[0018]本明細書で使用される「パルス」又は「ドーズ(dose)」は、処理チャンバ内に断続的に又は非連続的に導入されるソースガスの量を指すことが意図される。各パルス内の特定の化合物の量は、パルスの持続時間に応じて、経時的に変化しうる。特定の処理ガスは、単一の化合物、又は2つ以上の化合物の混合物/組み合わせ、例えば、以下に記載される処理ガスを含みうる。
[0019]各パルス/ドーズの持続時間は、可変であり、例えば、処理チャンバの空間容量(volume capacity)、並びにそれに連結された真空システムの能力に適応するように調整されうる。加えて、処理ガスのドーズ時間は、処理ガスの流量、処理ガスの温度、制御バルブのタイプ、用いられる処理チャンバのタイプ、並びに基板表面上に吸着する処理ガスの成分の能力に応じて変化しうる。ドーズ時間はまた、形成されている層のタイプ及び形成されているデバイスの形状寸法に基づいて変化しうる。ドーズ時間は、基板の実質的に全表面上に吸着/化学吸着し、その上に処理ガス成分の層を形成するのに十分な量の化合物を提供するのに十分な長さとすべきである。
[0020]図1を参照すると、本開示のいくつかの実施形態は、電子デバイスを形成する方法100に関する。この方法は、基板表面10上にグラフェンバリア層20を形成することと、グラフェンバリア層20の上に充填層30を堆積させることとを含む。いくつかの実施形態では、グラフェンバリア層20は、充填層30と基板表面10との間の少なくとも1つの元素の拡散を防止する。
[0021]本明細書及び添付の特許請求の範囲で使用される際に、「拡散を防止する」という表現は、グラフェンバリア層が、バリア層が存在しない場合の濃度と比較して、目的材料(destination material)中の少なくとも1つの元素の濃度を除去又は低減することを意味する。「目的材料」は、少なくとも1つの元素が拡散している材料である。拡散は、電子デバイスの保管及び/又は使用の結果として生じうる、又は後続の処理ステップ中に生じうる。
[0022]いくつかの実施形態では、基板表面は誘電体材料を含む。いくつかの実施形態では、誘電体材料は、低誘電率誘電体材料である。いくつかの実施形態では、誘電体材料は、高誘電率誘電体材料である。いくつかの実施形態では、誘電体材料は、金属酸化物を含む。いくつかの実施形態では、誘電体材料は、酸化アルミニウム(例えば、Al)を含むか、又は本質的に酸化アルミニウムからなる。
[0023]本明細書及び添付の特許請求の範囲で使用される際に、「本質的に〜からなる」などの表現は、対象となる膜又は組成物が、記載された活性材料の約95%、98%、99%又は99.5%以上であることを意味する。気体組成物(例えば、反応性ガス)について、「本質的に〜からなる」という表現は、希釈剤、キャリア又は不活性ガスを含まない組成物の活性成分を指す。
[0024]いくつかの実施形態では、グラフェンバリア層20は、プラズマ強化原子層堆積(PEALD)プロセスによって形成される。理論に束縛されることなく、PEALDプロセスは、グラフェンバリア層20の厚さにわたってより大きな制御を提供すると考えられる。グラフェンバリア層20の厚さは、複数の原子層として又は絶対厚さとして測定されうる。いくつかの実施形態では、グラフェンバリア層20は、約2から約50の原子層の範囲、又は約4から約30の原子層の範囲の厚さを有する。いくつかの実施形態では、グラフェンバリア層20は、約50以下の原子層、約40以下の原子層、約30以下の原子層、約25以下の原子層、約20以下の原子層、約10以下の原子層、約5以下の原子層、又は約3以下の原子層の厚さを有する。
[0025]いくつかの実施形態では、グラフェンバリア層は、約5Åから約150Åの範囲、又は約15Åから約100Åの範囲の厚さを有する。いくつかの実施形態では、グラフェンバリア層は、約150Å以下、約125Å以下、約100Å以下、約75Å以下、約50Å以下、約25Å以下、又は約10Å以下の厚さを有する。
[0026]充填層30は、任意の適切な材料でありうる。いくつかの実施形態では、充填層30は、グラフェンバリア層20によって基板表面10内への拡散が防止される少なくとも1つの元素を含む。いくつかの実施態様において、充填層30は、1つ又は複数の金属元素を含むか、又は本質的にこれからなる。いくつかの実施形態では、充填層30は、タングステン、ルテニウム、銅、又はコバルトのうちの1つ又は複数を含むか、又は本質的にこれらからなる。
[0027]いくつかの実施形態では、少なくとも1つの元素は、ドーパントとして充填層30内に存在する。いくつかの実施形態では、少なくとも1つの元素は、充填層30の堆積の副生成物として充填層30内に存在する。いくつかの実施形態では、少なくとも1つの元素は、ハロゲン、酸素、又はホウ素のうちの1つ又は複数を含むか、又は本質的にこれらからなる。いくつかの実施形態では、少なくとも1つの元素は、フッ素を含むか、又は本質的にフッ素からなる。
[0028]充填層30は、任意の適切な方法によって堆積されうる。いくつかの実施形態では、充填材料30は、原子層堆積(ALD)、化学気相堆積(CVD)、又は物理的気相堆積(PVD)によって堆積されうる。いくつかの実施形態では、充填層30はバルク金属材料を含む。
[0029]いくつかの実施形態では、充填層30はタングステンを含み、充填層30は、グラフェンバリア層20上にアモルファスシリコン層を形成することと、アモルファスシリコン層をタングステン前駆体に曝露させて原子置換によってタングステン層を形成することとを含む方法によって堆積される。いくつかの実施形態では、グラフェンバリア層20上にアモルファスシリコン層を形成することは、グラフェンバリア層をシラン、ポリシラン、又はこれらのハロゲン化された誘導体に曝露することを含む。いくつかの実施形態では、タングステン前駆体は、タングステン原子及びハロゲン原子を含むか、又は本質的にこれらからなる。いくつかの実施形態では、タングステン前駆体は、WF、WCI及び/又はWCIを含むか、又は本質的にこれらからなる。いくつかの実施態様では、タングステン前駆体はWFを含み、少なくとも1つの元素はフッ素を含む。
[0030]いくつかの実施形態では、充填層30はタングステンを含み、充填層30は、グラフェンバリア層をタングステン前駆体及び反応物に順次曝露することを含む方法によって堆積される。この点で使用される際に、順次曝露することは、本明細書で一般的に説明される原子層堆積プロセスを指す。いくつかの実施形態では、タングステン前駆体は、タングステン原子及びハロゲン原子を含むか、又は本質的にこれらからなる。いくつかの実施形態では、タングステン前駆体は、WF、WCI及び/又はWCIを含むか、又は本質的にこれらからなる。いくつかの実施形態では、反応物は、水素ガス(H)又はシラン(SiH)を含むか、又は本質的にこれらからなる。
[0031]本開示のいくつかの実施形態が、充填層30から基板表面10への少なくとも1つの元素の拡散を防止するグラフェンバリア層に関連して説明されてきたが、グラフェンバリア層が、基板表面10から充填層30への少なくとも1つの元素の拡散を防止するのに有用であることも想定される。
[0032]本開示の更なる実施形態は、グラフェンバリア層を含む電子デバイスに関する。いくつかの実施形態では、電子デバイスは、第1の材料と第2の材料との間にグラフェンバリア層を含む。いくつかの実施形態では、グラフェンバリア層は、第1の材料と第2の材料との間の少なくとも1つの元素の拡散を防止する。
[0033]本明細書で特定されるように、グラフェンバリア層は、任意の適切なプロセスによって形成されうる。グラフェンバリア層は、本明細書に開示される厚さのいずれかに制限されてもよい。具体的には、いくつかの実施形態では、グラフェンバリア層は、約15Åから約100Åの範囲の厚さを有する。
[0034]少なくとも1つの元素は、本明細書の他の箇所で議論されるような任意の元素でありうる。いくつかの実施形態では、少なくとも1つの元素は、ハロゲン、酸素、又はホウ素のうちの1つ又は複数を含むか、又は本質的にこれらからなる。いくつかの実施形態では、少なくとも1つの元素は、フッ素を含むか、又は本質的にフッ素からなる。
[0035]第1の材料及び第2の材料は、任意の適切な材料でありうる。第1の材料と第2の材料との間で拡散が防止される際、第1及び第2の意味は任意である。いくつかの実施形態では、第1の材料及び第2の材料は、同じ材料を含む。いくつかの実施形態では、第1の材料は、金属を含むか、又は本質的に金属からなり、第2の材料は、誘電体材料を含むか、又は本質的に誘電体材料からなる。いくつかの実施形態では、金属は、タングステン、ルテニウム、銅、又はコバルトのうちの1つ又は複数を含むか、又は本質的にこれらからなる。いくつかの実施形態では、誘電体材料は、金属酸化物を含むか、又は本質的に金属酸化物からなる。いくつかの実施形態では、金属酸化物は、酸化アルミニウム(例えば、Al)である。
[0036]いくつかの実施形態では、グラフェンバリア層を含む電子デバイスは、第1の材料及び第2の材料の複数の交互層を含む3DのNANDデバイスである。いくつかの実施形態では、第1の材料はゲート材料であり、第2の材料は酸化物である。いくつかの実施形態では、第1の材料は窒化物であり、第2の材料は酸化物である。
[0037]図2を参照すると、本開示の更なる実施形態は、本明細書に記載の方法を実行するためのシステム900を対象とする。図2は、本開示の1つ又は複数の実施形態による基板を処理するために使用できるシステム900を示す。システム900は、クラスタツールと呼ばれうる。システム900は、ロボット912を内部に備えた中央移送ステーション910を含む。ロボット912は、単一のブレードのロボットとして図示されているが、他のロボット912の構成が開示の範囲内にあることを当業者は認識するだろう。ロボット912は、中央移送ステーション910に連結されたチャンバ間で1つ又は複数の基板を移動させるように構成される。
[0038]少なくとも1つの前洗浄/バッファチャンバ920は、中央移送ステーション910に連結される。前洗浄/バッファチャンバ920は、ヒータ、ラジカル源、又はプラズマ源のうちの1つ又は複数を含みうる。前洗浄/バッファチャンバ920は、個々の半導体基板の保持領域として、又は処理のためのウエハのカセットに使用されうる。前洗浄/バッファチャンバ920は、前洗浄プロセスを実行し、又は処理のために基板を予熱し、又は単にプロセスシーケンスのためのステージング領域とすることができる。いくつかの実施形態では、中央移送ステーション910に連結された2つの前洗浄/バッファチャンバ920が存在する。
[0039]図9に示す実施形態では、前洗浄チャンバ920は、ファクトリインターフェース905と中央移送ステーション910との間の通過チャンバとして機能しうる。ファクトリインターフェース905は、基板をカセットから前洗浄/バッファチャンバ920に移動させるための1つ又は複数のロボット906を含みうる。次いで、ロボット912は、前洗浄/バッファチャンバ920からシステム900内の他のチャンバまで、基板を移動させうる。
[0040]第1の処理チャンバ930は、中央移送ステーション910に連結されうる。第1の処理チャンバ930は、異方性エッチングチャンバとして構成することができ、1つ又は複数の反応性ガス源と流体連結して、反応性ガスの1つ又は複数の流れを第1の処理チャンバ930に供給しうる。基板は、分離バルブ914を通過するロボット912によって、処理チャンバ930へ、及び処理チャンバ930から移動することができる。
[0041]処理チャンバ940はまた、中央移送ステーション910に連結されうる。いくつかの実施形態では、処理チャンバ940は、等方性エッチングチャンバを備え、1つ又は複数の反応性ガス源と流体連結して、処理チャンバ940に反応性ガスの流れを供給し、等方性エッチングプロセスを実行する。基板は、分離バルブ914を通過するロボット912によって、処理チャンバ940へ、及び処理チャンバ940から移動することができる。
[0042]処理チャンバ945はまた、中央移送ステーション910に連結されうる。いくつかの実施形態では、処理チャンバ945は、処理チャンバ940と同じプロセスを実行するように構成された同じタイプの処理チャンバ940である。この構成は、処理チャンバ940内で行うプロセスが処理チャンバ930内のプロセスよりもはるかに長い時間を要する場合に有用でありうる。
[0043]いくつかの実施形態では、処理チャンバ960は、中央移送ステーション910に連結され、選択的エピタキシャル成長チャンバとして機能するように構成される。処理チャンバ960は、1つ又は複数の異なるエピタキシャル成長プロセスを実行するように構成されうる。
[0044]いくつかの実施形態では、異方性エッチングプロセスは、等方性エッチングプロセスと同じ処理チャンバ内で行われる。この種の実施形態では、処理チャンバ930及び処理チャンバ960は、2つの基板上で同時にエッチングプロセスを実行するように構成され、処理チャンバ940及び処理チャンバ945は、選択的エピタキシャル成長プロセスを実行するように構成されうる。
[0045]いくつかの実施形態では、処理チャンバ930、940、945、及び960の各々は、処理方法の異なる部分を実行するように構成される。例えば、処理チャンバ930は、異方性エッチングプロセスを実行するように構成され、処理チャンバ940は、等方性エッチングプロセスを実行するように構成され、処理チャンバ945は、計測ステーションとして又は第1の選択的エピタキシャル成長プロセスを実行するように構成され、処理チャンバ960は、第2のエピタキシャル成長プロセスを実行するように構成されうる。当業者であれば、ツール上の個々の処理チャンバの数及び配置は変更可能であり、図9に示す実施形態が1つの可能な構成を表しているにすぎないことを理解するだろう。
[0046]いくつかの実施形態では、システム900は、1つ又は複数の計測ステーションを含む。例えば、計測ステーションは、前洗浄/バッファチャンバ920内、中央移送ステーション910内、又は個々の処理チャンバのいずれかの内部に位置しうる。計測ステーションは、基板を酸化環境に曝露することなく凹部の距離を測定可能にする、システム900内の任意の位置にありうる。
[0047]少なくとも1つのコントローラ950が、中央移送ステーション910、前洗浄/バッファチャンバ920、処理チャンバ930、940、945、又は960のうちの1つ又は複数に連結される。いくつかの実施形態では、個々のチャンバ又はステーションに連結された2つ以上のコントローラ950が存在し、システム900を制御するために、主制御プロセッサが別個のプロセッサの各々に連結される。コントローラ950は、様々なチャンバ及びサブプロセッサを制御するために産業環境で使用できる、任意の形態の汎用コンピュータプロセッサ、マイクロコントローラ、マイクロプロセッサなどのうちの1つでありうる。
[0048]少なくとも1つのコントローラ950は、プロセッサ952と、プロセッサ952に連結されたメモリ954と、プロセッサ952に連結された入力/出力デバイス956と、異なる電子部品間の通信のためのサポート回路958とを有しうる。メモリ954は、一時的メモリ(例えば、ランダムアクセスメモリ)及び非一時的メモリ(例えば、ストレージ)のうちの1つ又は複数を含みうる。
[0049]プロセッサのメモリ954又はコンピュータ可読媒体は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、又は任意の他の形態のデジタルストレージ、ローカル又はリモートといった容易に利用可能なメモリの1つ又は複数でありうる。メモリ954は、システム900のパラメータ及び構成要素を制御するためにプロセッサ952によって動作可能な命令セットを保持しうる。サポート回路958は、従来の方法でプロセッサをサポートするためプロセッサ952に連結される。回路は、例えば、キャッシュ、電源、クロック回路、入力/出力回路、サブシステムなどを含みうる。
[0050]プロセスは、概して、プロセッサによって実行されると、処理チャンバに本開示のプロセスを実行させるソフトウェアルーチンとしてメモリに格納されうる。ソフトウェアルーチンはまた、プロセッサによって制御されているハードウェアから遠隔に位置する第2のプロセッサ(図示せず)によって記憶及び/又は実行されうる。本開示の方法の一部又はすべてがまた、ハードウェアで実行されてもよい。したがって、プロセスは、ソフトウェアで実施され、コンピュータシステムを使用して、例えば、特定用途向け集積回路又は他のタイプのハードウェア実装としてのハードウェアで、又はソフトウェアとハードウェアの組合せとして実行されうる。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを、プロセスが実行されるようにチャンバ動作を制御する特定の目的のコンピュータ(コントローラ)に変換する。
[0051]いくつかの実施形態では、コントローラ950は、方法を実行するために個々のプロセス又はサブプロセスを実行するための1つ又は複数の構成を有する。コントローラ950は、方法の機能を実行するために中間構成要素に連結され、中間構成要素を動作させるように構成されうる。例えば、コントローラ950は、ガスバルブ、アクチュエータ、モータ、スリットバルブ、真空制御などの1つ又は複数に接続され、これらを制御するように構成されうる。
[0052]いくつかの実施形態のコントローラ950は、ロボット上の基板を複数の処理チャンバと計測ステーションとの間で移動させる構成、基板をシステムに搬入し及び/又はシステムから搬出する構成、基板表面上にグラフェンバリア層を形成する構成、並びにグラフェンバリア層上に充填層を堆積させる構成から選択される1つ又は複数の構成を有している。
[0053]本明細書全体を通して、「1つの実施形態」、「特定の実施形態」、「1つ又は複数の実施形態」又は「実施形態」への言及は、実施形態に関連して説明された特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な場所における「1つ又は複数の実施形態において」、「特定の実施形態において」、「1つの実施形態において」、又は「実施形態において」などの表現の出現は、必ずしも本開示の同一の実施形態を指すとは限らない。更に、特定の特徴、構造、材料、又は特性は、1つ又は複数の実施形態において任意の適切な方法で組み合わせてもよい。
[0054]本明細書の開示は、特定の実施形態を参照して説明されてきたが、当業者は、説明された実施形態が、本開示の原理及び用途の単なる例示にすぎないことを理解するだろう。本開示の主旨及び範囲から逸脱することなく、本開示の方法及び装置に様々な修正及び変形を行うことができることが、当業者には明らかだろう。したがって、本開示は、添付の特許請求の範囲及びその均等物の範囲内にある修正及び変形を含みうる。

Claims (15)

  1. 電子デバイスを形成する方法であって、前記方法が、
    基板表面上にグラフェンバリア層を形成することと、前記グラフェンバリア層の上に充填層を堆積させることと
    を含み、
    前記グラフェンバリア層が、前記充填層と前記基板表面との間の少なくとも1つの元素の拡散を防止する、方法。
  2. 前記基板表面が、誘電体材料を含む、請求項1に記載の方法。
  3. 前記誘電体材料が、金属酸化物を含む、請求項2に記載の方法。
  4. 前記誘電体材料が、本質的にAlからなる、請求項3に記載の方法。
  5. 前記グラフェンバリア層が、約15Åから約100Åの範囲の厚さを有する、請求項1に記載の方法。
  6. 前記充填層が、タングステン、ルテニウム、銅、又はコバルトのうちの1つ又は複数を含む、請求項1に記載の方法。
  7. 前記少なくとも1つの元素が、ハロゲン、酸素又はホウ素のうちの1つ又は複数を含む、請求項1に記載の方法。
  8. 前記少なくとも1つの元素が、本質的にフッ素からなる、請求項7に記載の方法。
  9. 前記充填層が、タングステンを含み、前記充填層が、WF及び反応物を含むタングステン前駆体に前記グラフェンバリア層を順次曝露することを含む方法によって堆積される、請求項1に記載の方法。
  10. 電子デバイスを形成する方法であって、前記方法が、
    Alを含む基板表面上に、約15Åから約100Åの範囲の厚さを有するグラフェンバリア層を形成することと、
    前記グラフェンバリア層上にアモルファスシリコン層を形成することと、
    前記アモルファスシリコン層を、WFを含むタングステン前駆体に曝露し、原子置換によってタングステン層を形成することと
    を含み、前記グラフェンバリア層が、前記基板表面へのフッ素の拡散を防止する、方法。
  11. 第1の材料と第2の材料との間にグラフェンバリア層を含み、前記グラフェンバリア層が、前記第1の材料と前記第2の材料との間の少なくとも1つの元素の拡散を防止する、電子デバイス。
  12. 前記グラフェンバリア層は、約15Åから約100Åの範囲の厚さを有する、請求項11に記載のデバイス。
  13. 前記少なくとも1つの元素が、ハロゲン、酸素又はホウ素のうちの1つ又は複数を含む、請求項11に記載のデバイス。
  14. 前記少なくとも1つの元素が、本質的にフッ素からなる、請求項13に記載のデバイス。
  15. 前記デバイスが、前記第1の材料及び前記第2の材料の複数の交互層を含む3DのNANDデバイスである、請求項11に記載のデバイス。
JP2021506675A 2018-08-11 2019-08-09 グラフェン拡散バリア Pending JP2021534572A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862717824P 2018-08-11 2018-08-11
US62/717,824 2018-08-11
PCT/US2019/045872 WO2020036819A1 (en) 2018-08-11 2019-08-09 Graphene diffusion barrier

Publications (2)

Publication Number Publication Date
JP2021534572A true JP2021534572A (ja) 2021-12-09
JPWO2020036819A5 JPWO2020036819A5 (ja) 2022-08-19

Family

ID=69406405

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021506675A Pending JP2021534572A (ja) 2018-08-11 2019-08-09 グラフェン拡散バリア

Country Status (7)

Country Link
US (2) US10916505B2 (ja)
JP (1) JP2021534572A (ja)
KR (2) KR102637671B1 (ja)
CN (1) CN112514031A (ja)
SG (1) SG11202100359SA (ja)
TW (2) TWI807639B (ja)
WO (1) WO2020036819A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112514031A (zh) * 2018-08-11 2021-03-16 应用材料公司 石墨烯扩散阻挡物
US11251129B2 (en) * 2020-03-27 2022-02-15 Intel Corporation Deposition of graphene on a dielectric surface for next generation interconnects
WO2023121714A1 (en) * 2021-12-22 2023-06-29 General Graphene Corporation Novel systems and methods for high yield and high throughput production of graphene

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130113102A1 (en) * 2011-11-08 2013-05-09 International Business Machines Corporation Semiconductor interconnect structure having a graphene-based barrier metal layer
US20180166333A1 (en) * 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect structure having a graphene barrier layer
JP2018152413A (ja) * 2017-03-10 2018-09-27 株式会社東芝 半導体装置及びその製造方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0691108B2 (ja) * 1988-03-22 1994-11-14 インタ‐ナシヨナル・ビジネス・マシ‐ンズ・コ‐ポレ‐シヨン 薄膜電界効果トランジスタの製造方法
JPH0484424A (ja) * 1990-07-27 1992-03-17 Sony Corp 半導体装置の製造方法
KR100203896B1 (ko) * 1995-12-15 1999-06-15 김영환 게이트 전극 형성방법
KR100543653B1 (ko) * 1998-12-28 2006-03-28 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
KR100968312B1 (ko) * 2004-06-02 2010-07-08 인터내셔널 비지네스 머신즈 코포레이션 저-k 물질 상의 TaN 확산장벽 영역의 PE-ALD
JP5395542B2 (ja) 2009-07-13 2014-01-22 株式会社東芝 半導体装置
US20120161098A1 (en) 2009-08-20 2012-06-28 Nec Corporation Substrate, manufacturing method of substrate, semiconductor element, and manufacturing method of semiconductor element
WO2012166562A1 (en) 2011-05-27 2012-12-06 University Of North Texas Graphene magnetic tunnel junction spin filters and methods of making
KR101437142B1 (ko) * 2011-10-28 2014-09-02 제일모직주식회사 그라핀 층을 함유하는 배리어 필름과 이를 포함하는 플렉시블 기판 및 그 제조방법
TWI645511B (zh) * 2011-12-01 2018-12-21 美商應用材料股份有限公司 用於銅阻障層應用之摻雜的氮化鉭
US8927415B2 (en) * 2011-12-09 2015-01-06 Intermolecular, Inc. Graphene barrier layers for interconnects and methods for forming the same
KR101357046B1 (ko) * 2011-12-20 2014-02-04 (재)한국나노기술원 금속 확산 방지용 그래핀 층이 구비된 전자 소자 및 제조 방법.
US20140339700A1 (en) * 2011-12-20 2014-11-20 University Of Florida Research Foundation, Inc. Graphene-based metal diffusion barrier
CN102593097A (zh) * 2012-02-27 2012-07-18 北京大学 一种集成电路金属互连结构及其制备方法
US9472450B2 (en) 2012-05-10 2016-10-18 Samsung Electronics Co., Ltd. Graphene cap for copper interconnect structures
JP5972735B2 (ja) 2012-09-21 2016-08-17 株式会社東芝 半導体装置
CN103121670B (zh) * 2013-02-19 2015-04-29 西安交通大学 远程等离子体增强原子层沉积低温生长石墨烯的方法
JP2015138901A (ja) 2014-01-23 2015-07-30 株式会社東芝 半導体装置及びその製造方法
JP6129772B2 (ja) 2014-03-14 2017-05-17 株式会社東芝 半導体装置及び半導体装置の製造方法
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
KR102371295B1 (ko) * 2015-02-16 2022-03-07 삼성전자주식회사 확산 방지층을 포함하는 층 구조물 및 그 제조방법
WO2016156659A1 (en) * 2015-04-01 2016-10-06 Picosun Oy Ald-deposited graphene on a conformal seed layer
KR20160120891A (ko) 2015-04-09 2016-10-19 삼성전자주식회사 반도체 장치
KR20160124958A (ko) * 2015-04-20 2016-10-31 서울대학교산학협력단 반도체 소자 및 그 제조 방법
CN104810476A (zh) * 2015-05-07 2015-07-29 中国科学院微电子研究所 非挥发性阻变存储器件及其制备方法
JP2017050503A (ja) 2015-09-04 2017-03-09 株式会社東芝 半導体装置とその製造方法
CN105355620B (zh) * 2015-12-17 2018-06-22 上海集成电路研发中心有限公司 一种铜互连结构及其制造方法
US11139308B2 (en) * 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10164018B1 (en) * 2017-05-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect structure having graphene-capped metal interconnects
KR102496377B1 (ko) * 2017-10-24 2023-02-06 삼성전자주식회사 저항변화 물질층을 가지는 비휘발성 메모리소자
CN112514031A (zh) * 2018-08-11 2021-03-16 应用材料公司 石墨烯扩散阻挡物

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130113102A1 (en) * 2011-11-08 2013-05-09 International Business Machines Corporation Semiconductor interconnect structure having a graphene-based barrier metal layer
US20180166333A1 (en) * 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect structure having a graphene barrier layer
JP2018152413A (ja) * 2017-03-10 2018-09-27 株式会社東芝 半導体装置及びその製造方法

Also Published As

Publication number Publication date
KR20230106752A (ko) 2023-07-13
KR20210031763A (ko) 2021-03-22
TWI807639B (zh) 2023-07-01
TW202009135A (zh) 2020-03-01
WO2020036819A1 (en) 2020-02-20
SG11202100359SA (en) 2021-02-25
US20210167021A1 (en) 2021-06-03
KR102554839B1 (ko) 2023-07-11
US20200051920A1 (en) 2020-02-13
CN112514031A (zh) 2021-03-16
KR102637671B1 (ko) 2024-02-15
US10916505B2 (en) 2021-02-09
TW202223138A (zh) 2022-06-16
US11621226B2 (en) 2023-04-04
TWI758629B (zh) 2022-03-21

Similar Documents

Publication Publication Date Title
US10529722B2 (en) Tungsten for wordline applications
JP7326475B2 (ja) 非金属表面への選択的堆積
TWI630281B (zh) 沉積金屬合金膜之方法
US11621226B2 (en) Graphene diffusion barrier
US11887847B2 (en) Methods and precursors for selective deposition of metal films
KR102451599B1 (ko) 루테늄의 선택적 원자 층 증착
JP2020515082A (ja) 誘電体膜の選択的堆積のための方法及び装置
US20220013365A1 (en) Molybdenum templates for tungsten
US10851454B2 (en) Metal deposition methods
JP2020537359A (ja) 金属堆積用の核生成層としての共形ドープアモルファスシリコン
JP7144532B2 (ja) 選択的エッチングプロセスの選択性を高める方法
JP2022535146A (ja) 自己形成バリア層を備えた低誘電率誘電体
TW201908511A (zh) 用於沉積鎢成核層的方法及設備
JP2024506395A (ja) シリコンベースの誘電体膜の堆積

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220809

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220809

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240527