JP7326475B2 - 非金属表面への選択的堆積 - Google Patents

非金属表面への選択的堆積 Download PDF

Info

Publication number
JP7326475B2
JP7326475B2 JP2021565884A JP2021565884A JP7326475B2 JP 7326475 B2 JP7326475 B2 JP 7326475B2 JP 2021565884 A JP2021565884 A JP 2021565884A JP 2021565884 A JP2021565884 A JP 2021565884A JP 7326475 B2 JP7326475 B2 JP 7326475B2
Authority
JP
Japan
Prior art keywords
substrate
metallic material
blocking layer
film
metallic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021565884A
Other languages
English (en)
Other versions
JP2022531455A (ja
Inventor
サン ホ ユ
ル チェン
セスハドリ ガングリ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022531455A publication Critical patent/JP2022531455A/ja
Application granted granted Critical
Publication of JP7326475B2 publication Critical patent/JP7326475B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)
  • Ceramic Capacitors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

本開示の実施形態は、一般に、非金属表面への選択的堆積のための方法に関する。より詳細には、本開示の一部の実施形態は、不飽和炭化水素を含むブロッキング化合物を使用して非金属表面に選択的に堆積させる方法を対象とする。より詳細には、本開示の一部の実施形態は、導電性材料の表面よりも誘電体材料(例えば、SiOx、AlOxなど)の表面上にバリア層を選択的に堆積させ、続いて誘電体材料およびバリア層よりも導電性材料上に膜を選択的に堆積させる方法を対象とする。
中間工程(MOL)および後工程(BEOL)の構造において、典型的には、金属配線と誘電体層との間にバリア膜を使用して、誘電体と金属配線との間の拡散および他の有害な相互作用を防止する。しかし、ビア抵抗への最大の寄与は、主に高い抵抗率を有するバリア膜によるものである。
現在の手法は、バリア膜の厚さを薄くして、または抵抗率の低いバリア膜を見つけてビア抵抗を減少させることに焦点を当てている。しかしながら、バリア膜の結果としてビア抵抗が増加することは、依然として問題である。
1つの手法は、ビアの底部の金属表面上のバリア膜の厚さをブロックまたは減少させる一方で、側壁の誘電体表面上の厚さを残すことであった。金属と誘電体との間には、バリア膜のバリア性が求められるため、この手法では、バリア膜をそのまま残しておくことができ、金属表面上の厚さが減少することでビア抵抗が改善される。これらのプロセスは、選択的堆積プロセスと呼ばれる。
材料の選択的堆積は、様々な仕方で達成することができる。化学前駆体は、別の表面(金属または誘電体)と比べて1つの表面と選択的に反応することができる。圧力、基板温度、前駆体分圧、および/またはガス流などのプロセスパラメータを調節して、特定の表面反応の化学反応速度を調節することができる。別の可能なスキームは、対象となる表面を、入ってくる膜堆積前駆体に対して活性化または非活性化するために使用することができる表面前処理を含む。典型的には、選択的堆積は、金属表面への膜の堆積を指す。逆選択的堆積プロセスは、金属表面ではなく誘電体表面に膜を堆積させる。
したがって、非金属(例えば誘電体)表面への選択的堆積を可能にする方法が必要とされている。
本開示の1つまたは複数の実施形態は、ブロッキング層を形成する方法を対象とする。本方法は、基板を不飽和炭化水素に曝して、第2の表面よりも第1の表面上にブロッキング層を選択的に形成するステップを含む。基板は、第1の表面を有する金属材料および第2の表面を有する非金属材料を含む。
本開示のさらなる実施形態は、選択的堆積の方法に関する。本方法は、第1の表面を有する金属材料および第2の表面を有する非金属材料を含む基板を不飽和炭化水素に曝して、第2の表面よりも第1の表面上にブロッキング層を選択的に形成するステップを含む。基板を金属前駆体および反応物に順次曝して、第1の表面上のブロッキング層よりも第2の表面上に膜を形成する。ブロッキング層は、第1の表面から除去される。
本開示のさらなる実施形態は、低抵抗金属ビアを形成する方法に関する。本方法は、少なくとも1つの特徴が形成された基板表面を有する基板を用意するステップを含む。少なくとも1つの特徴は、側壁および底部を有する。側壁は、非金属材料表面を含む。底部は、金属材料表面を含む。基板を不飽和炭化水素に曝して、非金属材料表面よりも金属材料表面上にブロッキング層を選択的に形成する。基板を金属前駆体および反応物に順次曝して、金属材料表面上のブロッキング層よりも非金属材料表面上に膜を形成する。ブロッキング層は、金属材料表面から除去されてもよい。少なくとも1つの特徴内に導電性充填材料を堆積させて、低抵抗金属ビアを形成する。
本開示の上記で列挙された特徴を詳細に理解することができるように、実施形態を参照することによって、上記で簡潔に要約された本開示のより具体的な説明を得ることができ、その実施形態の一部が添付の図面に示される。しかしながら、添付の図面は、本開示の典型的な実施形態のみを示しており、したがって、本開示は他の等しく有効な実施形態を許容し得るため、その範囲を限定するものと見なされるべきではないことに留意されたい。
本開示の1つまたは複数の実施形態による、処理中の例示的な基板の断面図である。 本開示の1つまたは複数の実施形態による、処理中の例示的な基板の断面図である。 本開示の1つまたは複数の実施形態による、選択的堆積中の例示的な基板の概略断面図である。 本開示の1つまたは複数の実施形態による、例示的なクラスタツールである。
添付の図面において、同様の構成要素および/または特徴は、同じ参照ラベルを有することがある。さらに、同じタイプの様々な構成要素は、参照ラベルの後のダッシュと、同様の構成要素を区別する第2のラベルとによって区別されることがある。本明細書で第1の参照ラベルのみが使用される場合、その説明は、第2の参照ラベルにかかわらず、同じ第1の参照ラベルを有する同様の構成要素のいずれか1つに適用可能である。
本開示のいくつかの例示的な実施形態を説明する前に、本開示は、以下の説明に記載される構成またはプロセスステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態が可能であり、様々な仕方で実施または実行することができる。
本明細書および添付の特許請求の範囲において使用される場合、「基板」という用語は、プロセスが作用する表面または表面の一部を指す。また、当業者であれば、基板への言及は、文脈上明確にそうでないと示されない限り、基板の一部のみを指すこともできることを理解されよう。加えて、基板上に堆積させることへの言及は、ベアの基板と、1つまたは複数の膜あるいは特徴が堆積または形成された基板との両方を意味することができる。
本明細書で使用されるような「基板」は、製造プロセス中に膜処理が行われる任意の基板または基板上に形成される材料の表面を指す。例えば、処理を行うことができる基板表面は、用途に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素ドープ酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ガリウム砒素、ガラス、サファイアなどの材料、ならびに金属、金属窒化物、金属合金、および他の導電性材料などの任意の他の材料を含む。基板には、半導体ウエハが含まれるが、これに限定されない。基板を、前処理プロセスに曝して、基板表面を研磨、エッチング、還元、酸化、水酸化、アニール、UV硬化、電子ビーム硬化、および/またはベークすることができる。基板自体の表面上で直接膜処理することに加えて、本開示では、開示される膜処理ステップのいずれかを、以下でより詳細に開示されるように、基板上に形成された下層上で行うこともでき、用語「基板表面」は、文脈が示すようなそのような下層を含むことが意図されている。したがって、例えば、膜/層または部分的な膜/層を基板表面上に堆積させた場合、新たに堆積させた膜/層の露出面が基板表面となる。
本開示の実施形態は、金属材料表面にブロッキング層を選択的に形成するための方法に関する。本開示の一部の実施形態は、さらに、金属材料表面よりも非金属材料表面上に膜を選択的に堆積させるための方法に関する。本開示の一部の実施形態は、さらに、より低い抵抗を有する金属ビアを形成するための方法に関する。
本開示の一部の実施形態は、有利には、金属材料表面にブロッキング層を選択的に形成するための方法を提供する。
本明細書および添付の特許請求の範囲で使用される場合、「金属材料表面」または「非金属材料表面」という語句は、金属材料または非金属材料の表面をそれぞれ指す。本開示の目的のための非金属材料とは、不良導体または良好な絶縁体の特性を示す任意の材料である。非金属材料は、金属原子(例えば、窒化タンタル、窒化チタン)を含んでいたとしても、非金属材料の範囲に入る。一部の実施形態では、金属材料および非金属材料の代わりに、「導電性材料」および「誘電体材料」という用語がそれぞれ使用される。
本明細書および添付の特許請求の範囲で使用される場合、「第2の表面よりも第1の表面に選択的に堆積させる」などの用語は、第1の量または厚さを第1の表面上に堆積させ、第2の量または厚さを第2の表面上に堆積させ、第2の量または厚さが第1の量または厚さよりも少ないか、あるいは一部の実施形態では、第2の表面にいかなる量も堆積させないことを意味する。
この点に関して使用される場合、「よりも(over)」という用語は、ある表面が別の表面の上に物理的に配向していることを意味するのではなく、むしろ、一方の表面との化学反応の熱力学的特性または動力学的特性の、もう一方の表面に対する関係を意味する。例えば、誘電体表面よりも銅表面上にコバルト膜を選択的に堆積させるとは、銅表面上にコバルト膜が堆積し、誘電体表面上にコバルト膜がほとんどまたは全く堆積しないこと、または銅表面上のコバルト膜の形成が、誘電体表面上のコバルト膜の形成と比較して熱力学的または動力学的に有利であることを意味する。
一部の実施形態では、「選択的に」とは、対象材料が、選択表面上に、非選択表面上の形成速度の約2倍、3倍、4倍、5倍、7倍、10倍、15倍、20倍、25倍、30倍、35倍、40倍、45倍、または50倍以上の速度で形成されることを意味する。別の言い方をすれば、非選択表面に対する選択表面の上述のプロセスの選択性は、約2:1、3:1、4:1、5:1、7:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1、または50:1以上である。
一部の実施形態において、選択的堆積は、堆積プロセスとエッチングプロセスとの組合せを含む。例えば、導電性材料よりも誘電体材料上に選択的に堆積させたバリア層が、導電性材料上に薄層を形成することがある。一部の実施形態では、選択的エッチングプロセスとも呼ばれる後続のエッチングプロセスを使用して、このバリア層を、誘電体材料から除去するよりも速い速度で導電性材料から除去し、選択的堆積を完了する。
本開示の1つまたは複数の実施形態は、基板の第2の表面よりも第1の表面上にブロッキング層を選択的に形成する方法を対象とする。基板は、第1の表面を有する金属材料(導電性材料)および第2の表面を有する非金属材料(誘電体材料)を含む。一部の実施形態では、第1の表面は、金属材料表面または導電性材料表面として記載されることがあり、第2の表面は、非金属材料表面または誘電体材料表面として記載されることがある。
基板の金属材料は、任意の適切な金属材料であってもよい。一部の実施形態では、本開示の金属材料は、導電性材料である。適切な金属材料としては、金属、導電性金属窒化物、導電性金属酸化物、金属合金、シリコン、これらの組合せ、および他の導電性材料が挙げられるが、これらに限定されない。
一部の実施形態では、金属材料には、クロム、マンガン、鉄、銅、ニッケル、コバルト、タングステン、ルテニウム、モリブデン、タンタル、またはチタンのうちの1つもしくは複数が含まれる。一部の実施形態では、金属材料は、本質的に、クロム、マンガン、鉄、銅、ニッケル、コバルト、タングステン、ルテニウム、モリブデン、酸化タンタル、窒化タンタル、酸化チタン、または窒化チタンで構成されている。一部の実施形態では、金属材料は、本質的に、銅、コバルト、ルテニウム、タングステン、またはモリブデンで構成されている。一部の実施形態では、金属材料は、本質的に、シリコンを含むか、またはシリコンで構成されている。本明細書および添付の特許請求の範囲において使用される場合、「本質的に構成されている」という用語は、材料が、原子ベースで、記載された材料の約95%、98%、または99%以上であることを意味する。
本明細書および添付の特許請求の範囲において使用される場合、「酸化物」などの用語は、材料が指定された元素を含有することを意味する。この用語は、特定の比率の元素を意味するものと解釈されるべきではない。したがって、「酸化物」などは、化学量論的な比率の元素または非化学量論的な比率の元素を含むことができる。
基板の非金属材料は、任意の適切な材料であってもよい。一部の実施形態では、本開示の非金属材料は、誘電体材料である。適切な非金属材料としては、酸化ケイ素(例えば、SiO2)、窒化ケイ素、炭化ケイ素、およびこれらの組合せ(例えば、SiCON)が挙げられるが、これらに限定されない。適切な非金属材料には、酸化アルミニウム、窒化アルミニウム、および低k誘電体材料がさらに含まれる。一部の実施形態では、非金属材料は、本質的に、二酸化ケイ素(SiO2)で構成されている。一部の実施形態では、層は、窒化ケイ素を含む。一部の実施形態では、層は、本質的に、窒化ケイ素で構成されている。
図1を参照すると、例示的な方法100は、第1の表面112を有する金属材料110と、第2の表面122を有する非金属材料120とを含む基板105から始まる。動作160において、基板105が不飽和炭化水素(R’≡R”として表される)に曝されて、ブロッキング層130が第2の表面122よりも第1の表面112上に選択的に形成される。このように使用される場合、「基板が曝される」という語句は、基板上の個々の材料および層を含む基板が全体として、記載されたプロセスまたは条件に曝されることを意味する。一部の実施形態では、ブロッキング層の表面は、ブロックされた第1の表面132として記載されている。ブロッキング層130は、一連の平行な波線として図に示されているが、当業者であれば、これは単に一般的な表現として使用されており、ブロッキング層130のいかなる特定の形態、密度、または構造も示唆するものではないことを認識するであろう。ブロッキング層130の薄膜の形成は、処理プロセスと考えられてもよい。一方、より厚い膜の形成は、一般に選択的堆積であると考えられる。
理論に束縛されることなく、金属材料のd軌道は、不飽和炭化水素のsp2軌道と電子を共有し始めると考えられる。したがって、一部の実施形態では、不飽和炭化水素は、2つの炭素原子間に少なくとも1つの二重結合を有する少なくとも1つの化合物を含む。一部の実施形態では、不飽和炭化水素は、2つの炭素原子間に少なくとも1つの三重結合を有する少なくとも1つの化合物を含む。別の言い方をすれば、一部の実施形態では、不飽和炭化水素は、R’=R”またはR’≡R”の一般式を有する少なくとも1つの化合物を含む。一部の実施形態では、不飽和炭化水素の化合物は、1つの不飽和結合のみを含む。理論に束縛されることなく、複数の不飽和結合により、重合の可能性が増し、周囲の基板材料に損傷を与えることなくブロッキング層を除去することは増々困難になると考えられる。
さらに、理論に束縛されることなく、不飽和炭化水素(ブロッキング層130)は、第1の表面112上の後続の膜の核形成または成長速度のうちの1つもしくは複数を抑制すると考えられる。
一部の実施形態では、R’およびR’’は、同一である。一部の実施形態では、R’およびR”は、独立したC2-C6基である。この点で使用される場合、「C2-C6基」は、2~6個の炭素原子を含む。一部の実施形態では、R’およびR”は、炭素原子および水素原子のみを含む。一部の実施形態において、R’およびR”は、いかなる表面反応性部分も含まない。一部の実施形態において、不飽和炭化水素の化合物は、末端炭素との不飽和結合を含まない。一部の実施形態では、不飽和炭化水素の化合物は、4~12個の炭素原子を含む。一部の実施形態では、R’および/またはR”は、直鎖状分子(例えば、直鎖状不飽和炭化水素)である。一部の実施形態では、R’および/またはR”は、分枝状である。一部の実施形態において、不飽和炭化水素の化合物は、3-ヘキシンを含むか、またはそれから本質的に構成されている。このように使用される場合、「本質的に構成されている」という用語は、モルベースで、約95%、98%、99%、または99.5%以上の不飽和炭化水素が、記載された化合物であることを意味する。一部の実施形態では、不飽和炭化水素の化合物は、5デシンを含むか、またはそれから本質的に構成されている。
一部の実施形態において、基板は、不飽和炭化水素の蒸気中に浸漬される。一部の実施形態では、基板を不飽和炭化水素に曝すための処理条件を制御することができる。
一部の実施形態では、処理チャンバの圧力が制御される。処理チャンバの圧力は、ブロッキング層を形成するための任意の適切な圧力であってよい。一部の実施形態では、処理チャンバの圧力は、約80Torr以下、約70Torr以下、約60Torr以下、約50Torr以下、約40Torr以下、約30Torr以下、約20Torr以下、約15Torr以下、約10Torr以下、または約5Torr以下に維持される。一部の実施形態では、処理チャンバの圧力は、約10Torr、約20Torr、約30Torr、約40Torr、または約50Torrに維持される。
一部の実施形態では、処理チャンバ内への不飽和炭化水素の流量が制御される。不飽和炭化水素の流量は、ブロッキング層を形成するための任意の適切な流量であってもよい。一部の実施形態では、不飽和炭化水素の流量は、約50sccm~約100sccmの範囲、または約75sccm~約100sccmの範囲にある。一部の実施形態では、不飽和炭化水素の流量は、約600sccm以下、約500sccm以下、約400sccm以下、約300sccm以下、約250sccm以下、約200sccm以下、約150sccm以下、約100sccm以下、約75sccm以下、または約50sccm以下である。一部の実施形態では、不飽和炭化水素の流量は、約50sccmまたは約100sccmである。
一部の実施形態では、不飽和炭化水素を基板に曝す浸漬時間が制御される。浸漬時間は、ブロッキング層を形成するための任意の適切な時間であってもよい。一部の実施形態では、浸漬時間は、約10秒以上、約20秒以上、約30秒以上、約45秒以上、約60秒以上、約80秒以上、約120秒以上、約150秒以上、または約200秒以上である。一部の実施形態では、浸漬時間は、約60秒である。一部の実施形態では、浸漬時間は、約200秒である。
一部の実施形態において、基板の温度は、不飽和炭化水素への曝露中に制御される。基板の温度は、動作温度と呼ばれることもある。一部の実施形態では、基板の温度は、約300℃以下、約275℃以下、約250℃以下、約225℃以下、または約200℃以下である。
一部の実施形態において、不飽和炭化水素の化合物は、動作温度で液体である。一部の実施形態では、炭化水素の化合物は、動作温度で約0.1Torr以上の蒸気圧を有する。
一部の実施形態では、方法100は、ブロックされた第1の表面132よりも第2の表面122上に膜140を堆積させる動作170に進む。膜140は、当業者に知られている任意の技術によって堆積させることができる。非金属表面上への膜140の堆積は、「逆選択的堆積」と呼ばれる。当業者であれば、「選択的堆積」という用語は、典型的には、非金属表面ではなく金属表面上への膜の形成に適用されることを理解するであろう。逆選択的堆積プロセスは、非金属表面上に膜を形成する。
一部の実施形態では、原子層堆積によって膜140を堆積させる。一部の実施形態では、基板105を金属前駆体および反応物に順次曝すことによって膜140を堆積させる。一部の実施形態では、膜140は、金属窒化物を含む。
一部の実施形態では、膜140は、バリア膜として機能する。一部の実施形態では、膜140は、窒化チタンを含むか、または本質的に窒化チタンで構成されている。一部の実施形態では、膜140は、窒化タンタルを含むか、または本質的に窒化タンタルで構成されている。一部の実施形態では、金属前駆体は、ペンタキス(ジメチルアミノ)タンタルを含む。一部の実施形態では、反応物は、アンモニアを含む。一部の実施形態では、膜140は、プラズマを使用せずに形成される。
一部の実施形態では、動作180において、方法100は、第1の表面112からブロッキング層130を除去することによって継続する。ブロッキング層130は、プラズマ洗浄プロセスを含むがこれに限定されない任意の適切な手段によって除去することができる。一部の実施形態では、基板105をプラズマに曝して、第1の表面112からブロッキング層130を除去する。一部の実施形態では、プラズマは、アルゴン(Ar)、窒素(N2)、または水素(H2)のうちの1つもしくは複数を含むか、または本質的にそれらで構成されている。本明細書で使用される場合、窒素、水素、酸素などを含むプラズマは、言及された核種の分子形態から形成されたプラズマを意味する。例えば、窒素プラズマは、分子窒素(N2)から点火されたプラズマを指す。本明細書および添付の特許請求の範囲で使用される場合、元素含有プラズマ(例えば、窒素含有プラズマ)は、元素を含む化合物を指す。例えば、窒素含有プラズマは、元素として窒素を有する1つまたは複数の化合物(例えば、アンモニア(NH3))を含むか、または本質的にその化合物で構成されている。一部の実施形態では、プラズマは、本質的にアルゴンで構成されている。一部の実施形態では、プラズマは、H2とArの混合物を含むか、または本質的にその混合物で構成されている。
一部の実施形態において、H2とArの混合物は、H2:Arの比が、約10:1~約1:10の範囲、約10:1~約1:1の範囲、約1:1~約1:10の範囲、約5:1~約1:5の範囲、約5:1~約1:1の範囲、約1:1~約1:5の範囲、約2:1~約1:2の範囲、約2:1~約1:1の範囲、または約1:1~約1:2の範囲を有する。一部の実施形態では、H2/Arの混合物は、約1:1である。
プラズマの出力は、ブロッキング層の組成、充填度、および/または厚さ、ならびに周囲の材料の組成および/または厚さに応じて変えることができる。一部の実施形態では、プラズマ出力は、約20W~約500Wの範囲、約20W~約400Wの範囲、約20W~約250Wの範囲、約50W~約500Wの範囲、約100W~約500Wの範囲、約100W~約450Wの範囲、約100W~約500Wの範囲、または約200W~約400Wの範囲にある。一部の実施形態では、プラズマ出力は、約50W、約200W、または約400Wである。
プラズマ曝露の持続時間は、ブロッキング層130の組成、充填度、および/または厚さ、ならびに周囲の材料の組成および/または厚さに応じて変えることができる。一部の実施形態では、基板は、約2秒~約60秒の範囲、約3秒~約30秒の範囲、または約5秒~約10秒の範囲の期間にわたって、プラズマに曝される。一部の実施形態では、基板は、約3秒、約5秒、約10秒、または約30秒の期間にわたってプラズマに曝される。
図2を参照すると、トレンチ状構造を使用する例示的な逆選択的堆積方法200は、少なくとも1つの特徴206が形成された基板表面202を有する基板205を用意することによって開始する。記載されている異なる膜および層は、図1のものと類似しており、当業者であれば、これらは、類似の方法の異なる構造形態に過ぎないことを認識するであろう。少なくとも1つの特徴206は、側壁207、208、および底部209を有する。図示された実施形態では、側壁207、208は、非金属材料210の表面を含み、非金属材料210によって形成されている。底部209は、金属材料220の表面を含み、金属材料220によって形成されている。少なくとも1つの特徴206は、1つの側壁(例えば、円形のビア)、2つの側壁(例えば、トレンチ)、またはより多くの側壁(例えば、正方形または多角形のビア)を有することができる。
方法200は、動作260において、基板205を不飽和炭化水素(R’≡R”で表される)に曝して、基板表面202と特徴側壁207、208とを形成する非金属材料210の表面212よりも特徴206の底部209を形成する金属材料220の表面222上にブロッキング層230を選択的に形成することによって継続する。別の言い方をすれば、基板205が不飽和炭化水素で処理されて、金属材料への将来の堆積が不活性化またはブロックされる。
方法200は、動作270において、ブロッキング層230よりも非金属材料220上への膜240の逆選択的堆積によって継続する。繰り返しになるが、当業者であれば、「逆選択的堆積」という用語が、誘電体または非金属表面上に膜が形成される選択的堆積プロセスを指すことを理解するであろう。一部の実施形態では、基板205を金属前駆体および反応物に順次曝すことによって膜240を堆積させる。一部の実施形態では、膜240は、バリア層として機能する材料を含む。
方法200は、任意選択の動作280において、特徴206の底部209上の金属材料220の表面222からブロッキング層230を任意選択で除去するステップを含む。
理論に束縛されることなく、ブロッキング層230は、ほとんどのバリア層(例えば、膜240)で典型的に見られる抵抗の増加と比較すると、導電性充填材料250の抵抗をわずかにしか増加させないと考えられる。したがって、ブロッキング層230の除去は、導電性充填材料250の抵抗をさらに低下させることができる任意選択のプロセスである。
動作280においてブロッキング層230が除去されるかどうかにかかわらず、方法200は、動作290において、少なくとも1つの特徴206内に導電性充填材料250を堆積させて低抵抗金属ビアを形成することによって継続する。一部の実施形態では、低抵抗金属ビアは、ブロッキング層なしで形成された金属ビアの約80%以下の抵抗を有する。別の言い方をすれば、ブロッキング層230を含む開示されたプロセスによって形成される低抵抗金属ビアは、約20%以上のビア抵抗低減を提供する。
図3は、図1および図2に示されたものの両方と同様の本開示の別の実施形態を示す。図3に示す方法300は、前述したものと同じ材料および全体的なプロセスを用いて行われる。図3に示す実施形態は、当業者によって認識されるように、Mx金属線とMx+1金属線を接続するビアの形成のための方法300を表す。方法300は、誘電体材料310および導電性材料320を有する基板305から開始する。特徴306は、複数の段またはレベルで基板305内に形成されている。特徴306は、下部部分306aおよび上部部分306bを有する。下部セクションは、側壁307a、308a、および底部309aを有する。上部セクションは、側壁307b、308b、および底部309bを有する。側壁307a、307b、308a、308bは、誘電体材料310によって形成されている。下部部分306aの底部309aは、導電性材料320の表面322によって形成されている。導電性材料320は、Mx線とも呼ばれる。底部309bは、誘電体材料310の上部セクション表面313によって形成されている。
プロセス360において、基板305を不飽和炭化水素(R’≡R”によって表される)に曝して、基板表面302、側壁307a、307b、308a、308b、および誘電体の上部セクション表面313の底部309bを形成する誘電体材料310の表面よりも、特徴306の下部部分306aの底部309aを形成する導電性材料320の表面322上にブロッキング層330を選択的に形成する。
方法300は、動作370において、ブロッキング層330よりも誘電体材料310上に膜(バリア層340)を選択的に堆積させることによって継続する。図3に示すように、側壁307a、307b、308a、308b、誘電体の上部セクション表面313の底部309b、および基板表面302上にバリア層340を選択的に堆積させる。バリア層340は、導電性材料320上ではなく、露出した誘電体材料310の表面上に堆積する。バリア層340は、当業者に知られている任意の適切な技術によって堆積させることができる。一部の実施形態では、基板305を金属前駆体および反応物に順次曝すことによってバリア層340を堆積させる。
方法300は、任意選択の動作380において、特徴306の下部部分306aの底部309a上の導電性材料320の表面322からブロッキング層330を任意選択で除去するステップを含む。
動作380においてブロッキング層330が除去されるかどうかにかかわらず、方法300は、選択的ビア堆積の動作390に進む。導電性ビア充填材料350を、特徴306の少なくとも下部部分306a内に選択的に堆積させて、低抵抗金属ビアを形成する。図示する実施形態では、導電性ビア充填材料350は、特徴306の下部部分306a内にのみ形成されている。しかしながら、当業者であれば、導電性充填材料を、上部部分306bを含む特徴306全体を充填するように堆積させることができることを認識するであろう。一部の実施形態では、低抵抗金属ビアは、ブロッキング層なしで形成された金属ビアの約80%以下の抵抗を有する。別の言い方をすれば、ブロッキング層330を含む開示されたプロセスによって形成される低抵抗金属ビアは、約20%以上のビア抵抗低減を提供する。
導電性ビア充填材料350をボトムアップ式で成長させて、特徴306の下部部分306aを構成するビア部分を充填する図3に示すような実施形態において、第2の導電性材料を上部部分306bに堆積させる。例えば、図3に示す動作395において、特徴306の上部部分306bは、導電性材料355で充填されて、Mx+1導電線を形成する。
導電性材料355は、当業者に知られている任意の適切な材料とすることができる。図示する実施形態では、導電性材料320および導電性材料355は、同じ材料であり、導電性ビア充填材料350は、異なる材料である。例えば、一部の実施形態では、導電性材料320および導電性材料355は、銅を含み、導電性ビア充填材料350は、コバルトを含む。一部の実施形態では、導電性ビア充填材料350および導電性材料355は、同じ材料である。一部の実施形態では、特徴306全体を一度に単一の材料で充填して、特徴306の下部部分306aおよび上部部分306bを1つのプロセスで充填する。
導電性材料355は、当業者に知られている任意の適切な技術によって堆積させることができる。一部の実施形態では、化学気相堆積(CVD)プロセス、原子層堆積(ALD)プロセスまたは物理的気相堆積(PVD)プロセスのうちの1つもしくは複数によって導電性材料355を堆積させる。一部の実施形態では、導電性材料355を堆積させて、特徴306を過剰充填し、基板305の表面302上にオーババーデンを形成する。次いで、任意の適切な技術(例えば、エッチング、化学機械平坦化(CMP))によって、オーババーデンを除去する。
図4を参照すると、本開示の追加の実施形態は、本明細書に記載の方法を実行するための処理システム900を対象とする。図3は、本開示の1つまたは複数の実施形態による基板を処理するために使用することができるシステム900を示す。システム900は、クラスタツールと呼ぶことができる。システム900は、ロボット912を内部に有する中央移送ステーション910を含む。ロボット912は、単一ブレードロボットとして図示されているが、当業者であれば、他のロボット912構成が本開示の範囲内にあることを認識するであろう。ロボット912は、1つまたは複数の基板を中央移送ステーション910に接続されたチャンバ920、930、940、960間で移動させるように構成されている。
少なくとも1つの前洗浄/バッファチャンバ920が、中央移送ステーション910に接続されてもよい。前洗浄/バッファチャンバ920は、ヒータ、ラジカル源、またはプラズマ源のうちの1つもしくは複数を含むことができる。前洗浄/バッファチャンバ920は、個々の半導体基板のための、または処理用ウエハのカセットのための保持領域として使用することができる。前洗浄/バッファチャンバ920は、前洗浄プロセスを実行することができ、または処理のために基板を予熱することができ、あるいは単にプロセスシーケンスのための準備領域とすることができる。一部の実施形態では、中央移送ステーション910に接続された2つの前洗浄/バッファチャンバ920がある。
図3に示す実施形態では、前洗浄チャンバ920は、ファクトリインターフェース905と中央移送ステーション910との間のパススルーチャンバとして機能することができる。ファクトリインターフェース905は、基板をカセットから前洗浄/バッファチャンバ920に移動させるための1つまたは複数のロボット906を含むことができる。次いで、ロボット912は、基板を前洗浄/バッファチャンバ920からシステム900内の他のチャンバに移動させることができる。
第1の処理チャンバ930は、中央移送ステーション910に接続することができる。第1の処理チャンバ930は、ブロッキング層を堆積させるための堆積チャンバとして構成することができ、1つまたは複数の反応性ガス源と流体連結して、1つまたは複数の反応性ガスの流れを第1の処理チャンバ930に提供することができる。基板は、アイソレーションバルブ914を通過するロボット912によって、処理チャンバ930に出入りするように移動させることができる。
処理チャンバ940も、中央移送ステーション910に接続することができる。一部の実施形態では、処理チャンバ940は、膜堆積チャンバを備え、1つまたは複数の反応性ガス源と流体連結して、堆積プロセスを実行するために処理チャンバ940に反応性ガスの流れを提供する。基板は、アイソレーションバルブ914を通過するロボット912によって、処理チャンバ940に出入りするように移動させることができる。
一部の実施形態では、処理チャンバ960は、中央移送ステーション910に接続され、導電性充填材料堆積チャンバとして機能するように構成されている。処理チャンバ960は、1つまたは複数の堆積プロセスを実行するように構成することができる。
一部の実施形態では、処理チャンバ930、940、および960のそれぞれは、処理方法の異なる部分を実行するように構成されている。例えば、処理チャンバ930は、ブロッキング層形成プロセスを実行するように構成されてもよく、処理チャンバ940は、選択的膜堆積プロセスを実行するように構成されてもよく、処理チャンバ960は、導電性充填材料形成プロセスを実行するように構成されてもよい。当業者であれば、ツールにおける個々の処理チャンバの数および配置を変えることができること、ならびに図3に示される実施形態が1つの可能な構成を単に表していることを認識するであろう。
一部の実施形態では、処理システム900は、1つまたは複数の計測学ステーションを含む。例えば、計測学ステーションは、前洗浄/バッファチャンバ920内、中央移送ステーション910内、または個々の処理チャンバのいずれかの内部に配置することができる。計測学ステーションは、基板を酸化環境に曝すことなく凹部の距離を測定することができるシステム900内の任意の位置とすることができる。
少なくとも1つのコントローラ950が、中央移送ステーション910、前洗浄/バッファチャンバ920、および処理チャンバ930、940、945、または960のうちの1つもしくは複数に結合されている。一部の実施形態では、個々のチャンバまたはステーションに接続された2つ以上のコントローラ950があり、一次制御プロセッサが別々のプロセッサのそれぞれに結合されて、システム900を制御する。コントローラ950は、様々なチャンバおよびサブプロセッサを制御するために産業環境において使用することができる任意の形態の汎用コンピュータプロセッサ、マイクロコントローラ、マイクロプロセッサなどのうちの1つであってもよい。
少なくとも1つのコントローラ950は、プロセッサ952と、プロセッサ952に結合されたメモリ954と、プロセッサ952に結合された入力/出力デバイス956と、異なる電子構成要素間で通信するためのサポート回路958と、を有することができる。メモリ954は、一時的メモリ(例えば、ランダムアクセスメモリ)および非一時的メモリ(例えば、ストレージ)のうちの1つまたは複数を含むことができる。
プロセッサのメモリ954またはコンピュータ可読媒体は、ローカルまたはリモートの、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、または任意の他の形態のデジタルストレージなどの、容易に利用可能なメモリのうちの1つもしくは複数であってもよい。メモリ954は、システム900のパラメータおよび構成要素を制御するためにプロセッサ952によって動作可能な命令セットを保持することができる。サポート回路958は、プロセッサ952に結合され、従来のやり方でプロセッサをサポートする。回路は、例えば、キャッシュ、電源、クロック回路、入力/出力回路、サブシステムなどを含むことができる。
プロセスは、一般に、プロセッサによって実行されると、プロセスチャンバに本開示のプロセスを実行させるソフトウェアルーチンとしてメモリに記憶されてもよい。ソフトウェアルーチンはまた、プロセッサによって制御されているハードウェアから遠隔に位置する第2のプロセッサ(図示せず)によって記憶および/または実行されてもよい。本開示の方法の一部または全部は、ハードウェアで実行されてもよい。そのため、プロセスは、ソフトウェアで実施されてもよく、コンピュータシステムを使用して、例えば、特定用途向け集積回路もしくは他のタイプのハードウェア実装としてのハードウェアで、またはソフトウェアとハードウェアとの組合せとして実行されてもよい。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを、プロセスが実行されるようにチャンバ動作を制御する専用コンピュータ(コントローラ)に変換する。
一部の実施形態では、コントローラ950は、個々のプロセスまたはサブプロセスを実行して本方法を実行するための1つもしくは複数の構成を有する。コントローラ950は、本方法の機能を実行するために、中間構成要素に接続され、中間構成要素を動作させるように構成することができる。例えば、コントローラ950は、ガスバルブ、アクチュエータ、モータ、スリットバルブ、真空制御装置などのうちの1つまたは複数に接続され、それらを制御するように構成することができる。
一部の実施形態のコントローラ950は、ロボット上の基板を複数の処理チャンバと計測学ステーションとの間で移動させる構成、基板をシステムからロードおよび/またはアンロードする構成、ブロッキング層を選択的に形成する構成、第2の表面上または表面特徴内に膜を選択的に堆積させる構成、ブロッキング層を除去する構成、および/または第1の表面上または表面特徴内に導電性充填材料を堆積させる構成から選択される1つもしくは複数の構成を有する。
本明細書全体を通して、「一実施形態」、「特定の実施形態」、「1つまたは複数の実施形態」、あるいは「実施形態」への言及は、実施形態に関連して記載される特定の特徴、構造、材料、または特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体を通して様々な場所における「1つまたは複数の実施形態において」、「ある特定の実施形態において」、「一実施形態において」、あるいは「ある実施形態において」などの語句の出現は、必ずしも本開示の同じ実施形態を指すとは限らない。さらに、特定の特徴、構造、材料、または特性は、1つまたは複数の実施形態において任意の適切なやり方で組み合わせることができる。
本明細書の開示は、特定の実施形態を参照して説明されてきたが、当業者であれば、説明された実施形態は、本開示の原理と応用を例示するものに過ぎないことを理解するであろう。本開示の精神および範囲から逸脱することなく、本開示の方法および装置に様々な修正および変形を行うことができることは、当業者には明らかであろう。したがって、本開示は、添付の特許請求の範囲およびそれらの均等物の範囲内にある修正形態および変形形態を含むことができる。

Claims (20)

  1. ブロッキング層を形成する方法であって、第1の表面を有する金属材料および第2の表面を有する非金属材料を含む基板を不飽和炭化水素のみに曝して、前記第2の表面よりも前記第1の表面上にブロッキング層を選択的に形成するステップを含む、方法。
  2. 前記不飽和炭化水素が、一般式R’≡R”を有する少なくとも1つの化合物を含む、請求項1に記載の方法。
  3. R’およびR”が同一である、請求項2に記載の方法。
  4. R’およびR”が独立したC2-C6基である、請求項2に記載の方法。
  5. 前記少なくとも1つの化合物が、4~12個の炭素原子を含む、請求項2に記載の方法。
  6. 前記少なくとも1つの化合物が、1つの不飽和結合のみを含む、請求項2に記載の方法。
  7. 前記不飽和炭化水素が3-ヘキシンを含む、請求項2に記載の方法。
  8. 前記金属材料が、銅、コバルト、タングステン、モリブデンまたはルテニウムのうちの1つもしくは複数を含む、請求項1に記載の方法。
  9. 前記非金属材料が酸化ケイ素を含む、請求項1に記載の方法。
  10. ロックされた前記第1の表面よりも前記第2の表面上に膜を選択的に堆積させるステップをさらに含む、請求項1に記載の方法。
  11. 前記基板を金属前駆体および反応物に順次曝すことによって、前記膜を堆積させる、請求項10に記載の方法。
  12. 前記金属前駆体がペンタキス(ジメチルアミノ)タンタルを含み、前記反応物がアンモニアを含み、前記膜が窒化タンタルを含む、請求項11に記載の方法。
  13. 前記基板をプラズマに曝して、前記ブロッキング層を除去するステップをさらに含む、請求項1に記載の方法。
  14. 前記プラズマがアルゴンで本質的に構成されている、請求項13に記載の方法。
  15. 選択的な堆積の方法であって、
    第1の表面を有する金属材料および第2の表面を有する非金属材料を含む基板を不飽和炭化水素のみに曝して、前記第2の表面よりも前記第1の表面上にブロッキング層を選択的に形成するステップと、
    前記基板を金属前駆体および反応物に順次曝して、前記第1の表面上の前記ブロッキング層よりも前記第2の表面上に膜を形成するステップと、
    第一の表面からブロッキング層を除去するステップと
    を含む、方法。
  16. 前記不飽和炭化水素が3-ヘキシンを含む、請求項15に記載の方法。
  17. 低抵抗金属ビアを形成する方法であって、
    少なくとも1つの特徴が形成された基板表面を有する基板を用意するステップであり、前記少なくとも1つの特徴が側壁および底部を有し、前記側壁が非金属材料表面を含み、前記底部が金属材料表面を含む、ステップと、
    前記基板を不飽和炭化水素のみに曝して、前記非金属材料表面よりも前記金属材料表面上にブロッキング層を選択的に形成するステップと、
    前記基板を金属前駆体および反応物に順次曝して、前記金属材料表面上の前記ブロッキング層よりも前記非金属材料表面上に膜を形成するステップと、
    任意で、前記金属材料表面から前記ブロッキング層を除去するステップと、
    前記少なくとも1つの特徴内に導電性充填材料を堆積させて低抵抗金属ビアを形成するステップと、
    を含む、方法。
  18. 前記不飽和炭化水素が3-ヘキシンを含む、請求項14に記載の方法。
  19. 前記膜が窒化タンタルを含む、請求項17に記載の方法。
  20. 前記低抵抗金属ビアが、ブロッキング層なしで形成された金属ビアの約80%以下の抵抗を有する、請求項17に記載の方法。
JP2021565884A 2019-05-05 2020-05-05 非金属表面への選択的堆積 Active JP7326475B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962843557P 2019-05-05 2019-05-05
US62/843,557 2019-05-05
US201962865665P 2019-06-24 2019-06-24
US62/865,665 2019-06-24
PCT/US2020/031443 WO2020227274A1 (en) 2019-05-05 2020-05-05 Selective deposition on non-metallic surfaces

Publications (2)

Publication Number Publication Date
JP2022531455A JP2022531455A (ja) 2022-07-06
JP7326475B2 true JP7326475B2 (ja) 2023-08-15

Family

ID=73016335

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021565884A Active JP7326475B2 (ja) 2019-05-05 2020-05-05 非金属表面への選択的堆積

Country Status (5)

Country Link
US (2) US20200347493A1 (ja)
JP (1) JP7326475B2 (ja)
CN (1) CN113795609B (ja)
TW (1) TWI791985B (ja)
WO (1) WO2020227274A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP7109397B2 (ja) * 2019-03-13 2022-07-29 東京エレクトロン株式会社 成膜方法
US11380536B2 (en) * 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
US11955382B2 (en) 2020-12-03 2024-04-09 Applied Materials, Inc. Reverse selective etch stop layer
TW202248443A (zh) * 2021-02-28 2022-12-16 美商應用材料股份有限公司 選擇性沉積的表面處理
US20230072614A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Method Of Forming A Metal Liner For Interconnect Structures
US11967523B2 (en) 2021-10-11 2024-04-23 Applied Materials, Inc. Self-assembled monolayer for selective deposition
US20230132200A1 (en) 2021-10-27 2023-04-27 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
TW202342800A (zh) 2022-02-25 2023-11-01 德商馬克專利公司 用於選擇性沉積之高純度炔類
US20230274932A1 (en) * 2022-02-28 2023-08-31 Tokyo Electron Limited Selective inhibition for selective metal deposition
TW202341352A (zh) * 2022-03-31 2023-10-16 美商應用材料股份有限公司 用於選擇性沉積之金屬表面阻隔分子
US20240035151A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Methods of selective deposition of molybdenum
WO2024097547A1 (en) 2022-10-31 2024-05-10 Versum Materials Us, Llc High purity alkynyl amines for selective deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016086145A (ja) 2014-10-29 2016-05-19 東京エレクトロン株式会社 選択成長方法および基板処理装置
US20180082942A1 (en) 2015-04-29 2018-03-22 Intel Corporation Microelectronic conductive routes and methods of making the same
WO2019018379A1 (en) 2017-07-18 2019-01-24 Applied Materials, Inc. METHODS OF DEPOSITING BLOCKING LAYERS ON METAL SURFACES

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6071810A (en) 1996-12-24 2000-06-06 Kabushiki Kaisha Toshiba Method of filling contact holes and wiring grooves of a semiconductor device
US6350687B1 (en) * 1999-03-18 2002-02-26 Advanced Micro Devices, Inc. Method of fabricating improved copper metallization including forming and removing passivation layer before forming capping film
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20090215269A1 (en) 2005-06-06 2009-08-27 Advanced Technology Materials Inc. Integrated chemical mechanical polishing composition and process for single platen processing
EP1898456A4 (en) 2005-06-08 2009-11-18 Univ Tohoku PLASMA NITRURATION METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND PLASMA PROCESSING APPARATUS
JP2009512195A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ゲートスペーサ酸化物材料を選択的にエッチするための組成物および方法
US8013445B2 (en) 2008-02-29 2011-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance high reliability contact via and metal line structure for semiconductor device
US8674127B2 (en) 2008-05-02 2014-03-18 Advanced Technology Materials, Inc. Antimony compounds useful for deposition of antimony-containing materials
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US8945305B2 (en) * 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US8778797B2 (en) * 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
KR20120037653A (ko) * 2010-10-12 2012-04-20 한국표준과학연구원 코발트 박막의 선택적 증착방법
KR102138719B1 (ko) * 2013-09-27 2020-07-28 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US9117914B1 (en) 2014-03-06 2015-08-25 Eastman Kodak Company VTFT with polymer core
TWI725182B (zh) * 2016-05-06 2021-04-21 美商應用材料股份有限公司 透過自組裝單層形成而成的選擇性沉積
US10037884B2 (en) * 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP6955090B2 (ja) 2017-09-19 2021-10-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化ケイ素上における誘電体の選択的堆積のための方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016086145A (ja) 2014-10-29 2016-05-19 東京エレクトロン株式会社 選択成長方法および基板処理装置
US20180082942A1 (en) 2015-04-29 2018-03-22 Intel Corporation Microelectronic conductive routes and methods of making the same
WO2019018379A1 (en) 2017-07-18 2019-01-24 Applied Materials, Inc. METHODS OF DEPOSITING BLOCKING LAYERS ON METAL SURFACES

Also Published As

Publication number Publication date
TWI791985B (zh) 2023-02-11
CN113795609A (zh) 2021-12-14
KR20210148444A (ko) 2021-12-07
TW202107623A (zh) 2021-02-16
US20200347493A1 (en) 2020-11-05
US20200350204A1 (en) 2020-11-05
CN113795609B (zh) 2023-11-07
WO2020227274A1 (en) 2020-11-12
US11680313B2 (en) 2023-06-20
JP2022531455A (ja) 2022-07-06

Similar Documents

Publication Publication Date Title
JP7326475B2 (ja) 非金属表面への選択的堆積
TWI786217B (zh) 增強選擇性沉積製程
WO2018231337A2 (en) Process integration approach of selective tungsten via fill
KR20190041024A (ko) 선택적인 증착을 위한 선택비 개선을 위한 인-시튜 사전-세정
US11621226B2 (en) Graphene diffusion barrier
US20230132200A1 (en) Selective blocking of metal surfaces using bifunctional self-assembled monolayers
US11955382B2 (en) Reverse selective etch stop layer
JP7465287B2 (ja) 自己形成バリア層を備えた低誘電率誘電体
KR102677926B1 (ko) 비-금속성 표면들 상의 선택적 증착
US20220275501A1 (en) Surface treatment for selective deposition
TW201825699A (zh) 金屬膜之沉積
US11967523B2 (en) Self-assembled monolayer for selective deposition
JP2023545013A (ja) 低抵抗及び高信頼性メタライゼーションモジュール
TW202326860A (zh) 用於選擇性沉積的自組裝單層
WO2022006225A1 (en) Selective tungsten deposition at low temperatures
TW202312300A (zh) 形成用於互連結構的金屬襯墊之方法
WO2023191837A1 (en) Metal surface blocking molecules for selective deposition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230303

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230703

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230802

R150 Certificate of patent or registration of utility model

Ref document number: 7326475

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150