CN107533962A - 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法 - Google Patents

经由原子层沉积(ald)循环选择性沉积金属硅化物的方法 Download PDF

Info

Publication number
CN107533962A
CN107533962A CN201680025185.9A CN201680025185A CN107533962A CN 107533962 A CN107533962 A CN 107533962A CN 201680025185 A CN201680025185 A CN 201680025185A CN 107533962 A CN107533962 A CN 107533962A
Authority
CN
China
Prior art keywords
substrate
silicon
gas
exposed
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680025185.9A
Other languages
English (en)
Other versions
CN107533962B (zh
Inventor
赛沙德利·甘古利
杨义雄
布尚·N·左普
付新宇
阿夫耶里诺斯·V·杰拉托斯
简国强
博·郑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107533962A publication Critical patent/CN107533962A/zh
Application granted granted Critical
Publication of CN107533962B publication Critical patent/CN107533962B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文中提供选择性沉积金属硅化物层的方法。在一些实施方式中,一种方法包括:(a)使基板暴露于前驱物气体,该前驱物气体包含金属卤化物,该基板具有第一层,该第一层包含第一表面和形成在该第一表面中的特征,该特征包含开口,该开口由一个或更多个侧壁和底表面界定,其中该侧壁包含氧化硅或氮化硅,并且其中该底表面包含硅或锗中的至少之一;(b)使用惰性气体净化该处理腔室中的该前驱物气体;(c)使该基板暴露于含硅气体,该含硅气体包含硅烷或硅烷的衍生物;(d)使用惰性气体净化该处理腔室中的该含硅气体;(e)重复(a)‑(d)以沿着该底表面选择性沉积金属硅化物到预定厚度;以及(f)在沉积该金属硅化物层之后对该基板进行退火。

Description

经由原子层沉积(ALD)循环选择性沉积金属硅化物的方法
技术领域
本公开内容的实施方式大体关于经由原子层沉积循环选择性沉积金属硅化物层的方法。
背景技术
逻辑接触结构的形成通常涉及在特征的底部形成金属硅化物。本发明人已观察到,当在狭窄的特征(例如10nm或更小)内形成触点时,金属可能会沿着特征的侧壁以及在特征的底部沉积。沿着侧壁形成金属减少了能被沉积在特征内的导电填充材料的量,导致不期望的接触电阻增加。
因此,本发明人开发了改良的技术来经由原子层沉积循环选择性地沉积金属硅化物层。
发明内容
本文中提供选择性沉积金属硅化物层的方法。在一些实施方式中,一种选择性沉积金属硅化物层的方法包括以下步骤:(a)使基板暴露于前驱物气体,所述前驱物气体包含金属卤化物,所述基板具有第一层,所述第一层包含第一表面和形成在所述第一表面中的特征,所述特征包括开口,所述开口由一个或更多个侧壁和底表面界定,其中所述侧壁包含氧化硅或氮化硅,并且其中所述底表面包含硅或锗中的至少之一;(b)使用惰性气体净化所述处理腔室中的所述前驱物气体;(c)使所述基板暴露于含硅气体,所述含硅气体包含硅烷或硅烷的衍生物;(d)使用惰性气体净化所述处理腔室中的所述含硅气体;(e)重复(a)-(d)以沿着所述底表面选择性沉积金属硅化物到预定厚度;以及(f)在沉积所述金属硅化物层之后对所述基板进行退火。
在一些实施方式中,一种选择性沉积金属硅化物层的方法包括以下步骤:(a)提供具有第一层的基板到处理腔室,其中所述第一层包含第一表面和形成在所述第一表面中的特征,所述特征包含开口,所述开口具有小于约10nm的宽度并由一个或更多个侧壁和底表面界定,其中所述侧壁包含氧化硅或氮化硅中之一,并且其中所述底表面包含硅或锗中的至少之一;(b)使所述基板暴露于前驱物气体,所述前驱物气体包含金属卤化物;(c)使用惰性气体净化所述处理腔室中的所述前驱物气体;(d)使所述基板暴露于含硅气体;(e)使用惰性气体净化所述处理腔室中的所述含硅气体;f)重复(b)-(e)以沿着所述底表面选择性沉积金属硅化物到预定厚度;(g)在沉积所述金属硅化物层之后对所述基板进行退火持续约1毫秒至约1分钟;(h)在沉积所述金属硅化物层及使所述金属硅化物层退火之后在所述特征内沉积覆盖层;(i)在所述覆盖层的顶上沉积衬垫层;以及(j)在所述衬垫层的顶上沉积导电填充材料以大体上填充所述特征。
在一些实施方式中,本文中提供一种计算机可读媒介,所述计算机可读媒介具有储存在其上的指令,当所述指令被执行时,所述指令使处理腔室进行选择性沉积金属硅化物层的方法。所述方法可以包括本文中揭示的任一实施方式。
以下描述本公开内容的其他和进一步的实施方式。
附图说明
可参照附图中描绘的本公开内容的说明性实施方式来理解以上简要概述的且以下更详细论述的本公开内容的实施方式。然而,附图仅图示本公开内容的典型实施方式,因此不应将这些附图视为范围的限制,因为本公开内容可允许其他同等有效的实施方式。
图1绘示依据本公开内容的一些实施方式的用于选择性沉积金属硅化物层的方法的流程图。
图2A-2C绘示依据本公开内容的一些实施方式选择性沉积金属硅化物层的各个阶段。
图3绘示适用于进行依据本公开内容的一些实施方式的选择性沉积金属硅化物层的方法的处理腔室。
为了便于理解,已尽可能使用相同的标号来表示各图共有的相同元件。附图未依比例绘制,并且为了清晰起见可被简化。一个实施方式中的元件和特征可被有利地并入其他实施方式中而无需进一步详述。
具体实施方式
本文中提供用于选择性沉积金属硅化物层的方法。本发明的方法有利地促进在特征(例如触点)的底部选择性沉积金属硅化物层,而不沿着侧壁沉积(或沿侧壁的沉积达到小得多的程度)。通过沿着特征的底部选择性沉积金属硅化物层,所述金属硅化物层占用特征中较小的空间。特征中较少的金属硅化物量有利地导致改善的电阻,因为特征中有较大量的导电填充材料。本发明的方法可被用于在集成电路中形成金属互连件、或用于形成金属栅极或金属触点间隙填充工艺、以及其他利用导电材料填充特征的适当应用。
图1绘示依据本公开内容的一些实施方式选择性沉积金属硅化物层的方法100的流程图。以下就图2A-2C所描绘的选择性沉积金属硅化物层的各个阶段来描述方法100,而且方法100可以例如在适当的反应器中进行,比如以下就图3所述。
方法100通过提供基板到基板处理腔室的处理容积而开始于102,所述基板比如基板200,所述基板处理腔室例如以下就图3描述的处理腔室302。在一些实施方式中,如图2A所图示,基板200包括第一层212,第一层212具有第一表面213,第一表面213具有一个或更多个特征202,一个或更多个特征202被形成在基板200的第一表面213中并朝向基板200的基部204延伸。在一些实施方式中,特征202可以被部分蚀刻到基板200的基部204中。基板200可以是具有一个或更多个形成在基板200中的特征202的任何适当基板。例如,基板200可以包含硅(Si)、锗、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片及图案化或未图案化的晶片绝缘体上硅(SOI)、掺杂的硅、或类似物中之一或更多个。基板200可以具有各种尺寸,比如200mm、300mm或450mm直径的晶片或其他的尺寸。在一些实施方式中,基板200包含硅或锗中的至少之一。在一些实施方式中,第一层212包含氧化硅(SiOx)或氮化硅(SiN)。此外,基板200可以包括附加的材料层,或者可以具有一个或更多个形成在基板200中或上的完成的或部分完成的结构或器件。
特征202可以通过使用任何适当的蚀刻工艺蚀刻基板200来形成。在一些实施方式中,特征202包含由一个或更多个侧壁214和底表面206界定的开口218。在一些实施方式中,特征202可以是过孔、触点、沟槽、双镶嵌、或类似物。在一些实施方式中,开口218可以具有小于约10nm的宽度。特征202被形成在基板200中或在位于基板顶上的层中,使得底表面206的材料不同于一个或更多个侧壁214的主要表面。例如,特征202可以是被形成在第一层212中的开口218,开口218延伸地足够深,使得底表面206是由基板200所形成。在一些实施方式中,基板200(及因此底表面206)包含硅、锗、或硅锗,并且第一层212(及因此一个或更多个侧壁214)包含氧化硅或氮化硅。
接着在104处,如图2B所描绘,作为工艺的一部分,使基板200暴露于包含金属卤化物(例如金属氯化物)的前驱物气体,以在特征202的底表面206、但不在特征202的侧壁214上选择性沉积金属硅化物层220,或在侧壁214上只有极少沉积。在底表面206上选择性沉积意指材料被以比在一个或更多个侧壁214上更大的速率沉积在底表面206上,或是在一些实施方式中,被以比在一个或更多个侧壁214上大得多的速率(例如至少大一个数量级)沉积在底表面206上。在一些实施方式中,没有材料被沉积在侧壁214上。然而,虽然主要的材料量被沉积在底表面206上,但一些沉积仍可以出现在一个或更多个侧壁214上。通过主要或单独地沿着特征的底部选择性沉积金属硅化物层,金属硅化物层在特征中占用的空间比假使有类似量的金属硅化物沿着所述一个或更多个侧壁沉积时更小。特征中的金属硅化物量较少有助于在特征中沉积较大量的导电填充材料,从而有利于提供改良的电阻。
金属硅化物层包含钽、铪、锆、或钨。在一些实施方式中,金属卤化物是任何用以沉积包含钽、铪、锆、或钨中之一的金属硅化物层的适当金属卤化物。在一些实施方式中,金属卤化物是金属氯化物,比如五氯化钽(TaCl5)、四氯化铪(HfCl4)、四氯化锆(ZrCl4)、六氯化钨(WCl6)、或五氯化钨(WCl5)。在一些实施方式中,前驱物气体进一步包含惰性气体,比如氩、氦、或类似物。在一些实施方式中,前驱物气体可被以高达约500sccm的流率提供。在一些实施方式中,处理腔室的温度为约摄氏350度至约摄氏550度。在一些实施方式中,在暴露于前驱物气体期间可将处理腔室的压力保持在约2托至约15托。在一些实施方式中,可使基板暴露于前驱物气体持续约1至约10秒。
在一些实施方式中,在使基板200暴露于前驱物气体之前,使基板暴露于清洁工艺以移除例如特征202的底表面206上的原生氧化物层。在一些实施方式中,使基板暴露于远程NH3/NF3等离子体,以通过形成在室温下容易与Si-O或Si-N键反应、但不与Si-Si键反应的NH4F来提供高选择性的SiO2/Si移除。当被充分加热时,能使聚合物NH4F-SiO2升华并被蚀刻掉。
接着,在106处,净化处理腔室的处理容积中的前驱物气体。在一些实施方式中,使用任何适当的惰性气体净化前驱物气体,所述惰性气体例如氩、氙、氦、或类似的气体。
接着,在108处,使基板200暴露于含硅气体,所述含硅气体包含硅烷(SiH4)或硅烷的衍生物(例如乙硅烷、丙硅烷、丁硅烷、氯硅烷、二氯硅烷、四氯硅烷、六氯乙硅烷、甲基硅烷、或类似物)。在一些实施方式中,含硅气体可被以高达约500sccm的流率提供。在一些实施方式中,可以将处理腔室的温度保持在约摄氏350度至约摄氏550度。在一些实施方式中,在暴露于含硅气体期间处理腔室的压力可为约2托至约15托。在一些实施方式中,可以使基板暴露于含硅气体持续约0.5秒至约5秒。本发明人已经观察到,在所述前驱物气体是金属氯化物时,上述的硅烷或硅烷衍生物与氯反应。
接着,在110处,净化处理腔室的处理容积中的含硅气体。在一些实施方式中,使用任何适当的惰性气体净化含硅气体,所述惰性气体例如氩、氙、氦、或类似的气体。使基板交替地暴露于金属卤化物和含硅气体,以沿着基板的底表面选择性地沉积金属硅化物的薄层。本发明人已观察到,金属卤化物前驱物和硅烷与在特征的底表面处的化学键(例如硅键)的终端反应,以主要沿着底表面、但不沿着特征的氧化或氮化的侧壁形成金属硅化物。如在112处指出的,可以重复104-110,以沿着基板的底表面选择性地沉积金属硅化物到预定的厚度,同时有利的是沿着特征的一个或更多个侧壁不沉积、或沉积非常少的金属硅化物。
接着,在114处,可以在沉积金属硅化物层220之后使基板200进行退火。在一些实施方式中,退火温度为约摄氏500度至约摄氏1100度。在一些实施方式中,基板200进行退火持续约1毫秒至约1分钟。在一些实施方式中,退火有助于形成稳定的金属硅化物结构,例如稳定的硅化钽(TaSi2)结构。
在一些实施方式中,如图2C描绘的,在形成金属硅化物层之后,可以在特征202内沉积覆盖层222。覆盖层可以有利地限制或防止金属硅化物层氧化。本文中使用的“覆盖层”可以指沿着特征的至少一部分侧壁和/或下表面保形地形成的层,使得在沉积所述层之前大部分的特征在沉积所述层之后保持未被填充。在一些实施方式中,覆盖层222可以沿着特征的整个侧壁和下表面形成。在一些实施方式中,覆盖层222是含金属层。例如,在一些实施方式中,覆盖层222可含有钨(W)、铝(Al)、钛(Ti)、钽(Ta)、上述物质的氧化物或氮化物、上述物质的硅化物、上述物质的衍生物、或上述物质的组合。在一些实施方式中,覆盖层222是氮化钛。覆盖层222可以通过任何适用于提供具有适当厚度的覆盖层222的工艺来形成。例如,在一些实施方式中,覆盖层222可以经由沉积工艺形成,所述沉积工艺比如化学气相沉积(CVD)、物理气相沉积(PVD)、或循环沉积工艺,例如原子层沉积(ALD)或类似工艺。
在一些实施方式中,可在特征202内沉积衬垫层224。本文中使用的“衬垫层”是指沿着开口的至少一部分侧壁和/或下表面保形地形成的层,使得在沉积所述层之前大部分的开口在沉积所述层之后保持未被填充。在一些实施方式中,衬垫层224可以沿着开口的整个侧壁和底表面形成。在一些实施方式中,衬垫层224可以包含任何适用于充当衬垫的材料。例如,在一些实施方式中,衬垫层224可以包含金属,例如钛(Ti)、钽(Ta)、钴(Co)、锰(Mn)、钨(W)、铪(Hf)、上述金属的合金、或类似物中之一或更多个。衬垫层224可以通过任何适用于提供具有适当厚度的衬垫层224的工艺来形成。例如,在一些实施方式中,衬垫层224可以经由沉积工艺形成,所述沉积工艺比如化学气相沉积(CVD)、物理气相沉积(PVD)、或循环沉积工艺,比如原子层沉积(ALD)或类似工艺。
在形成覆盖层222和衬垫层224之后,特征202可以被填充导电填充材料226。例如,在一些实施方式中,导电填充材料226可以是铜、钴、钨、或类似物中之一或更多个。可以使用任何适当的沉积工艺(比如CVD或PVD)将导电填充材料226沉积在特征202中。
图3绘示可被用于实施本文论述的公开内容的实施方式的类型的说明性设备300的示意图。设备300可以是任何适用于进行一种或更多种基板工艺的设备,所述基板工艺例如但不限于沉积工艺,所述沉积工艺比如化学气相沉积(CVD)、原子层沉积(ALD)、或类似工艺。在一些实施方式中,处理腔室302可以是如以下描述的独立设备300,或者处理腔室302可以是群集工具的一部分,所述群集工具比如可向美国加州圣克拉拉市的应用材料公司(Applied Materials,Inc.of Santa Clara,California)购得的 群集工具中的任一群集工具。
设备300可以包含控制器350和处理腔室302,处理腔室302具有用于从处理腔室302的内部容积305移除过量处理气体、处理副产物、或类似物的排气系统320。例示性的处理腔室可以包括被配置为用于化学汽相沉积(CVD)或原子层沉积(ALD)、可购自美国加州圣克拉拉市的应用材料公司的数种处理腔室的任何处理腔室。可以类似地使用来自其他制造商的其他适当处理腔室。
处理腔室302具有内部容积305,内部容积305可以包括处理容积304。处理容积304可被界定在例如基板支撑件308与一个或更多个气体入口之间,基板支撑件308被配置在处理腔室302内用于在处理过程中支撑基板310,所述一个或更多个气体入口例如被设置在适当位置的喷头314和/或喷嘴。在一些实施方式中,基板支撑件308可以包括将基板310保持或支撑在基板支撑件308的表面上的机构,比如静电夹盘、真空夹盘、基板固定夹、或类似物(未图示)。在一些实施方式中,基板支撑件308可以包括用于控制基板温度的机构(比如加热和/或冷却装置,未图示)和/或用于控制接近基板表面的物种通量和/或离子能量的机构。
例如,在一些实施方式中,基板支撑件308可以包括RF偏压电极340。RF偏压电极340可以通过一个或更多个个别的匹配网络(图示匹配网络336)耦接到一个或更多个偏压电源(图示一个偏压电源338)。所述一个或更多个偏压电源可有能力产生约2MHz至约60MHz(比如约2MHz、或约13.56MHz、或约60Mhz)的频率的高达1200W或RF能量。在一些实施方式中,可以设置两个偏压电源以用于分别以约2MHz和约13.56MHz的频率通过个别的匹配网络将RF功率耦接到RF偏压电极340。所述至少一个偏压电源可以提供连续或脉冲功率。在一些实施方式中,偏压电源可以替代地为DC或脉冲DC源。
基板310可以经由处理腔室302的壁中的开口312进入处理腔室302。开口312可以经由狭缝阀318或其他机构被选择性封闭,以用于选择性地通过开口312进出腔室的内部。基板支撑件308可以被耦接到升降机构334,升降机构334可以将基板支撑件308的位置控制在适用于经由开口312传送基板进出腔室的下方位置(如图所示)与适用于处理的可选择的上方位置之间。可以选择处理位置以最大化特定工艺的处理均匀度。当在至少一个升高的处理位置时,基板支撑件308可以位于开口312之上,以提供对称的处理区域。
可以将一个或更多个气体入口(例如喷头314)耦接到气体供应器316,用于提供一种或更多种处理气体通过质量流量控制器317进入处理腔室302的处理容积304。另外,可以设置一个或更多个阀319来控制所述一种或更多种处理气体的流量。质量流量控制器317和一个或更多个阀319可以被单独或结合使用,以以预定的流率(比如以恒定的流率)或脉冲化地提供处理气体。
虽然图3中图示出喷头314,但可以设置另外的或替代的气体入口,比如被配置在顶板中或在处理腔室302的侧壁上或在适用于提供气体到处理腔室302的其他位置(比如处理腔室的基部、所述基板支撑件的周围、或类似位置)的喷嘴或入口。
设备300具有利用电容耦合的RF能量进行等离子体处理的能力。例如,处理腔室302可以具有由介电材料制成的顶板342,及至少部分导电以提供RF电极的喷头314(或者可以设置单独的RF电极)。喷头314(或其他RF电极)可以通过一个或更多个个别的匹配网络(图示出匹配网络346)耦接到一个或更多个RF电源(图示出一个RF电源348)。所述一个或更多个等离子体源可有能力产生约2MHz和/或约13.56MHz或更高的频率(比如27MHz和/或60MHz)的高达约3,000W、或在一些实施方式中高达约5000W的RF能量。排气系统320通常包括泵送气室324及将泵送气室324耦接到处理腔室302的内部容积305(通常是处理容积304)的一个或更多个管道。
真空泵328可以经由泵送口326耦接到泵送气室324,以经由一个或更多个排气口(图示出两个排气口322)从处理腔室泵送出排放气体。真空泵328可以流体耦接到排气出口332,用于将排气引导到适当的排气处理设备。阀330(比如闸阀或类似物)可以被配置在泵送气室324中,以便于结合真空泵328的操作控制排放气体的流率。尽管图示的是z轴移动闸阀,但仍可以使用任何适当的、用于控制排气流量的工艺相容阀。
为了便于控制如上所述的处理腔室302,控制器350可以是可在工业环境中使用以用于控制各种腔室和子处理器的任何形式的通用计算机处理器。CPU352的存储器(或计算机可读媒介)356可以是一种或更多种容易获得的存储器,比如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或本地或远程的任何其他形式的数字储存器。支持电路354被耦接到CPU 352,用于以传统方式支持处理器。这些电路包括缓存、电源、时钟电路、输入/输出电路和子系统、以及类似物。
本文揭示的发明方法通常可以作为软件程序358被储存在存储器356中,当软件程序358被CPU 352执行时,软件程序358使处理腔室302进行本公开内容的工艺。软件程序358也可以被第二CPU(未图示)储存和/或执行,所述第二CPU位于被CPU 352控制的硬件的远端。也可以以硬件执行本公开内容的一些或全部方法。因此,本公开内容可以软件实施并使用计算机系统以硬件执行为例如专用集成电路或其他类型的硬件实施方式、或作为软件与硬件的组合。将基板310定位在基板支撑件308上之后可以执行软件程序358。当软件程序358被CPU 352执行时,软件程序358将通用计算机转变成控制腔室操作的专用计算机(控制器)350,使得本文揭示的方法被执行。
可以使用其他的半导体基板处理系统来实施本公开内容,其中本领域技术人员可以在不偏离本公开内容的精神下利用本文揭示的教示来调整处理参数以实现可接受的特性。
虽然前述内容是针对本公开内容的实施方式,但在不偏离本公开内容的基本范围的情况下可以设计出本公开内容的其他和进一步的实施方式。

Claims (15)

1.一种在处理腔室中的基板上选择性沉积金属硅化物层的方法,包含以下步骤:
(a)使基板暴露于前驱物气体,所述前驱物气体包含金属卤化物,所述基板具有第一层,所述第一层包含第一表面和形成在所述第一表面中的特征,所述特征包含开口,所述开口由一个或更多个侧壁和底表面界定,其中所述侧壁包含氧化硅或氮化硅,并且其中所述底表面包含硅或锗中的至少之一;
(b)使用惰性气体净化所述处理腔室中的所述前驱物气体;
(c)使所述基板暴露于含硅气体,所述含硅气体包含硅烷或硅烷的衍生物;
(d)使用惰性气体净化所述处理腔室中的所述含硅气体;
(e)重复(a)-(d)以沿着所述底表面选择性沉积金属硅化物到预定厚度;以及
(f)在沉积所述金属硅化物层之后对所述基板进行退火。
2.如权利要求1所述的方法,其中所述开口具有小于约10nm的宽度。
3.如权利要求1所述的方法,其中所述前驱物气体进一步包含惰性气体。
4.如权利要求1所述的方法,其中所述前驱物气体和所述含硅气体的流率分别高达约500sccm。
5.如权利要求1所述的方法,其中在暴露于所述前驱物气体和暴露于所述含硅气体期间,所述处理腔室的温度为约摄氏350度至约摄氏550度。
6.如权利要求1所述的方法,其中在暴露于所述前驱物气体和暴露于所述含硅气体期间,所述处理腔室的压力为约2托至约15托。
7.如权利要求1所述的方法,其特征在于以下至少之一:
使所述基板暴露于所述前驱物气体持续约1秒至约10秒;或
使所述基板暴露于所述含硅气体持续约0.5秒至约5秒。
8.如权利要求1所述的方法,其中在退火期间,所述基板的温度为约摄氏500度至约摄氏1100度。
9.如权利要求1所述的方法,其中所述基板进行退火持续约1毫秒至约1分钟。
10.如权利要求1至9中任一项所述的方法,其中所述前驱物气体为五氯化钽(TaCl5)、四氯化铪(HfCl4)、四氯化锆(ZrCl4)、六氯化钨(WCl6)、或五氯化钨(WCl5)。
11.如权利要求1至9中任一项所述的方法,进一步包含以下步骤:在沉积所述金属硅化物层之后,在所述特征内沉积覆盖层。
12.如权利要求11所述的方法,其中所述覆盖层包含氮化钛(TiN)。
13.如权利要求11所述的方法,进一步包含以下步骤:在所述覆盖层的顶上沉积衬垫层。
14.如权利要求13所述的方法,其中所述衬垫层包含钛(Ti)、钽(Ta)、钴(Co)、锰(Mn)、钨(W)、或铪(Hf)中之一或更多个。
15.如权利要求14所述的方法,进一步包含以下步骤:沉积导电填充材料以大体上填充所述特征。
CN201680025185.9A 2015-05-01 2016-04-25 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法 Active CN107533962B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562155963P 2015-05-01 2015-05-01
US62/155,963 2015-05-01
US14/790,862 US10199230B2 (en) 2015-05-01 2015-07-02 Methods for selective deposition of metal silicides via atomic layer deposition cycles
US14/790,862 2015-07-02
PCT/US2016/029172 WO2016178845A1 (en) 2015-05-01 2016-04-25 Methods for selective deposition of metal silicides via atomic layer deposition (ald) cycles

Publications (2)

Publication Number Publication Date
CN107533962A true CN107533962A (zh) 2018-01-02
CN107533962B CN107533962B (zh) 2022-04-15

Family

ID=57205190

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680025185.9A Active CN107533962B (zh) 2015-05-01 2016-04-25 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法

Country Status (5)

Country Link
US (1) US10199230B2 (zh)
KR (1) KR102449040B1 (zh)
CN (1) CN107533962B (zh)
TW (1) TWI695903B (zh)
WO (1) WO2016178845A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109148461A (zh) * 2018-08-17 2019-01-04 长江存储科技有限责任公司 3d存储器件及其制造方法
CN113348265A (zh) * 2018-12-28 2021-09-03 应用材料公司 于化学气相沉积期间增强钛及硅化钛选择性的方法与设备

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730990B (zh) 2015-10-04 2021-06-21 美商應用材料股份有限公司 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法
KR102441431B1 (ko) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
WO2018187546A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
WO2019033003A1 (en) * 2017-08-11 2019-02-14 Tokyo Electron Limited SELECTIVE FILM DEPOSITION USING HALOGEN DEACTIVATION
US11643721B2 (en) 2017-09-12 2023-05-09 Applied Materials, Inc. Low temperature deposition of iridium containing films
JP7340538B2 (ja) * 2018-04-06 2023-09-07 アプライド マテリアルズ インコーポレイテッド 3次元構造の共形ドーピングのための方法
JP7362258B2 (ja) * 2019-02-08 2023-10-17 東京エレクトロン株式会社 基板処理方法及び成膜システム
KR20210130237A (ko) * 2019-03-20 2021-10-29 도쿄엘렉트론가부시키가이샤 반도체 소자를 위한 금속 규화물을 선택적으로 형성하는 방법
TW202104628A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 用於控制pvd沉積均勻性的系統及方法
US11557473B2 (en) 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
US11664229B2 (en) 2020-09-24 2023-05-30 Applied Materials, Inc. Nitride capping of titanium material to improve barrier properties
US20220359532A1 (en) * 2021-05-05 2022-11-10 Applied Materials, Inc. Enhancing gapfill performance of dram word line

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020000653A1 (en) * 1993-11-30 2002-01-03 Yih-Shung Lin Method for forming an aluminum contact
US20040127027A1 (en) * 2002-12-30 2004-07-01 Yoon-Jik Lee Method for forming titanium silicide contact of semiconductor device
CN1649170A (zh) * 2004-01-09 2005-08-03 台湾积体电路制造股份有限公司 金属接触结构与其制造方法
CN101903990A (zh) * 2007-12-18 2010-12-01 杨秉春 用于制造集成电路设备以增加完善性、性能和可靠性的高产出和高效能方法
CN102918636A (zh) * 2010-04-26 2013-02-06 应用材料公司 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备
FR2979749A1 (fr) * 2011-09-07 2013-03-08 St Microelectronics Crolles 2 Procede de realisation d'une couche de siliciure dans le fond d'une tranchee, et dispositif pour la mise en oeuvre dudit procede
US20130196505A1 (en) * 2012-01-27 2013-08-01 Tokyo Electron Limited Method of forming conformal metal silicide films
US20130252417A1 (en) * 2010-03-17 2013-09-26 Tokyo Electron Limited Thin film forming method
US20140151817A1 (en) * 2009-12-30 2014-06-05 Mark T. Bohr Self-aligned contacts

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084417A (en) 1989-01-06 1992-01-28 International Business Machines Corporation Method for selective deposition of refractory metals on silicon substrates and device formed thereby
US6455394B1 (en) 1998-03-13 2002-09-24 Micron Technology, Inc. Method for trench isolation by selective deposition of low temperature oxide films
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US7858518B2 (en) 1998-04-07 2010-12-28 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
JP2001203171A (ja) * 1999-06-25 2001-07-27 Applied Materials Inc 半導体デバイスのシリサイド形成方法
US6943097B2 (en) * 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100604089B1 (ko) * 2004-12-31 2006-07-24 주식회사 아이피에스 In-situ 박막증착방법
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
KR101355858B1 (ko) 2010-07-16 2014-01-27 에스케이하이닉스 주식회사 수직형 트랜지스터의 매몰 비트라인 형성방법

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020000653A1 (en) * 1993-11-30 2002-01-03 Yih-Shung Lin Method for forming an aluminum contact
US20040127027A1 (en) * 2002-12-30 2004-07-01 Yoon-Jik Lee Method for forming titanium silicide contact of semiconductor device
CN1649170A (zh) * 2004-01-09 2005-08-03 台湾积体电路制造股份有限公司 金属接触结构与其制造方法
CN101903990A (zh) * 2007-12-18 2010-12-01 杨秉春 用于制造集成电路设备以增加完善性、性能和可靠性的高产出和高效能方法
US20140151817A1 (en) * 2009-12-30 2014-06-05 Mark T. Bohr Self-aligned contacts
US20130252417A1 (en) * 2010-03-17 2013-09-26 Tokyo Electron Limited Thin film forming method
CN102918636A (zh) * 2010-04-26 2013-02-06 应用材料公司 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备
FR2979749A1 (fr) * 2011-09-07 2013-03-08 St Microelectronics Crolles 2 Procede de realisation d'une couche de siliciure dans le fond d'une tranchee, et dispositif pour la mise en oeuvre dudit procede
US20130196505A1 (en) * 2012-01-27 2013-08-01 Tokyo Electron Limited Method of forming conformal metal silicide films

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
屈新萍等: "硅衬底上异质外延生长硅化钴研究进展", 《固体电子学研究与进展》 *
沈磊: "深亚微米金属硅化技术及其在设计中的应用", 《集成电路应用》 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109148461A (zh) * 2018-08-17 2019-01-04 长江存储科技有限责任公司 3d存储器件及其制造方法
CN113348265A (zh) * 2018-12-28 2021-09-03 应用材料公司 于化学气相沉积期间增强钛及硅化钛选择性的方法与设备
CN113348265B (zh) * 2018-12-28 2023-11-10 应用材料公司 于化学气相沉积期间增强钛及硅化钛选择性的方法与设备

Also Published As

Publication number Publication date
WO2016178845A1 (en) 2016-11-10
US10199230B2 (en) 2019-02-05
KR20180002766A (ko) 2018-01-08
US20160322229A1 (en) 2016-11-03
TW201702417A (zh) 2017-01-16
KR102449040B1 (ko) 2022-09-28
TWI695903B (zh) 2020-06-11
CN107533962B (zh) 2022-04-15

Similar Documents

Publication Publication Date Title
CN107533962A (zh) 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
US11049716B2 (en) Gap fill using carbon-based films
CN108493152B (zh) 创建气隙的方法
TWI685021B (zh) 半導體裝置及其製造方法
CN102265383B (zh) 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
KR100978993B1 (ko) 순차 증착 기술을 이용한 내화 금속 층의 증착 방법
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
CN107689397B (zh) 半导体器件及其制造方法
CN108369897A (zh) 用于钨原子层沉积工艺的作为成核层的共形非晶硅
JP2019024080A (ja) 連続した堆積−エッチング−処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
CN110066984A (zh) 实现无缝钴间隙填充的方法
KR20110084275A (ko) 삼원 화합물의 기상 증착 방법
TW201812999A (zh) 使用沉積/蝕刻技術之無接縫溝道填充
JP7296378B2 (ja) Pecvd金属ドープ炭素ハードマスクのための同質界面層を蒸着するためのシステムおよび方法
US10600685B2 (en) Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
JP2021528856A (ja) 酸化ハフニウムの薄膜におけるドーパント濃度のチューニング可能性
CN110622283A (zh) 减少或消除钨膜中缺陷的方法
TWI758629B (zh) 包括石墨烯擴散阻障的電子元件及形成此電子元件的方法
US9637819B2 (en) Methods for preferential growth of cobalt within substrate features
JP6946463B2 (ja) ワードライン抵抗を低下させる方法
CN115769365A (zh) 改良阻挡性质的钛材料的氮化物覆盖
TWI727389B (zh) 使用自組裝單層的選擇性沉積的方法
TWI838267B (zh) 薄膜及沉積薄膜的方法
TWI837191B (zh) 使用金屬基前驅物之原子層沉積(ald)製程的n型金屬氧化物半導體(nmos)金屬閘極材料之方法與設備
US20160300731A1 (en) Methods of etchback profile tuning

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant