CN102918636A - 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备 - Google Patents

使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备 Download PDF

Info

Publication number
CN102918636A
CN102918636A CN2011800265219A CN201180026521A CN102918636A CN 102918636 A CN102918636 A CN 102918636A CN 2011800265219 A CN2011800265219 A CN 2011800265219A CN 201180026521 A CN201180026521 A CN 201180026521A CN 102918636 A CN102918636 A CN 102918636A
Authority
CN
China
Prior art keywords
metal
gas
predecessor
approximately
tantalum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800265219A
Other languages
English (en)
Other versions
CN102918636B (zh
Inventor
赛沙德利·甘古利
斯里尼瓦斯·甘迪科塔
雷宇
卢欣亮
刘相浩
金勋
保罗·F·马
张梅
梅特伊·马哈贾尼
帕特丽夏·M·刘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201510688559.2A priority Critical patent/CN105390381B/zh
Publication of CN102918636A publication Critical patent/CN102918636A/zh
Application granted granted Critical
Publication of CN102918636B publication Critical patent/CN102918636B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Composite Materials (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明的实施例大致提供沉积含金属材料和其组成物的方法。方法包括沉积工艺,其利用气相沉积工艺形成金属、金属碳化物、金属硅化物、金属氮化物和金属碳化物衍生物,包括热分解、化学气相沉积(CVD)、脉冲式CVD或原子层沉积(ALD)。在一实施例中,提供处理基板的方法,该方法包括沉积介电常数大于10的介电材料、于介电材料内形成特征结构定界、共形沉积功函数材料至特征结构定界的侧壁和底部上、以及沉积金属栅极填充材料至功函数材料上,以填充特征结构定界,其中功函数材料通过使化学式为MXy的至少一金属卤化物前驱物反应而沉积,其中M为钽、铪、钛和镧,X为选自由氟、氯、溴或碘所组成的组的卤化物,y为3至5。

Description

使用具有金属类前驱物的CVD与ALD工艺的NMOS金属栅极材料、制造方法以及设备
技术领域
本发明的实施例大体关于电子器件工艺,且更特别地,有关用于含金属材料和含金属材料组成物的气相沉积工艺。
背景技术
电子器件产业和半导体产业不断致力于获得更高生产良率,同时提高大面积基板上的沉积层均匀性。这些要素加上新材料还提供基板每单位面积有更多电路集成。随着电路集成性提升,对良好均匀性和层特性相关的工艺控制的要求也随之增加。
几个持续改善的制造领域包括金属栅极电极形成及接触阻障层沉积。栅极电极通常由硅基材料组成,但更常以如钨或钴等金属材料制作。然而用于栅极电极的材料电性仍不尽理想。钽材料已用于半导体结构,例如阻障层,然尽管钽材料有多样电性,却鲜少用于形成金属栅极电极。
由溅射钽与反应溅射氮化钽形成的栅极材料层已证实有适合的电性。示例性质包括高传导率、高热稳定性及对外来原子扩散的抗性。物理气相沉积(PVD)工艺用来沉积钽材料做为栅极电极、或沉积至小尺寸(如约90纳米(nm)宽)与约5:1的高深宽比的特征结构中。
相较于只沉积底部的传统方式,形成半导体结构的技术发展现需在底部与侧壁上共形沉积功函数材料到高介电常数(k)介电材料上和共形沉积栅极电极到功函数材料上,是以PVD和许多化学气相沉积(CVD)工艺无法产生预期结果。另外,公认PVD工艺在尺寸与深宽比方面已达极限。又,使用PVD工艺时,钽材料组成物的变化非常有限。
已尝试使用化学气相沉积(CVD)或原子层沉积(ALD)工艺的传统钽前驱物来沉积钽材料。多种CVD和ALD工艺预计将用于下一代技术以制造深宽比约10:1或以上的45nm宽特征结构。又,ALD工艺比PVD工艺更易将钽材料沉积至含底切的特征结构上。以使用五氯化钽(TaCl5)做为前驱物的CVD或ALD工艺形成含钽膜需要多达三次使用各种自由基类化学品(如原子氢或原子氮)的处理循环来形成钽材料。使用TaCl5的工艺也受钽材料的氯污染物所苦。虽然钽金属有机前驱物可用于形成不含氯污染物的钽材料,但沉积材料仍有高碳含量的不良特性。其它沉积做为金属栅极电极的金属材料也遭遇到类似钽沉积的困难。
因此,需要沉积含金属材料至基板上做为例如金属栅极电极和阻障层的工艺。
发明内容
本发明的实施例大致提供沉积含金属材料至基板上的方法。含金属材料包括含钽、铪、钛和镧的材料,所述金属材料可进一步掺杂氮、碳、硅及/或铝。沉积工艺包括热分解工艺、化学气相沉积(CVD)工艺、脉冲式CVD工艺、原子层沉积(ALD)工艺、和诸如PECVD与PE-ALD的等离子增强(PE)工艺。
在一实施例中,处理基板的方法包括沉积介电常数大于10的介电材料、于介电材料内形成特征结构定界、共形沉积功函数材料至特征结构定界的侧壁和底部上、以及沉积金属栅极填充材料至功函数材料上,以填充特征结构定界,其中功函数材料通过使化学式为MXy的至少一金属卤化物前驱物反应而沉积,其中M为钽、铪、钛和镧,X为选自由氟、氯、溴或碘所组成的组的卤化物,y为3至5。
附图说明
为让本发明的上述概要特征更明显易懂,可配合参考实施例说明上文简要概述的本发明的具体描述,部分实施例图示在附图。然须注意,附图仅说明本发明典型实施例,故并非用以限定本发明的精神与范围,因为本发明可接纳其它等效实施例。
图1显示根据本文所述实施例的沉积金属碳化物材料的方法流程图;
图2A至2B绘示根据本文所述实施例的基板的截面图,该基板含有金属碳化物材料做为栅极电极;
图3A绘示根据本文所述其它实施例的另一基板的截面图,该基板含有金属碳化物做为阻障层;
图3B绘示根据本文所述实施例的基板的截面图,该基板含有金属碳化物材料做为共形栅极电极;以及
图4为根据本发明一实施例的CMOS结构的示意图,该CMOS结构具有NMOS和PMOS部分。
具体实施方式
本发明的实施例提供沉积含金属材料至基板上,所述含金属材料例如是金属、金属碳化物(MCx)、金属硅化物(MSix)、金属碳硅化物(MCxSiy)、金属碳氮化物(MCxNy)或金属硼化物(MBx)。含金属材料可做为栅极电极结构的功函数金属和选择性的金属栅极填充材料。特别地,金属、金属碳化物、金属碳硅化物和金属硼化物材料可做为金属栅极电极结构的NMOS材料。金属碳氮化物材料可做为栅极电极结构的PMOS材料。通常,诸如金属碳氮化物的含氮材料为PMOS结构、而非NMOS结构所需。
在一实施例中,所述含金属材料可用于金属栅极结构。例如,图3B绘示具有含金属栅极电极350的半导体结构,含金属栅极电极350含有以本文所述方法沉积的金属、金属碳化物或金属碳硅化物材料,图3B的半导体结构可用于逻辑应用。
初始特征结构定界355形成在已先沉积于基板上的高k介电材料360(即介电常数大于10)中。适合的高k介电材料包括介电常数大于25或以上的介电材料。可用的高k介电材料360包括一或多种氧化物材料。适合的氧化物材料实例包括氧化铪、硅酸铪、氮氧化硅铪、上述的铝酸盐、或上述的衍生物、或上述的组合物。其它氧化物材料包括氧化镧、硅酸镧、氧化锆、硅酸锆或上述的组合物。一或多种氧化物材料各自还可掺杂选自由锆、镧、铈、钛或上述的组合物所组成的组的材料。
功函数材料层370接着共形沉积于高k介电材料360内的特征结构定界中。金属栅极填充材料380接着形成在功函数材料层370上,并填充特征结构定界355。
功函数是测量单位为电子伏特(eV)的材料性质,功函数代表电子从固体迁移到固体表面外某一点所需的能量、或电子从费米能级迁移到真空所需的能量。实际上,功函数值是将金属电子从金属移到高k材料所需的能量。公认该值近似理想功函数,且有时可能因沉积于介电材料上的金属结构而有所不同。就金属而言,功函数为常数;就半导体材料而言,添加如硼或磷等其它材料(通常视为掺杂材料)可改变功函数。不同预定功函数的材料用于金属栅极电极结构时,可改变晶体管的阈值电压。
本文所述功函数材料为具功函数材料性质并构成晶体管结构中栅极电极的预定性质(如电性)的材料。功函数材料可沉积在高k介电材料上或附近,以提供功函数材料性质对晶体管的金属栅极电极结构造成最大影响。所需功函数取决于高k材料、和源极、漏极与栅极的掺杂类型与掺杂量。故功函数金属组成可加以改变而达预期量。N型金属平面栅极结构的功函数通常应等于或小于4.3eV,非平面栅极结构(如鳍状场效晶体管(FinFET)栅极结构)则可接受较多掺杂,是以功函数为等于或小于4.4eV。特定电路设计的功函数取决于容许掺杂量。功函数材料层370可包含本文所述的含金属层,且可以本文所述的工艺沉积。
功函数材料可为本文所述的金属、金属碳化物、金属硅化物、金属碳硅化物、金属碳氮化物或金属硼化物材料,并可以本文所述的工艺沉积。此外,金属、金属碳化物、金属硅化物、金属碳硅化物、金属碳氮化物或金属硼化物材料可含有其它导电材料,例如铝。适合的功函数材料包括选自由钽、铪、钛、镧、碳化钽、碳化铪、碳化钛、碳化镧、硅化铪、硅化钽、硅化钛、硅化镧、碳硅化钽、碳硅化铪、碳硅化钛、碳硅化镧、碳化铝铪、碳化铝钽、碳化铝镧、碳氮化钽、氮化铝钽、硼化镧、硼化铪或上述的组合物所组成的组的材料。此外,功函数材料的沉积厚度例如可为约20埃
Figure BDA00002482576900041
或以上,例如约20埃至约80埃,例如约30埃。
如图3B所示,金属栅极填充材料用于完成栅极电极350。视栅极电极350所需的各个传导率而定,功函数材料层370和金属栅极填充材料380可为相同或不同材料。若所用金属栅极填充材料不同于功函数材料,则金属栅极填充材料可包括导电材料,导电材料例如金属或金属合金。做为金属栅极填充材料的金属或金属合金实例包括由钨、铝、铜、钴和上述的组合物、以及钨、铝、铜、钴和上述的组合物的合金所组成的组的材料。
若所用金属栅极填充材料与功函数材料相同或实质相同,则金属栅极填充材料380可包含本文所述的金属、金属碳化物、金属碳硅化物、金属硅化物、金属硼化物或金属碳氮化物材料,且可以本文所述的工艺沉积。或者,功函数材料层370和金属栅极填充材料380可为不同材料,两者皆选自本文所述的金属、金属碳化物、金属碳硅化物或金属碳氮化物材料。例如,高介电常数材料可为氧化铪,功函数材料层370可为碳化铪,栅极填充材料可为碳化钽。栅极填充材料的电阻率应等于或小于功函数材料层的电阻率。诸如金属碳氮化物的含氮材料为PMOS结构、而非NMOS结构所需,或可用于栅极电极350。
视情况而定,可在沉积金属栅极填充材料380前,沉积润湿层。润湿层可为选自由钴、钽、钛和上述的组合物所组成的组的金属材料。或者,可在形成功函数材料层前或后,沉积阻障层,阻障层可配合润湿层使用或当作润湿层。阻障层可包含任何适合填充材料的阻障层,即钨、铜和铝,且可为选自由氮化钽、氮化钛、氮化钨和上述的组合物所组成的组的含金属材料。功函数层370前沉积的阻障层365以虚线表示。功函数层370后、金属栅极填充材料380前沉积的阻障层及/或润湿层375以虚线表示。阻障层及/或润湿层各自的沉积厚度可为50埃或以下,例如约1埃至约20埃。
上述工艺可用于形成互补式金氧半导体(CMOS)结构,且特别用于形成CMOS结构的N型掺杂部分(NMOS)或CMOS结构的P型掺杂部分(PMOS)的栅极电极或金属栅极电极层,所述NMOS和PMOS涉及沉积高k层、覆盖层和金属栅极电极层,其中所有层可在同一处理腔室内沉积。
含金属材料可利用各种沉积技术沉积,各种沉积技术例如热分解、化学气相沉积(CVD)、脉冲式化学气相沉积(CVD)、等离子增强化学气相沉积(PE-CVD)、远端等离子增强化学气相沉积(PE-CVD)、原子层沉积(ALD)、等离子增强原子层沉积(PE-ALD)或上述的组合方式。虽然图1和对应说明是针对沉积工艺实施例的流程图,但此无意理解或解释成限制本发明所述态样。
图1绘示处理方法100的流程图,方法100可用于形成含金属层。含金属层例如为金属、金属碳化物材料、金属硅化物材料、金属碳硅化物材料或金属碳氮化物材料。在工艺102期间,于处理腔室中,加热基板达初始沉积温度。基板可经加热达约100℃至约600℃,较佳约100℃至约500℃,更佳约150℃至约500℃。适合使用本文所述的金属卤化物前驱物沉积的温度可为100℃至约450℃,例如约150℃至约425℃。
一重要的前驱物特性为具有适合的蒸汽压。沉积前驱物在周围温度和压力下可呈气态、液态或固态。然在处理腔室内,前驱物可挥发成气体。处理腔室具有受控环境,该环境经加压成约1毫托耳至约100托耳,较佳约1托耳至约10托耳,更佳约2托耳至约5托耳。前驱物在输送到处理腔室前通常会先加热,例如达约室温至约200℃。
在工艺104中,基板随后接触具初始流率的含金属卤化物前驱物气体。含金属材料可利用具化学式MXy的至少一(一或多种)金属卤化物前驱物的反应工艺沉积,其中M是选自由钽、铪、钛和镧所组成的组的金属,X是选自由氟、氯、溴和碘所组成的组的卤化物,y为3至5。金属卤化物前驱物可为含氟或含氯的卤化合物,含氟或含氯的卤化合物选自由五氯化钽、五氟化钽、五溴化钽、四氯化铪、三氯化镧和上述的组合物所组成的组。
在工艺106中,基板还接触具初始流率的一或多种反应气体。反应气体可包括单一反应气体或各种反应气体的组合物。通常,反应气体可与卤化物反应、键结,并移除沉积金属的卤化物。在一些实施例中,部分反应气体与金属键结而形成金属化合物,例如金属碳化物、金属硅化物、金属碳硅化物和金属碳氮化物。反应气体还可包括等离子处理工艺,所述等离子剥除卤化物部分,然后与金属卤化物前驱物反应而形成功函数金属。
反应气体可为无氮反应气体。或者,反应气体可包括还原气体,所述还原气体包括含氮气体(如氨气),用以沉积金属碳氮化物。反应气体可进一步包括惰性气体或惰性气体等离子/自由基。无氮反应气体可为氢基气体、含碳反应气体、含硅反应气体或还原气体、或上述的组合物。氢基气体可包括氢气、或如出自等离子或远端等离子的氢气等离子/氢自由基。
含碳反应气体还可为含碳还原气体,含碳还原气体例如甲烷、乙烯、乙炔、丙烷、丙烯、丙炔、丁烷、己烷、庚烷、辛烷、壬烷、癸烷、甲烷与乙炔等离子/自由基、和上述的组合物等,所述含碳反应气体可用于碳化物沉积。
含硅反应气体可用于沉积含硅材料,例如硅化物。含硅前驱物包括硅烷和有机硅烷。硅烷包括甲硅烷(SiH4)和经验式为SixH(2x+2)的高级硅烷,例如二硅烷(Si2H6)、三硅烷(Si3H8)与四硅烷(Si4H10)和其它。有机硅烷包括经验式为RySixH(2x+2-y)的化合物,其中R个别为甲基、乙基、丙基或丁基,例如甲基硅烷((CH3)SiH3)、二甲基硅烷((CH3)2SiH2)、乙基硅烷((CH3CH2)SiH3)、甲基二硅烷((CH3)Si2H5)、二甲基二硅烷((CH3)2Si2H4)、六甲基二硅烷((CH3)6Si2)、三(二甲氨基)硅烷(TDMAS)和上述的组合物。
反应气体还可包括铝系反应化合物,例如三甲基铝(TMA;Al2Me6或(AlMe3)2)、三乙基铝(Al2Et6、(AlEt3)2或TEA)、二甲基氢化铝(DMAH)、三叔丁基铝(TTBA)、氢化铝(AlH3)和上述的组合物。此外,下述金属碳化物和金属硅化物化合物可和附加金属化合物一起沉积。通过引进铝前驱物,可一起沉积铝和本文所述的含金属材料,铝前驱物例如是三甲基铝、三乙基铝、三异丁基铝、二甲基氢化铝(DMAH)、三叔丁基铝、氢化铝、三氯化铝和上述的组合物。氢基等离子可用于使沉积材料包括铝。沉积的包括铝的含金属材料可包括碳化铝铪、碳化铝钽、碳化铝钛和碳化铝镧等。
为形成如用于PMOS结构的金属碳氮化物,反应气体可为含氮还原气体。含氮还原气体可用于沉积含金属的氮化物材料,例如碳氮化钽材料。含氮还原气体或氮前驱物可包括氨气(NH3)、联氨(N2H4)、甲基肼((CH3)HN2H2)、二甲基肼((CH3)2N2H2)、叔丁基肼(C4H9N2H3)、苯肼(C6H5N2H3)、其它肼衍生物、包括三甲基胺、二甲基胺与三叔丁基胺的胺类、氮等离子源(如N2、N2/H2、NH3或N2H4等离子)、2,2’-偶氮叔丁烷((CH3)6C2N2)、有机或烷基叠氮化物(如叠氮化甲基(CH3N3)、叠氮化乙基(C2H5N3)、叠氮化三甲硅烷基(Me3SiN3))、无机叠氮化物(如NaN3或Cp2CoN3)、和其它适合氮源。自由基氮化合物可利用热、热线及/或等离子产生,等离子例如是N3、N2、N、NH或NH2。在许多实例中,含氮气体含有氨气。
含硼化合物或硼前驱物可用来沉积包括硼的含金属材料,例如金属碳氮硼化物、金属氮硼化物、金属碳硼化物或金属硼化物。硼前驱物包括硼烷和有机硼烷,所述硼烷和有机硼烷包括甲硼烷(BH3)、二硼烷(B2H6)、三硼烷(B3H8)、四硼烷(B4H10)、三甲基硼烷((CH3)3B)、三乙基硼烷((CH3CH2)3B)或上述的衍生物。示例的硼前驱物包括二硼烷和三甲基硼烷。
若基板接触反应气体10秒或以上,例如大于10秒至60秒,则接触反应气体可视为预处理工艺,例如浸渍工艺。若是进行浸渍预处理工艺,则较佳浸渍前驱物为硅烷、二硼烷和上述的组合物。
载气也可结合含金属卤化物气体或反应气体。净化气体也可用于在沉积循环之间或期间清洁腔室。载气和净化气体可为氩气、氮气、氢气、氦气、形成气体(forming gas)(N2/H2)或上述的组合物,其中诸如氦气与氩气等不反应性无氮气体较佳用于NMOS沉积工艺。
在工艺108期间,利用上述任何沉积技术,形成含金属材料至基板上,同时使基板接触含金属卤化物气体和反应气体。在工艺108中,含金属材料沉积于基板上,而反应气体已先接触或同时接触基板。做为NMOS结构时,金属、金属碳化物、金属硅化物和金属碳硅化物最好有极微或不含卤化物且亦可为无氮。就PMOS结构而言,氮化物最好有极微或不含卤化物。可沉积成部分含金属材料的金属为钽、铪、钛和镧。在一些实施例中,含金属材料也可包括铝和出自金属卤化物前驱物的金属。以下将描述不同的含金属材料实施例,包括金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物材料。
在一实施例中,钽或碳化钽材料可由使用卤化钽前驱物与反应气体的化学气相沉积或原子层沉积而沉积。此前驱物实例包括五氯化钽(TaCl5)、五氟化钽(TaF5)、五溴化钽(TaBr5)或上述的组合物。用于形成钽金属材料的反应气体可为本文所述的氢还原气体,包括氢气等离子/自由基。用于形成碳化钽的反应气体可为含碳反应气体(包括乙烯、甲烷、乙烯与甲烷的混合物),且包括反应气体等离子/自由基来进行碳化物沉积。
在另一实施例中,硅化钽材料可通过使基板接触包括钽前驱物气体与前述含硅反应气体的工艺气体而沉积。硅化钽材料可进一步包括前述含碳反应气体,用以沉积碳硅化钽材料。在此实施例中,钽前驱物先与硅前驱物反应,然后再接触含碳前驱物。以做为N型功函数金属的TaSix膜为例,Ta/Si比率可为约2.00。
在一沉积工艺实例中,五氟化钽引进到处理腔室中,以于基板上形成单层,接着使沉积材料接触还原气体等离子(如氢气等离子),以自单层移除含卤化合物。还原气体等离子可在腔室内或由远端等离子产生。接着,使具减少的卤含量的钽金属材料进一步接触含碳材料(如乙烯)而形成碳化钽、及/或接触含硅材料(如硅烷)而形成硅化钽或碳硅化钽。用于NMOS结构时,希望碳化钽有较多碳含量。
在一实施例中,钽金属可沉积以提供预定功函数值,并且适合后续掺杂。五氟化钽(TaF5)可用于沉积钽金属层,该钽金属层的功函数为约4.2且具有小于约3原子百分比(原子%)的卤原子,例如约1原子%至小于约3原子%。沉积工艺期间或沉积后处理时使用所述氢气或铝还原剂,可使沉积钽层的氟含量小于约3原子%,其中处理方式可为等离子处理。
在另一沉积工艺实例中,五氯化钽(TaCl5)引进到处理腔室中,以于基板上形成单层,接着使沉积材料接触还原气体等离子,例如氢气等离子。等离子可在腔室内或由远端等离子产生。接着,具减少的卤含量的钽金属材料必要时可进一步接触如含硅材料而形成硅化物(若有需要)、或接触含碳前驱物(如乙烯)而增加沉积材料的碳含量。
在一实施例中,碳化钽可由在550℃下使基板接触五氯化钽(TaCl5)与乙烯气体的工艺沉积。若有需要,在循环期间接触乙烯气体后,也可使用氢气等离子。氢气等离子有助于减少膜的碳含量。此处理温度能有效结合到因采用各种材料而有温度限制的多层沉积工艺中。以由TaC组成的N型功函数金属为例,Ta/C比率可为约1.00。
在另一实施例中,铪金属或碳化铪材料可通过使基板接触包括铪前驱物气体与反应气体的工艺气体而沉积。卤化铪前驱物一例为四氯化铪(HfCl4)。反应气体包括氢气及/或用于形成碳化铪的含碳反应气体。反应气体可进一步包括含硅气体,例如前述硅烷和有机硅烷,用以制造本文所述的硅化铪材料。以碳硅化铪材料沉积为例,铪前驱物可先接触含硅化合物,接着与含碳化合物反应。
在一沉积工艺实例中,四氯化铪(HfCl4)引进到处理腔室中,以于基板上形成单层,接着使沉积材料接触还原气体等离子,例如氢气等离子。等离子可在腔室内或由远端等离子产生。接着,具减少的卤含量的铪金属材料必要时可进一步接触如含硅材料而形成硅化物(若有需要)、或接触含碳前驱物(如乙烯)而增加沉积材料的碳含量。
在又一实施例中,镧金属或碳化镧材料可通过使基板接触包括镧前驱物气体与反应气体的工艺气体而沉积。卤化镧前驱物一例为三氯化镧(LaCl3)。反应气体可包括氢气,及包括用于形成镧的氢等离子/自由基、及/或用于形成碳化镧材料的含碳反应气体或用于形成硼化镧材料的含硼反应气体。
反应气体可进一步包括本文所述的含硅气体,通过使基板接触包括镧前驱物气体与前述含硅反应气体的工艺气体而制造硅化镧材料。用于沉积硅化镧材料的工艺气体可进一步包括前述含碳反应气体,以沉积碳硅化镧材料。以碳硅化镧材料沉积为例,镧前驱物可接触含硅化合物,然后与含碳化合物反应。
在再一实施例中,钛金属或碳化钛材料可通过使基板接触包括钛前驱物气体与反应气体的工艺气体而沉积。卤化钛前驱物一例为四氯化钛(TiCl4)和四氟化钛(TiF4)。用于形成钛金属材料的反应气体可为本文所述的氢还原气体,氢还原气体包括氢气等离子/自由基。用于形成碳化钛的反应气体可为本文所述的含碳反应气体。
在另一实施例中,硅化钛材料可通过使基板接触包括钛前驱物气体与前述含硅反应气体的工艺气体而沉积。硅化钛材料可进一步包括前述含碳反应气体,用以沉积碳硅化钛材料。在此实施例中,钛前驱物先与硅前驱物反应,然后再接触含碳前驱物。
除上述特定实施例外,所述用于工艺106的沉积工艺以沉积含金属材料的反应气体可和附加材料与反应气体一起沉积。在其它实施例中,除碳及/或氮外,含金属材料可另含硅、铝、磷、氢和其它元素、或取代碳及/或氮。
等离子处理(如氢等离子处理)还可用来降低或尽量减少利用金属卤化物前驱物沉积的金属或金属碳化物膜中的卤原子百分比浓度,例如小于3原子%。经等离子处理后,卤浓度可为约0.5至小于3原子%的卤原子。等离子处理可为本文所述的沉积处理程序中的任一等离子气体处理工艺。
上述钽、镧、钛和铪的金属、金属碳化物、金属硅化物、金属碳硅化物和金属碳氮化物也可通过与铝系反应化合物的反应而沉积。铝反应气体容许移除金属卤化物前驱物沉积材料(如TiCl4和TaCl5沉积材料)的卤原子,故可代替等离子处理工艺。铝反应气体与氯原子反应而形成烷基氯化铝,接着移除之。已经发现铝反应气体和金属卤化物前驱物能沉积出卤原子小于约7原子%的材料层,例如小于约4原子%。例如,利用三乙基铝(TEA),由五氟化钽沉积的钽层沉积材料具有氟原子降至小于3原子%的卤浓度。与铝前驱物反应时,卤浓度可为0.5至4原子%的卤原子。
或者,铝系还原剂可用于金属和金属碳化物沉积工艺而使沉积材料包含铝。例如,铝反应气体可用于形成铝化铪(HfAl)、铝化钽(TaAl)、碳化铝铪(HfAlCx)或碳化铝钽(TaAlCx)做为NMOS材料,且可提供沉积材料高至30原子%的碳含量/杂质,例如约10原子%至约30原子%。
诸如本文所述的铝化钽(TaAl)和其它等多金属化合物也可视为金属合金。具附加化合物(如碳和硅)的多金属化合物也可视为具碳或硅与一或多个合金金属原子键结而形成如金属碳化物或金属硅化物的金属合金。例如,碳化铝钽(TaAlCx)可视为内含铝的碳化钽。就某些材料沉积工艺而言,铝可视为杂质。
工艺108可包括各种处理程序,例如化学气相沉积共同流动或相继流动。重复最短持续时间的相继流动循环将造成原子层沉积重复循环。
在热分解工艺方面,必要时,金属卤化物前驱物(与任何载气)和任何反应气体可个别流入或共同流入处理腔室。热分解工艺可在约100℃至约600℃下进行。在化学气相沉积工艺方面,金属前驱物(与任何载气)和任何反应气体可共同流入处理腔室。
在一实施例中,相继流动工艺包含两个化合物流动工艺。两个化合物流动工艺包括第一化合物(如金属卤化物前驱物与任何伴随载气)和第二化合物(如本文所述的反应气体)。附加反应化合物,例如双重还原气体(如含碳气体与含硅气体),可同时、或于二者间加入选择性净化气体依序引入。就下述等离子工艺而言,等离子气体可为氢气、氢气与硅烷气体的混合物、或氢气与乙烯气体的混合物。等离子在存有等离子工艺、载气与选择性金属卤化物前驱物气体的情况下触发,然在原子层沉积工艺中,等离子处理步骤不大可能包括任何大量金属前驱物气体。等离子也可在腔室内形成,或者等离子可由等离子气体的远端等离子源产生。
在本文所述的处理程序前,可使用反应剂,例如本文所述的还原剂(如硅烷或二硼烷)预处理或“浸渍”基板表面。预处理工艺包含使基板接触反应剂超过10秒至约60秒。
在一处理程序中,将第一前驱物引进到处理腔室中,以形成第一层或第一单层至基板表面、使用净化气体进行第一腔室清洁工艺、将第二前驱物引进到处理腔室中,以形成第二层或第二单层、使用净化气体进行第二腔室清洁工艺、将等离子气体引进到腔室中及产生等离子、接着使用净化气体进行第三腔室清洁工艺。对原子层沉积工艺来说,以上工艺包含一次循环,且ALD工艺将视预定厚度而定包括2至100次循环。第一前驱物可为本文所述的金属卤化物前驱物,第二前驱物可为本文所述的一或多种反应/还原化合物。
此处理程序一例包括将四氯化铪前驱物引进到处理腔室中,以沉积单层材料至处理腔室内的基板上、清除处理腔室中的四氯化铪前驱物、引进铝前驱物(如三乙基铝或三叔丁基铝),使之与沉积材料反应、清除处理腔室中的三乙基铝或三叔丁基铝、以及原位或远端提供等离子(如氢气等离子/自由基),使沉积材料还原成铪或碳化铪、接着清除腔室中的氢气等离子/自由基。在本处理程序的一些实施例中,只有要进一步减少污染时,才需加入等离子。
在另一处理程序中,将第一前驱物引进到处理腔室中,以形成第一层或第一单层至基板表面、使用净化气体进行第一腔室清洁工艺、将等离子气体引进到腔室中及产生等离子、使用净化气体进行第二腔室清洁工艺、将第二前驱物引进到处理腔室中,以形成第二层或第二单层、接着使用净化气体进行第三腔室清洁工艺。对原子层沉积(ALD)工艺来说,以上工艺为一次循环,且ALD工艺将视预定厚度而定包括2至200次循环。第一前驱物可为本文所述的金属卤化物前驱物,第二前驱物可为本文所述的一或多种反应/还原化合物。
此处理程序一例包括将四氯化铪前驱物引进到处理腔室中,以沉积单层材料至处理腔室内的基板上、清除处理腔室中的四氯化铪前驱物、原位或远端提供等离子(如氢气等离子/自由基),使沉积材料还原成铪或碳化铪、清除腔室中的氢气等离子/自由基、引进铝前驱物(如三乙基铝或三叔丁基铝),使之与沉积材料反应、接着清除处理腔室中的三乙基铝或三叔丁基铝。
在第三处理程序中,将第一前驱物引进到处理腔室中,以形成第一层或第一单层至基板表面、使用净化气体进行第一腔室清洁工艺、将第一等离子气体引进到腔室中及产生第一等离子、使用净化气体进行第二腔室清洁工艺、将第二前驱物引进到处理腔室中,以形成第二层或第二单层、将第二等离子气体引进到腔室中及产生第二等离子、接着使用净化气体进行第三腔室清洁工艺。对原子层沉积工艺来说,以上工艺为一次循环,且ALD工艺将视预定厚度而定包括2至100次循环。第一前驱物可为本文所述的金属卤化物前驱物,第二前驱物可为本文所述的一或多种反应/还原化合物。
在一附加处理程序中,使第一前驱物和第二前驱物共同流入处理腔室,以形成第一层或第一单层至基板表面、使用净化气体进行第一腔室清洁工艺、将等离子气体引进到腔室中及产生等离子、接着使用净化气体进行第二腔室清洁工艺。对原子层沉积工艺来说,以上工艺为一次循环,且ALD工艺将视预定厚度而定包括2至100次循环。第一前驱物可为本文所述的金属卤化物前驱物,第二前驱物可为本文所述的一或多种反应/还原化合物。
在一进一步的处理程序中,将第一前驱物引进到处理腔室中,以形成第一层或第一单层至基板表面、使用净化气体进行第一腔室清洁工艺、将第二前驱物引进到处理腔室中及产生等离子,以形成第二层或第二单层、接着使用净化气体进行第二腔室清洁工艺。对原子层沉积工艺来说,以上工艺为一次循环,且ALD工艺将视预定厚度而定包括2至100次循环。第一前驱物可为本文所述的金属卤化物前驱物,第二前驱物可为本文所述的一或多种反应/还原化合物。
在另一进一步的处理程序中,将第一前驱物引进到处理腔室中,以形成第一层或第一单层至基板表面、使用净化气体进行第一腔室清洁工艺、将第二前驱物引进到处理腔室中,以形成第二层或第二单层,或与第一单层反应、接着使用净化气体进行第二腔室清洁工艺。对原子层沉积工艺来说,以上工艺为一次循环,且ALD工艺将视预定厚度而定包括2至100次循环。第一前驱物可为本文所述的金属卤化物前驱物,第二前驱物可为本文所述的一或多种反应/还原化合物。在此处理程序中,不使用等离子来处理基板。
在又一进一步的处理程序中,将第一前驱物引进到处理腔室中一段时间,然后停止流入。接着,将第二前驱物引进到处理腔室中一段时间,并与第一前驱物反应而形成膜层至基板上。接着停止流入第二前驱物。以上处理程序为一次循环,且工艺将视预定厚度而定包括2至100次循环。第一前驱物可为本文所述的金属卤化物前驱物,第二前驱物可为本文所述的一或多种反应/还原化合物。
在再一进一步的处理程序中,将第一前驱物引进到处理腔室中,并持续流入第二前驱物至处理腔室及脉冲流入第一前驱物。使第一前驱物流入腔室、接着中断流入为一次循环,且工艺将视预定厚度而定包括2至100次循环。第一前驱物可为本文所述的金属卤化物前驱物,第二前驱物可为本文所述的一或多种反应/还原化合物。
在推进到工艺112或工艺114前,可先在工艺110中,测定含金属材料的组成,例如金属、金属碳化物材料、金属硅化物材料、金属碳硅化物材料或金属碳氮化物材料。若尚未得到预定组成,则方法100进行工艺112来调整特定工艺参数(如沉积温度及/或沉积气体的流率),以达预定组成。或者,工艺参数一旦经校正而得到预定组成的含金属材料,方法100即进行工艺114。
在工艺112期间,可调整沉积温度、和含金属卤化物气体与反应气体的流率,以得到预定组成的含金属材料。随后,重复进行工艺108,以形成含金属材料。可于沉积工艺,例如热分解、CVD工艺或ALD工艺期间,调整沉积温度。调整沉积温度可改变含金属材料的元素浓度。例如,可提高沉积温度,以减少金属碳化物材料的碳浓度。或者,可降低沉积温度,以增加金属碳化物材料的碳浓度。在一实施例中,在工艺108期间,基板或基板基座的温度为约200℃至约800℃,较佳约350℃至约550℃,更佳约400℃至约500℃。在另一实施例中,基板经加热达约100℃至约600℃,较佳约100℃至约500℃,更佳约150℃至约500℃。适合使用本文所述的金属卤化物前驱物沉积的温度可为100℃至约450℃,例如约150℃至约425℃。
在另一实施例中,还可调整金属卤化物前驱物气体及/或反应气体的流率,以得到预定组成的含金属材料,例如特定间隙/元素碳原子比、硅原子比、铝原子比或氮原子比。可视卤化物前驱物的金属和预期的功函数性质改变原子比。反应气体的流率可各自调整成约4000标准毫升/分钟(sccm)或以下,例如约100sccm至约4000sccm,较佳约300sccm至约3000sccm,更佳约1000sccm至约2000sccm。或者,反应气体的流率可为约50sccm至约2000sccm,较佳约100sccm至约1500sccm。在不同实例中,还原气体的流率可为约100sccm、500sccm、1000sccm或1500sccm。金属卤化物前驱物气体的载气流率可调整成约1000sccm或以下,例如约50sccm至约2000sccm,较佳约100sccm至约1000sccm,更佳约300sccm至约700sccm。若反应气体也为前驱物气体,例如铝前驱物,则该反应气体也具有载气流率。
反应气体流率相对金属卤化物前驱物气体流率的气体流率比为约1:1、2:1、3:1、4:1、5:1或以上。调整气体流率和流率比可改变含金属材料的元素浓度。例如,可提高气体流率比,以减少金属碳化物材料的碳浓度。或者,可降低气体流率比,以增加金属碳化物材料的碳浓度。
在工艺114中,若金属或含金属材料未达预定厚度,则方法100返回进行工艺108。一旦于基板上形成预定厚度的含金属材料,即停止方法100。含金属材料的总厚度取决于特定制造应用需求。例如,金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物材料可沉积构成含金属栅极电极,该含金属栅极电极厚度为约10埃至约1000埃,较佳约40埃至约200埃。在另一实例中,金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物可沉积构成含金属阻障层,该含金属阻障层厚度为约3埃至约200埃,较佳约5埃至约100埃,更佳约10埃至约50埃。
在一工艺实例中,可沉积碳化钽、碳化铪或硅化铪做为所述结构中的功函数金属。用于NMOS结构的N型金属栅极(如碳化钽、碳化铪或硅化铪)的功函数小于4.3eV。碳化钽、碳化铪或硅化铪可进一步掺杂碳或硅(若尚未存在)达容许掺杂材料功函数小于4.4eV的量。用于PMOS结构的P型金属栅极(如金属碳氮化物)的功函数为约5.0至5.1eV。存有碳、硅或氮掺杂(或本文所述的其它添加材料)能调整功函数值而提供预定功函数值做为预定应用。也可能存有其它原子,例如沉积的碳化物与硅化物中的卤原子,但仍能提供有效的功函数值。
在一实施例中,沉积的金属碳化物材料具有化学式MCx,其中x在约0.5至约2的范围中。沉积的金属碳化物材料的间隙/元素碳原子比为约2:1或以上,例如约3:1至约5:1。在另一实施例中,沉积的金属硅化物材料具有化学式MSix,其中x在约0.05至约2的范围中。金属、金属碳化物、金属硅化物、金属碳硅化物或金属硼化物层的功函数小于4.3eV,例如3.5eV至小于4.3eV,例如4.1eV至小于4.3eV。金属、金属碳化物、金属硅化物、金属碳硅化物或金属硼化物层的电阻率为2.5微欧姆-厘米(μΩ-cm)至小于1000μΩ-cm,例如约500μΩ-cm、或如50至小于200μΩ-cm,例如约150μΩ-cm。若功函数电阻率很低,则功函数金属也可当作填充材料。在此情况下,功函数金属的电阻率小于100μΩ-cm。在一实施例中,金属、金属碳化物、金属硅化物或金属碳硅化物层可沉积成具有结晶结构或非晶结构。若金属、金属碳化物、金属硅化物或金属碳硅化物层做为阻障材料,例如和功函数材料一起用于NMOS结构(如图3B所示),则所述材料最好沉积成非晶材料。
在结晶结构中,金属或金属碳化物材料内结合两种碳。结晶金属或金属碳化物可具有间隙碳,间隙碳与金属和氮原子共价键结且间隙置于结晶结构的晶格位置内。结晶金属或金属碳化物还可具有元素碳,元素碳与金属和氮原子物理性结合(非共价键结)且置于结晶结构的晶格位置外。
又,碳和氮浓度可加以改变使金属或金属碳化物材料的表面电阻为约1×104Ω/sq至约1×106Ω/sq。
除了图3B所示的实施例外,其它结构还可包括依据本发明所述实施例沉积的含金属材料。在一实施例中,图2A绘示位于基板200a上的含金属栅极电极210,该含金属栅极电极210含有以本文所述方法沉积的金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物材料,且可做为逻辑应用。基板200a包含源极层204a和漏极层204b沉积或形成于层202上,层202可为基板表面或基板上的介电层。在一实例中,源极层204a和漏极层204b是通过将离子注入层202内而形成。源极层204a和漏极层204b的片段由形成于栅绝缘层206上的含金属栅极电极210桥接。偏移层或间隔物208沉积在含金属栅极电极210的两侧。栅绝缘层206含有介电材料,例如氧化铪、硅酸铪、氮氧化硅铪、上述的铝酸盐、或上述的衍生物。间隔物208含有氮化硅、氮氧化硅、上述的衍生物或上述的组合物。
在另一实施例中,图2B绘示位于基板200b上的含金属栅极电极210,含金属栅极电极210含有以本文所述方法沉积的金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物材料,且可做为闪存应用。基板200b具有基板200a大多数的特征结构,但另有含金属栅极电极214(栅控制层)沉积于隔离层212上,隔离层212位于含金属栅极电极210上。含金属栅极电极214含有以本文所述方法沉积的金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物材料。隔离层212含有氮氧化物,例如氧化物-氮化物(ON)分层材料或氧化物-氮化物-氧化物(ONO)分层材料、氮化硅(SiN)分层材料或硅化物(如金属硅化物)。
含金属栅极电极210或214所含的金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物材料可由使用本文所述的金属卤化物前驱物的热分解工艺、CVD工艺、脉冲式CVD工艺、PE-CVD工艺、ALD工艺、PE-ALD工艺或上述的衍生方式形成或沉积。金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物材料可沉积构成含金属栅极电极210或214,含金属栅极电极210或214厚度为约10埃至约1000埃,例如约40埃至约200埃。在另一实施例中,厚度为约10埃至约300埃,例如约25埃至约70埃。
含金属栅极电极210或214各自有不同组成,以更适当地控制功函数,例如源极层204a与漏极层间的含金属栅极电极210的功函数。含金属栅极电极210、214含有金属,且可视情况包含碳、氮、硅、铝、硼、磷或上述的组合物。在许多实例中,含金属栅极电极210或214的表面电阻为约1×104Ω/sq至约1×106Ω/sq。然相对金属浓度增加如氮的材料浓度及/或减少碳浓度,可将含金属栅极电极210或214的功函数调整成具较小电阻率。在一实例中,含金属栅极电极210或214含有金属碳化物,表面电阻大于约1×105Ω/sq,较佳约1×106Ω/sq或以上。或者,可相对金属浓度减少如氮的材料浓度及/或增加碳浓度,以将含金属栅极电极210或214的功函数调整成具较大电阻率。在另一实例中,含金属栅极电极210或214的表面电阻小于约1×105Ω/sq,例如约1×104Ωsq或以下。
在又一实施例中,图3A绘示具示例结构的基板300,基板300上沉积金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物材料做为含金属阻障层320。基板300包含下层302(下层302为一或多层)与设置于下层302上的介电层304。介层洞310利用蚀刻技术形成于介电层304中,或者介电层304沉积构成介层洞310。介层洞310延伸穿过介电层304而至下层302。介层洞310含有底表面312和壁面314。基板300的场域延伸越过介电层304的上表面316。
含金属阻障层320含有金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物,含金属阻障层320可利用本文所述的沉积工艺沉积或形成于基板300上,沉积工艺例如是热分解、CVD、脉冲式CVD、PE-CVD、ALD或PE-ALD。金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物可沉积构成含金属阻障层320,厚度为约3埃至约200埃,例如约5埃至约100埃,或约10埃至约50埃。
如图3A所示,含金属阻障层320可直接沉积在上表面316。或者,沉积含金属阻障层320前,可预处理上表面316或设置一或多层(未图示)于上表面316上。例如,粘着层或成核层可沉积在上表面316与含金属阻障层320之间。又,沉积金属层322前,可沉积附加阻障层、成核层或晶种层(未图示)至含金属阻障层320上。粘着层、成核层、晶种层或附加阻障层可包含钛、钽、钨、钴、钌、上述的氮化物、上述的硅化物或上述的合金,且可由如ALD、CVD或PVD等沉积工艺形成。含金属阻障层320可当作晶种层,以促进如电镀或ALD技术形成金属层322。含金属阻障层320应具备的重要特性包括良好的工艺覆盖性、厚度均匀性、高导电率,并具有阻止铜及/或铝扩散的能力。
在一实例中,含金属阻障层320通过在ALD工艺期间,使基板300相继接触金属前驱物和至少另一前驱物而形成。虽非必要,但含金属阻障层320可包含多种化合物的单层,例如金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物。含金属阻障层320遵照介层洞310的轮廓而覆盖底表面312与壁面314且遍及介电层304的上表面316。
沉积至含金属阻障层320上时,金属层322将填充介层洞310。金属层322可包含导电金属,所述导电金属包括铜、钨、铝、钽、钛、钌、银、上述的合金或上述的组合物。用于形成金属层322的沉积工艺包括CVD、PVD、无电电镀、电镀或上述的组合方式。又,金属层322可包括以不同沉积工艺制得的组合层,例如以ALD工艺形成的晶种层和以CVD工艺形成的块体层或填充层。
在一实例中,金属层322包含以PVD、无电电镀或电镀沉积的含铜晶种层、和以CVD、无电电镀或电镀沉积的含铜块体层。在另一实例中,金属层322包含以ALD、PVD、无电电镀或电镀沉积的含钌晶种层、和以CVD、无电电镀或电镀沉积的含铜块体层。在又一实例中,金属层322包含以ALD、CVD或PVD沉积的含钨晶种层、和以CVD沉积的含钨块体层。
在其它实例中,金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物的金属栅极应用可利用本文所述的沉积工艺沉积。栅极层含有栅极材料,例如氮氧化硅、氧化铪、氧化铝或上述的组合物。金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物可利用本文所述的气相沉积工艺沉积在栅极层上。通常,金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物沉积于栅极层上,且厚度为约20埃至约200埃,例如约40埃。随后,第二含金属层沉积至金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物上。第二含金属层包含钛、氮化钛、钨、钽、钌或上述的组合物,且可由CVD、ALD、PVD、电化学电镀或无电电镀工艺沉积。
图3B绘示具有含金属栅极电极350的半导体结构,含金属栅极电极350含有以本文所述的方法沉积的金属、金属碳化物、金属硅化物、金属碳硅化物或金属碳氮化物,该半导体结构可用于逻辑应用。此外,图3B的半导体结构可用于具栅极结构的平面和三维晶体管。具栅极结构的三维晶体管实例包括鳍状场效晶体管(FinFET)(依据早期DELTA(单栅极)晶体管设计建构于绝缘体上覆硅技术基板上的非平面、双栅极晶体管)或三栅极晶体管结构。
图4为根据本发明一实施例的CMOS结构400的示意图。CMOS结构400包含基板402,外延层404沉积于基板402上。p-井406和n-井408形成于外延层404内。NMOS结构418形成于p-井406上。NMOS结构418包含源极电极410a、漏极电极410b、高k层412、覆盖层414和栅极电极416。同样地,PMOS结构428形成于n-井408上。PMOS结构428包含源极电极420a、漏极电极420b、高k层422、覆盖层424和栅极电极426。隔离区430隔开NMOS结构418和PMOS结构428。
覆盖层414、424置于高k层412、422与栅极电极416、426间,以免栅极电极416、426与高k层412、422反应。覆盖层414、424可调整阈值电压。在一实施例中,NMOS结构418中的覆盖层414不同于PMOS结构428中的覆盖层424。就NMOS结构418而言,高k层412可为氧化铪,栅极电极416可包含本文所述的金属或金属碳化物。
可配合所述沉积工艺使用的ALD工艺和ALD沉积腔室的细节进一步描述于共同让渡的美国专利证书号6,916,398与2002年10月25日申请的美国专利申请案序号10/281,079(公开号为US 2003-0121608)、和共同让渡的美国专利证书号6,998,014、2006年11月6日申请的美国专利申请案序号11/556,745(公开号为US 2007-0119370)与2006年11月6日申请的美国专利申请案序号11/556,763(公开号为US 2007-0128864)。用以预热前驱物的蒸发器或安瓿更详述于共同让渡的美国专利证书号6,905,541、6,915,592与7,186,385、和2006年8月24日申请的美国专利申请案序号10/590,448(公开号为US2007-0067609)与2005年10月7日申请的美国专利申请案序号11/246,890(公开号为US 2007-0079759)。用以输送前驱物至处理腔室的系统更详述于共同让渡的美国专利证书号6,955,211和2003年11月3日申请的美国专利申请案序号10/700,328(公开号为US 2005-0095859)。
“基板表面”或“基板”在此指任何基板或形成于基板上的材料表面,在制造工艺期间在所述基板或基板表面上进行膜处理。例如,进行处理的基板表面可包括如单晶、多晶或非晶硅、应变硅、绝缘体上覆硅(SOI)、掺杂硅、硅锗、锗、砷化镓、玻璃、蓝宝石、氧化硅、氮化硅、氮氧化硅、及/或碳掺杂氧化硅等材料,例如SiOxCy(如取自美国加州圣克拉拉的应用材料公司的BLACK
Figure BDA00002482576900201
低k介电质)。基板可具有各种尺寸(如直径200毫米或300毫米的晶圆)、和矩形或方形窗格。除非另有所指,否则本文所述的实施例和实例较佳施行于直径200毫米或300毫米的基板上。
本文所述的工艺实施例可用于沉积金属碳氮化物材料、金属氮化物材料、上述的衍生物、上述的合金、和其它含金属材料至许多基板与表面上。可用于本发明实施例的基板包括半导体晶圆,例如结晶硅(如Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶圆、和图案化或未图案化的晶圆,但不以此为限。基板可接触预处理工艺,以研磨、蚀刻、还原、氧化、羟基化、退火及/或烘烤基板表面。
实施例
在以下实际和假设实施例中,可以各种沉积工艺形成含金属材料,例如本文所述的金属碳化物材料。金属碳化物材料、层或膜可沉积做为金属栅极电极、阻障层、粘着层、和用于各种逻辑、闪存与动态随机存取存储器(DRAM)应用及触点应用的其它部件。
金属前驱物(如卤化钽前驱物(TaF5及/或TaCl5))在流入沉积腔室前先于蒸发器、起泡器或安瓿中加热。金属前驱物经加热达至少约50℃,较佳为至少约60℃,更佳为约60℃至约85℃,例如约65℃。预热的金属前驱物比起室温下的金属前驱物更能彻底留在载气中。在沉积工艺期间,基板或基板基座的示例温度为约200℃至约800℃,较佳约350℃至约550℃,更佳约400℃至约500℃。沉积腔室虽有局部变化,但温度类似基板温度。沉积腔室具有受控环境,该环境经加压成约1毫托耳至约100托耳,较佳约1托耳至约10托耳,更佳约2托耳至约5托耳。在其它实例中,应理解本文所述的工艺也可采用其它温度和压力。
实施例1:PE-ALD
利用PE-ALD工艺沉积钽层至基板上。基板和基板基座经加热达约250℃。在PE-ALD循环期间,基板相继接触卤化钽前驱物(TaF5)、氩气净化气体、氢等离子气体而产生等离子、和氩气净化气体。PE-ALD循环使基板接触钽前驱物气体约5秒及接触净化气体约2秒,然后接触氢气,以400瓦在氢气中产生等离子并接触基板长达10秒。钽前驱物气体的流率为在约250sccm的氩气载气中占约3sccm的TaF5。经过20次循环后(每次循环沉积厚度约0.5埃的钽材料且持续约20秒),将于基板上沉积最终厚度约10埃的钽材料。
经组成分析发现,等离子接触约20秒后,平均层厚度100埃的钽材料的电阻率将小于200μΩ-cm,例如130μΩ-cm至小于200μΩ-cm。沉积层具有大于15.5/立方厘米的密度、β相钽结构、和小于5%的氧原子量与小于1%的氟原子量。在一些区域中,部分平均层厚度100埃的区域的电阻率小于100μΩ-cm,这些区域可认为是纯钽。
实施例2:ALD
利用ALD工艺沉积碳化铝铪层至基板上。基板和基板基座经加热达约500℃。在ALD循环期间,基板相继接触卤化铪前驱物(HfCl4)、氮气净化气体、铝前驱物(三乙基铝(TEA)、三叔丁基铝(TTBA)及/或三甲基铝(TMA))、和第二氮气净化气体。ALD循环使基板接触铪前驱物气体约10秒、氮气净化约10秒,接着使基板接触TEA及/或TTBA 5秒,然后氮气净化5秒。铪前驱物气体的流率为在约250sccm的氩气载气中占约2 sccm的HfCl4。TEA及/或TTBA前驱物的流率为约30毫克/分钟。经过100次循环后(每次循环沉积厚度约2至约3埃的铝化铪且持续约20秒),将于基板上沉积最终厚度约200至300埃的铝化铪材料。经组成分析发现,平均层厚度100埃的碳化铪材料的电阻率将小于1000μΩ-cm,例如800至900μΩ-cm。沉积层具有约35原子%的铪、约15原子%的铝、约45原子%的碳和约5原子%的氧。
实施例3:PE-ALD
利用ALD工艺沉积铝化铪层至基板上。基板和基板基座经加热达约500℃。在ALD循环期间,基板相继接触卤化铪前驱物(HfCl4)、氮气净化气体、铝前驱物(三乙基铝(TEA)及/或三叔丁基铝(TTBA))、和第二氮气净化气体,并在一或多次ALD循环后,接触氢等离子。ALD循环使基板接触铪前驱物气体约10秒、氮气净化约10秒,接着使基板接触TEA及/或TTBA 5秒,然后氮气净化5秒。铪前驱物气体的流率为在约250 sccm的氩气载气中占约2sccm的HfCl4。铝前驱物的流率为约30毫克/分钟。等离子气体以2000sccm的流率引进,且等离子产生约10秒。
实施例4:PE-ALD
利用ALD工艺沉积铝化铪层至基板上。基板和基板基座经加热达约500℃。在ALD循环期间,基板相继接触卤化铪前驱物(HfCl4)、氮气净化气体、等离子工艺、铝前驱物(三乙基铝(TEA)及/或三叔丁基铝(TTBA))、和第二氮气净化气体。ALD循环使基板接触铪前驱物气体约10秒、氮气净化10秒,接着使基板接触氢等离子约5秒、使基板接触铝前驱物5秒,然后进行氮气净化5秒。铪前驱物气体的流率为在约250 sccm的氩气载气中占约2sccm的HfCl4。铝前驱物的流率为约30毫克/分钟。等离子气体以2000sccm的流率引进,且等离子产生约10秒。
实施例5:ALD
利用ALD工艺沉积碳化钽层至基板上。基板和基板基座经加热达约550℃。在100次ALD循环期间,基板相继接触卤化钽前驱物(TaCl5)、氮气净化气体、乙烯前驱物和第二氮气净化气体。ALD循环使基板接触钽前驱物气体约5秒、氮气净化2秒,接着使基板接触乙烯5秒,然后氮气净化2秒。钽前驱物气体的流率为在约250sccm的氩气载气中占约2sccm的TaCl5。乙烯前驱物的流率为约1000sccm。公认乙烯会与TaCl5反应而形成C2H4Cl2,抽走C2H4Cl2后将留下TaC膜。经过100次循环后(每次循环沉积厚度小于约1埃的碳化钽且持续约14秒),将于基板上沉积最终厚度约100埃的碳化钽材料。Ta/C比率为约0.90。
实施例6:脉冲式CVD
利用脉冲式化学气相沉积工艺沉积碳化钽层至基板上。在100次循环期间,基板相继接触卤化钽前驱物(TaCl5)、氮气净化气体、乙烯前驱物和第二氮气净化气体。
实施例7:ALD
利用脉冲式化学气相沉积工艺沉积硅化钽层至基板上。在100次ALD循环期间,基板相继接触卤化钽前驱物(TaCl5)、氮气净化气体、硅烷前驱物及/或二硅烷、和第二氮气净化气体。基板和基板基座经加热达约425℃。公认硅烷会与TaCl5反应而形成Si2H2Cl2或HCl,随后抽走Si2H2Cl2或HCl后将留下TaSi膜。形成的硅化钽膜具有1.75的Ta/Si比率。在一类似实施例中,通过把温度降低至约350℃,可得0.90的Ta/Si比率。
实施例8:ALD
利用脉冲式化学气相沉积工艺沉积碳化铝钽层至基板上。在100次ALD循环期间,基板相继接触卤化钽前驱物(TaCl5)、氮气净化气体、铝前驱物(三乙基铝(TEA))、和第二氮气净化气体。公认TEA会与TaCl5反应而形成烷基氯化铝,随后抽走烷基氯化铝后将留下TaCxAly膜。基板和基板基座经加热达约300℃。形成的碳化铝钽层具有约1.00的Ta/C比率。沉积层具有约37.60原子%的钽、约15.50原子%的铝和约37.50原子%的碳。
在一类似实施例中,通过把温度提高至约350℃,可得约0.90的Ta/C比率。以350℃的沉积温度沉积的碳化铝钽膜具有约36.35原子%的钽、约17.80原子%的铝和约40.25原子%的碳。
借着再次把温度提高至约400℃,可得约0.79的Ta/C比率。在400℃的温度下沉积的沉积层具有约33.30原子%的钽、约22.30原子%的铝和约42.30原子%的碳。
虽然本发明以特定实施例为例说明,但本领域技术人员将理解如温度、压力、膜厚等反应条件和沉积气体顺序当可加以改变且涵盖于内。例如,相继沉积工艺可具有不同的初始顺序。初始顺序可包括将钽前驱物气体引入处理腔室前,使基板接触含氮气体。此外,除了当作触点的扩散阻障层外,碳氮化钽层还可用于其它电路特征结构。故本发明的保护范围不应以前述实施方式为基础,而是应以权利要求所界定的范围为准,该范围包括所有均等物范围。
虽然本发明的实施例已揭露如上,然在不脱离本发明的基本范围内,当可修改而得本发明的其它和进一步的实施例,因此本发明的保护范围视权利要求所界定者为准。

Claims (15)

1.一种处理基板的方法,包含下列步骤:
沉积介电常数大于10的介电材料;
于该介电材料内形成特征结构定界;
共形沉积功函数材料至该特征结构定界的侧壁和底部上;以及
沉积金属栅极填充材料至该功函数材料上,以填充该特征结构定界,其中该功函数材料通过使具有化学式MXy的至少一金属卤化物前驱物反应而沉积,其中M是钽、铪、钛和镧,X是选自由氟、氯、溴和碘所组成的组的卤化物,而y是3至5。
2.如权利要求1的方法,其中该功函数材料具有小于4.4eV的功函数和小于1000μΩ-cm的电阻率。
3.如权利要求1的方法,其中该金属栅极填充材料和该功函数材料是相同材料。
4.如权利要求1的方法,其中该金属栅极填充材料是铝、钨、铜、钴或上述的组合物。
5.如权利要求1的方法,其中该功函数材料是镧系材料、铪系材料、锆系材料、钽系材料、钛系材料或上述的组合物。
6.如权利要求5的方法,其中该功函数材料进一步包含碳、氮、硅、铝或上述的组合物。
7.如权利要求1的方法,其中该功函数材料是具有化学式MCx的金属碳化物材料中的一种,其中x在约0.5至约2的范围中;或者该功函数材料是具有化学式MSix的金属硅化物材料,其中x在约0.05至约2的范围中。
8.如权利要求1的方法,其中该金属卤化物前驱物是五氯化钽、五氟化钽、四氯化铪、三氯化镧、四氯化钛、四氟化钛或上述的组合物。
9.如权利要求1的方法,其中该金属卤化物前驱物的反应工艺进一步包含无氮的氢基气体、无氮的含碳气体或无氮的含硅气体。
10.如权利要求9的方法,其中该功函数材料包含碳化钽、碳化铪、碳化镧、硅化铪、硅化钽、硅化镧、碳硅化钽、碳硅化铪、碳硅化镧、碳化铝铪、碳化铝钽、碳化铝镧、碳氮化钽、氮化铝钽、硼化镧、硼化铪或上述的组合物。
11.如权利要求1的方法,其中该金属卤化物前驱物的反应工艺进一步包含与铝系前驱物反应。
12.如权利要求1的方法,其中该金属卤化物前驱物的反应工艺进一步包含:
沉积该金属卤化物前驱物;
使该金属卤化物前驱物接触氢气等离子;以及
将卤化物浓度降至小于4原子%的卤原子。
13.如权利要求1的方法,其中在该反应工艺期间的基板温度为约150℃至约425℃。
14.如权利要求1的方法,其中该反应工艺是原子层沉积工艺,该原子层沉积工艺包含下列一或多次连续循环:
将金属卤化物前驱物引进到处理腔室中,以形成第一层或第一单层至基板表面上;
使用净化气体来清除该金属卤化物前驱物;
将无氮反应气体引进到该处理腔室中,以形成第二层或第二单层;
使用该净化气体来清除该无氮反应气体;
将等离子气体引进到该处理腔室中;以及
产生等离子,并清除该处理腔室中的该等离子气体。
15.如权利要求1的方法,其中该反应工艺是原子层沉积工艺,该原子层沉积工艺包含下列一或多次连续循环:
将金属卤化物前驱物引进到处理腔室中,以形成第一层或第一单层至基板表面上;
使用净化气体来清除该金属卤化物前驱物;
将无氮反应气体引进到该处理腔室中;
产生等离子,以形成第二层或第二单层;以及
使用该净化气体来清除该无氮反应气体。
CN201180026521.9A 2010-04-26 2011-04-25 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备 Active CN102918636B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510688559.2A CN105390381B (zh) 2010-04-26 2011-04-25 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US32799510P 2010-04-26 2010-04-26
US61/327,995 2010-04-26
PCT/US2011/033820 WO2011139642A2 (en) 2010-04-26 2011-04-25 Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201510688559.2A Division CN105390381B (zh) 2010-04-26 2011-04-25 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备

Publications (2)

Publication Number Publication Date
CN102918636A true CN102918636A (zh) 2013-02-06
CN102918636B CN102918636B (zh) 2015-11-25

Family

ID=44816161

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510688559.2A Active CN105390381B (zh) 2010-04-26 2011-04-25 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备
CN201180026521.9A Active CN102918636B (zh) 2010-04-26 2011-04-25 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201510688559.2A Active CN105390381B (zh) 2010-04-26 2011-04-25 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备

Country Status (5)

Country Link
US (2) US8642468B2 (zh)
KR (2) KR102180890B1 (zh)
CN (2) CN105390381B (zh)
TW (2) TWI536451B (zh)
WO (1) WO2011139642A2 (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105448812A (zh) * 2014-07-23 2016-03-30 中国科学院微电子研究所 半导体器件制造方法
CN106560527A (zh) * 2015-10-02 2017-04-12 Asm Ip控股有限公司 用于气相沉积的三叔丁基铝反应剂
CN106702346A (zh) * 2015-11-16 2017-05-24 现代自动车株式会社 燃料电池的隔膜的涂覆方法及燃料电池的隔膜
CN106981413A (zh) * 2016-01-15 2017-07-25 台湾积体电路制造股份有限公司 制造氮化钽隔离层的方法与金属栅极堆
CN107305838A (zh) * 2016-04-21 2017-10-31 Asm Ip控股有限公司 金属硼化物和金属硅化物的沉积
CN107533962A (zh) * 2015-05-01 2018-01-02 应用材料公司 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
CN107591319A (zh) * 2016-07-06 2018-01-16 株式会社斯库林集团 半导体装置的制造方法
CN107731740A (zh) * 2016-08-12 2018-02-23 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107836034A (zh) * 2015-06-05 2018-03-23 东京毅力科创株式会社 用于互连的钌金属特征部填充
CN108074801A (zh) * 2016-11-08 2018-05-25 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN105336615B (zh) * 2014-07-08 2018-06-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN109563620A (zh) * 2017-06-12 2019-04-02 株式会社爱发科 薄膜的形成方法
CN109755299A (zh) * 2017-11-03 2019-05-14 三星电子株式会社 集成电路器件和制造其的方法
CN110875179A (zh) * 2018-08-30 2020-03-10 台湾积体电路制造股份有限公司 金属层的形成和原位蚀刻工艺
CN112335021A (zh) * 2018-06-22 2021-02-05 应用材料公司 金属膜的催化沉积
CN115584486A (zh) * 2022-10-12 2023-01-10 厦门中材航特科技有限公司 一种碳化钽涂层制品及制备方法
CN115637419A (zh) * 2022-10-12 2023-01-24 厦门中材航特科技有限公司 一种钽-碳化钽复合涂层的制备方法及其制品
CN115838916A (zh) * 2015-10-16 2023-03-24 Asm Ip控股有限公司 用于栅极介电质的原子层沉积的实施方法
CN118374791B (zh) * 2024-06-24 2024-10-25 南京原磊纳米材料有限公司 一种金属钴薄膜及其制备方法

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5087657B2 (ja) * 2009-08-04 2012-12-05 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592305B2 (en) * 2011-11-15 2013-11-26 Applied Materials, Inc. Doping aluminum in tantalum silicide
TWI563111B (en) * 2011-12-16 2016-12-21 Applied Materials Inc Film deposition using tantalum precursors
CN103205805B (zh) * 2012-01-11 2016-05-04 中国科学院微电子研究所 一种单晶立方形氮化碳薄膜的制备方法
TWI586828B (zh) * 2012-02-10 2017-06-11 財團法人國家同步輻射研究中心 原子層沈積之摻雜方法
KR101909205B1 (ko) 2012-04-20 2018-10-17 삼성전자 주식회사 핀형 전계 효과 트랜지스터를 구비한 반도체 소자
TWI623100B (zh) * 2012-06-13 2018-05-01 聯華電子股份有限公司 半導體結構及其製程
TW201408810A (zh) * 2012-07-12 2014-03-01 Applied Materials Inc 用於沉積貧氧金屬膜的方法
EP2695966B1 (en) 2012-08-06 2018-10-03 IMEC vzw ALD method
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9064857B2 (en) * 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103072971B (zh) * 2013-03-04 2014-07-09 南京航空航天大学 Scdd及cdc制备装置
WO2014164742A1 (en) * 2013-03-11 2014-10-09 Intermolecular, Inc Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices
US9607904B2 (en) * 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US8841182B1 (en) * 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9166014B2 (en) 2013-06-06 2015-10-20 GlobalFoundries, Inc. Gate electrode with stabilized metal semiconductor alloy-semiconductor stack
TWI720422B (zh) 2013-09-27 2021-03-01 美商應用材料股份有限公司 實現無縫鈷間隙填充之方法
WO2015091781A2 (en) * 2013-12-18 2015-06-25 Imec Vzw Method of producing transition metal dichalcogenide layer
US9607888B2 (en) 2014-02-03 2017-03-28 Tokyo Electron Limited Integration of ALD barrier layer and CVD Ru liner for void-free Cu filling
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6087023B2 (ja) * 2014-03-28 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US9418903B2 (en) * 2014-05-21 2016-08-16 Globalfoundries Inc. Structure and method for effective device width adjustment in finFET devices using gate workfunction shift
KR102262887B1 (ko) * 2014-07-21 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
EP2979991A1 (en) 2014-07-31 2016-02-03 Greif International Holding BV. Multilayer material, fire protection mat with said multilayer material and transport and storage container assembly comprising said fire protection mat.
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9449887B2 (en) 2014-12-08 2016-09-20 Globalfoundries Inc. Method of forming replacement gate PFET having TiALCO layer for improved NBTI performance
EP3038140B1 (en) 2014-12-22 2017-11-22 IMEC vzw Method for tuning the effective work function of a metal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106298663B (zh) * 2015-05-22 2019-04-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10090396B2 (en) 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
CN105296955A (zh) * 2015-10-16 2016-02-03 北京印刷学院 一种等离子体增强原子层沉积铜薄膜的方法
US9972694B2 (en) 2015-10-20 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition methods and structures thereof
US9799745B2 (en) 2015-10-20 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10487398B2 (en) * 2016-12-04 2019-11-26 Applied Materials, Inc. Synthesis of metal nitride thin films materials using hydrazine derivatives
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102384805B1 (ko) * 2017-03-30 2022-04-08 인텔 코포레이션 랩-어라운드 콘택들을 제조하기 위한 금속 화학 기상 증착 접근법들 및 결과 구조들
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10490649B2 (en) 2017-05-30 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with adhesion layer
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102295721B1 (ko) * 2017-09-08 2021-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10566435B2 (en) * 2018-04-06 2020-02-18 International Business Machines Corporation Gate stack quality for gate-all-around field-effect transistors
JP7515402B2 (ja) 2018-04-19 2024-07-12 アプライド マテリアルズ インコーポレイテッド 気相堆積によるp-金属仕事関数膜の仕事関数の調整
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US20190348369A1 (en) * 2018-05-10 2019-11-14 Mehul B. Naik Method and apparatus for protecting metal interconnect from halogen based precursors
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112219260B (zh) * 2018-06-11 2024-08-06 玛特森技术公司 用于处理工件的氢反应性核素的生成
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10971366B2 (en) * 2018-07-06 2021-04-06 Applied Materials, Inc. Methods for silicide deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071825A1 (en) * 2018-08-28 2020-03-05 Applied Materials, Inc. Methods Of Depositing Metal Carbide Films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110349839B (zh) * 2019-06-21 2021-03-12 全球能源互联网研究院有限公司 一种p/n型碳化硅欧姆接触的制备方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047119A (ko) 2019-10-21 2021-04-29 삼성전자주식회사 금속 질화막 제조방법 및 금속 질화막을 포함하는 전자 소자
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11527621B2 (en) * 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7159254B2 (ja) * 2020-09-18 2022-10-24 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム及び基板処理装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
TW202233884A (zh) * 2020-12-14 2022-09-01 荷蘭商Asm Ip私人控股有限公司 形成臨限電壓控制用之結構的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230002888A1 (en) * 2021-07-01 2023-01-05 Applied Materials, Inc. Method of depositing metal films
US11908893B2 (en) * 2021-08-30 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240266414A1 (en) * 2023-02-07 2024-08-08 Applied Materials, Inc. Multi-vt integration scheme for semiconductor devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20040208994A1 (en) * 2003-02-06 2004-10-21 Planar Systems, Inc. Deposition of carbon-and transition metal-containing thin films
US20060240665A1 (en) * 2002-07-17 2006-10-26 Sang-Bom Kang Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US20070001238A1 (en) * 2005-06-29 2007-01-04 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
CN101036225A (zh) * 2004-07-20 2007-09-12 英特尔公司 具有高k栅电介质和金属栅电极的半导体器件

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0643248B2 (ja) * 1987-09-18 1994-06-08 科学技術庁金属材料技術研究所長 遷移金属ほう化物繊維の製造法
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6139922A (en) * 1999-05-18 2000-10-31 Gelest, Inc. Tantalum and tantalum-based films formed using fluorine-containing source precursors and methods of making the same
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
KR100466309B1 (ko) 2002-05-21 2005-01-13 삼성전자주식회사 반도체 장치의 금속층 형성 방법 및 장치
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
KR100465761B1 (ko) 2002-06-17 2005-01-13 삼성전자주식회사 탄탈륨 질화막을 포함하는 반도체 배선 구조 및 그 형성방법
KR100449782B1 (ko) 2001-07-19 2004-09-22 삼성전자주식회사 원자층 적층 방법과 이를 이용한 박막 적층 방법 및금속층 적층 방법
KR100502407B1 (ko) 2002-04-11 2005-07-19 삼성전자주식회사 고유전막과 높은 도전성의 전극을 갖는 게이트 구조체 및그 형성 방법
KR100476926B1 (ko) 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
TW559917B (en) * 2002-09-24 2003-11-01 Univ Nat Chiao Tung Gate structure of metal oxide semiconductor field effect transistor
US6800530B2 (en) 2003-01-14 2004-10-05 International Business Machines Corporation Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US6943097B2 (en) * 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
JP2005086185A (ja) * 2003-09-11 2005-03-31 Tokyo Electron Ltd 成膜方法
US7126199B2 (en) * 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
JP4854245B2 (ja) * 2005-09-22 2012-01-18 東京エレクトロン株式会社 半導体装置の製造方法
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
JP2009026997A (ja) * 2007-07-20 2009-02-05 Renesas Technology Corp 半導体装置およびその製造方法
US20090035946A1 (en) 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7875519B2 (en) * 2008-05-21 2011-01-25 Intel Corporation Metal gate structure and method of manufacturing same
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8012817B2 (en) * 2008-09-26 2011-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor performance improving method with metal gate
US8367563B2 (en) * 2009-10-07 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for a gate replacement process
US8138543B2 (en) * 2009-11-18 2012-03-20 International Business Machines Corporation Hybrid FinFET/planar SOI FETs

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20060240665A1 (en) * 2002-07-17 2006-10-26 Sang-Bom Kang Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US20040208994A1 (en) * 2003-02-06 2004-10-21 Planar Systems, Inc. Deposition of carbon-and transition metal-containing thin films
CN101036225A (zh) * 2004-07-20 2007-09-12 英特尔公司 具有高k栅电介质和金属栅电极的半导体器件
US20070001238A1 (en) * 2005-06-29 2007-01-04 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105336615B (zh) * 2014-07-08 2018-06-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN105448812A (zh) * 2014-07-23 2016-03-30 中国科学院微电子研究所 半导体器件制造方法
CN107533962A (zh) * 2015-05-01 2018-01-02 应用材料公司 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
CN107533962B (zh) * 2015-05-01 2022-04-15 应用材料公司 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
CN107836034A (zh) * 2015-06-05 2018-03-23 东京毅力科创株式会社 用于互连的钌金属特征部填充
CN106560527B (zh) * 2015-10-02 2019-12-24 Asm Ip控股有限公司 用于气相沉积的三叔丁基铝反应剂
CN106560527A (zh) * 2015-10-02 2017-04-12 Asm Ip控股有限公司 用于气相沉积的三叔丁基铝反应剂
CN115838916A (zh) * 2015-10-16 2023-03-24 Asm Ip控股有限公司 用于栅极介电质的原子层沉积的实施方法
CN106702346A (zh) * 2015-11-16 2017-05-24 现代自动车株式会社 燃料电池的隔膜的涂覆方法及燃料电池的隔膜
CN106981413A (zh) * 2016-01-15 2017-07-25 台湾积体电路制造股份有限公司 制造氮化钽隔离层的方法与金属栅极堆
US11043573B2 (en) 2016-01-15 2021-06-22 Taiwan Semiconductor Manufacturing Company Limited Method of fabricating tantalum nitride barrier layer and semiconductor device thereof
CN106981413B (zh) * 2016-01-15 2019-11-22 台湾积体电路制造股份有限公司 制造氮化钽隔离层的方法与金属栅极堆
CN107305838A (zh) * 2016-04-21 2017-10-31 Asm Ip控股有限公司 金属硼化物和金属硅化物的沉积
CN107591319A (zh) * 2016-07-06 2018-01-16 株式会社斯库林集团 半导体装置的制造方法
CN107591319B (zh) * 2016-07-06 2021-02-05 株式会社斯库林集团 半导体装置的制造方法
US10886132B2 (en) 2016-07-06 2021-01-05 SCREEN Holdings Co., Ltd. Manufacturing method of high-dielectric-constant gate insulating film of semiconductor device
CN107731740B (zh) * 2016-08-12 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107731740A (zh) * 2016-08-12 2018-02-23 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN108074801A (zh) * 2016-11-08 2018-05-25 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN109563620A (zh) * 2017-06-12 2019-04-02 株式会社爱发科 薄膜的形成方法
CN109755299A (zh) * 2017-11-03 2019-05-14 三星电子株式会社 集成电路器件和制造其的方法
CN109755299B (zh) * 2017-11-03 2023-11-14 三星电子株式会社 集成电路器件和制造其的方法
CN112335021A (zh) * 2018-06-22 2021-02-05 应用材料公司 金属膜的催化沉积
CN110875179A (zh) * 2018-08-30 2020-03-10 台湾积体电路制造股份有限公司 金属层的形成和原位蚀刻工艺
CN110875179B (zh) * 2018-08-30 2022-08-09 台湾积体电路制造股份有限公司 金属层的形成和原位蚀刻工艺
CN115584486A (zh) * 2022-10-12 2023-01-10 厦门中材航特科技有限公司 一种碳化钽涂层制品及制备方法
CN115637419A (zh) * 2022-10-12 2023-01-24 厦门中材航特科技有限公司 一种钽-碳化钽复合涂层的制备方法及其制品
CN118374791B (zh) * 2024-06-24 2024-10-25 南京原磊纳米材料有限公司 一种金属钴薄膜及其制备方法

Also Published As

Publication number Publication date
US20110263115A1 (en) 2011-10-27
CN102918636B (zh) 2015-11-25
KR102180890B1 (ko) 2020-11-19
US20140120712A1 (en) 2014-05-01
KR20180015305A (ko) 2018-02-12
TWI536451B (zh) 2016-06-01
TW201142946A (en) 2011-12-01
TWI490945B (zh) 2015-07-01
US9048183B2 (en) 2015-06-02
TW201142947A (en) 2011-12-01
WO2011139642A2 (en) 2011-11-10
CN105390381A (zh) 2016-03-09
US8642468B2 (en) 2014-02-04
CN105390381B (zh) 2018-12-21
WO2011139642A3 (en) 2012-03-01
KR20130062935A (ko) 2013-06-13

Similar Documents

Publication Publication Date Title
CN102918636B (zh) 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备
US7585762B2 (en) Vapor deposition processes for tantalum carbide nitride materials
US11398382B2 (en) Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US7691742B2 (en) Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US8835311B2 (en) High temperature tungsten metallization process
US10468263B2 (en) Tungsten deposition without barrier layer
CN100576474C (zh) 以钽前驱物taimata进行含钽材料的原子层沉积
KR20190024841A (ko) 주기적 증착 공정에 의하여 유전체 표면 위에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
US20100102417A1 (en) Vapor deposition method for ternary compounds
KR20080101745A (ko) 텅스텐 재료들의 원자층 증착
US20170259298A1 (en) Selective formation of metal silicides
US7989339B2 (en) Vapor deposition processes for tantalum carbide nitride materials
CN115807217A (zh) 空气间隙形成方法和选择性沉积方法
JP2006016641A (ja) 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
WO2013074339A1 (en) Doping aluminum in tantalum silicide
JP7542046B2 (ja) バリア層なしのタングステン堆積物
US11898243B2 (en) Method of forming vanadium nitride-containing layer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant